IEEE MTT-V053-I06B (2005-06) [53, 06B ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
SPECIAL ISSUE ON 34TH EUROPEAN MICROWAVE CONFERENCE......Page 1
020 - [email protected] 2
SPECIAL ISSUE ON 34TH EUROPEAN MICROWAVE CONFERENCE......Page 3
Munich University of Technology......Page 5
II. P ROPERTIES OF LCs......Page 7
A. Measurement Setup......Page 8
C. Complex Permittivity Extraction......Page 9
Fig.€6. Comparison of worst case error between analytical and nu......Page 10
Fig. 10. Extracted parallel losses $\tan\delta_{\parallel}$ of K......Page 11
Fig. 13. Extracted perpendicular permittivity $\varepsilon_{r,\p......Page 12
TABLE€I C OMPARISON......Page 13
J. Baker-Jarvis, Transmission/reflection and short-circuit line......Page 14
I. I NTRODUCTION......Page 16
Fig.€1. Proposed space-filling-based slot antenna. (a) Second-it......Page 17
B. Circularly Polarized Slot Antenna......Page 18
III. C ONCLUSION......Page 19
J. Anguera, C. Puente, and J. Soler, Miniature monopole antenna......Page 20
II. N OVEL M ICROSTRIP -L INE S TRUCTURE E MPLOYING PPGM W ITH L......Page 21
Fig. 4. Measured and calculated guided-wavelength $\lambda_{g}$......Page 22
Fig.€5. (a) Measured and calculated insertion loss per millimete......Page 23
Fig. 6. Dependencies of characteristic impedance $Z _{o}$ and gu......Page 24
III. A PPLICATION TO H IGHLY M INIATURIZED AND L OW -I MPEDANCE......Page 25
Fig.€12. Highly miniaturized and low-impedance branch-line coupl......Page 26
IV. A PPLICATION TO H IGHLY M INIATURIZED F ILTERS AND B IASING......Page 27
TABLE IV C HARACTERISTIC I MPEDANCE AND S IZE OF THE L INES C OM......Page 28
M. Matsuo, H. Yabuki, and M. Makimoto, Dual-mode stepped-impedan......Page 29
Fig.€3. Top view of the modified ring resonator shown in Fig.€2(......Page 30
TABLE I C OMPARISON OF P UBLISHED D ATA O BTAINED A NALYTICALLY......Page 31
Fig.€7. Simultaneous representation of mode separation and $Q$ f......Page 32
A. Mode Separation Simultaneous to the $Q$ Factor......Page 33
Fig. 13. Metal tuning screw with the diameter $D _{s}$ for: (a)......Page 34
C. Tunability......Page 35
TABLE III S ALIENT R ESULTS FOR T UNABILITY AND ITS I NFLUENCE O......Page 36
J.-M. Guan and C.-C. Su, Precise computations of resonant freque......Page 37
Fig.€1. Radar front-end concepts. (a) Current lens antenna syste......Page 38
Fig.€3. Electric-field distribution inside the four beam-ports/e......Page 39
Fig.€6. Beam patterns of Rotman lens and power divider with 12-e......Page 40
Fig.€9. Insertion loss, return loss, and insertion phase of the......Page 41
Fig.€12. Influence of the BCB width $w$ on the BCB leak rate for......Page 42
V. C ONCLUSION......Page 43
A. Jourdain, P. D. Moor, and H. A. C. Tilmans, Mechanical and el......Page 44
A. Filter Synthesis......Page 46
B. Filter Implementation......Page 47
A. Filter Fabrication......Page 48
B. Untuned Filter......Page 49
Fig.€15. Measured results for the second tuned filter at 65 K.......Page 50
J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microw......Page 51
I. I NTRODUCTION......Page 52
III. P ROPOSED S ETUP......Page 53
Fig.€2. Phase measurement setup for uncorrelated tones.......Page 54
Fig.€4. Power amplifier under test.......Page 55
V. E XPERIMENTAL V ALIDATION......Page 56
Fig.€9. Output power for the fundamental and IMD tones over an i......Page 57
J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Mi......Page 58
Fig.€1. Wave parameters of an $N$ -port.......Page 60
II. M ULTIPORT M ETHOD......Page 61
IV. E XTENSION TO $N$ P ORTS......Page 62
Fig.€3. Circuit of an $N$ port leading to a three-port.......Page 63
Fig.€5. Possible three-port configurations of a four-port device......Page 64
G. F. Engen and C. A. Hoer, Thru-reflect-line: An improved techn......Page 65
H.-J. Eul and B. Schiek, A generalized theory and new calibratio......Page 66
I. I NTRODUCTION......Page 67
II. R EJECTION B AND S TRUCTURES B ASED ON S UB -W AVELENGTH R E......Page 68
Fig.€4. Measured frequency response for a rectangular waveguide......Page 69
Fig.€5. (a) 50- $\Omega $ microstrip line with a square-shaped C......Page 70
Fig.€7. (a) Fabricated SRR coupled-line bandpass filter in micro......Page 71
Fig.€9. (a) ( top and bottom ) Fabricated SRR bandpass filter ba......Page 72
V. C ONCLUSIONS......Page 73
J. D. Baena, J. Bonache, F. Martín, R. Marqués, F. Falcone, T. L......Page 74
II. P RINCIPLE OF C LASS F AND C LASS $F^{-1}$......Page 77
IV. D ESIGN AND C HARACTERIZATION......Page 78
Fig.€9. PA based on a quarter-wavelength transmission line.......Page 79
B. Experimental Results......Page 80
VII. C ONCLUSIONS......Page 81
P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, Class G ap......Page 82
II. E PITAXIAL G ROWTH AND F ABRICATION......Page 83
B. Series Resistance $R_{S}$......Page 84
A. Diode Characterization and Modeling......Page 85
V. M EASUREMENTS AND R ESULTS OF A 24-GHz M IXER......Page 86
C. Schöllhorn, W. Zhao, M. Morschbach, and E. Kasper, Attenuatio......Page 87
S. A. Maas, Microwave Mixers . Norwood, MA: Artech House, 1986,......Page 88
II. MSMoM F ORMULATION......Page 89
Fig.€3. Typical DS and associated chromosome.......Page 90
B. Numerical Sensitivity......Page 91
C. Technological Sensitivity......Page 92
D. New Optimization Conditions......Page 93
Fig.€15. Simulated radiation patterns at 8 GHz. (a) $E$ -plane.......Page 94
D. Pissoort, H. Rogier, F. Olyslager, and D. De Zutter, Design o......Page 95
III. EM S IMULATION T OOLS......Page 96
B. EM Simulations and Optimizations......Page 97
E. Measurements With an Other LTCC Substrate......Page 98
B. Theoretical Analyses by EM Simulations......Page 99
C. Measurements......Page 100
B. Thon, D. Bariant, S. Bila, D. Baillargeat, M. Aubourg, S. Ver......Page 101
A. System Model......Page 103
B. Operation Principle......Page 104
Fig.€3. Output eye waveform after a low-pass filter following th......Page 105
Fig.€5. (a) Cross-sectional view of the flip-chip bonding and it......Page 106
Fig.€8. Geometrical view of the conductor-backed CPW and dimensi......Page 107
Fig.€12. Sampling circuit impulse responses are simulated using......Page 108
V. E LECTRICAL E QUALIZER D ESIGN AND S IMULATION......Page 109
VI. C ONCLUSION......Page 110
F. X. Sinnesbichler and G. R. Olbrich, Low phase noise 58 GHz Si......Page 111
II. T OPOLOGY OF THE MNWS C IRCULATOR......Page 113
1) Circulator Resonances: For the investigation of the circulati......Page 114
C. Scattering Matrix and Matching Network......Page 115
IV. E XPERIMENTAL V ALIDATION......Page 116
VI. C ONCLUSION......Page 117
A. Dobrowolski, Introduction to Computer Methods for Microwave C......Page 118
A. Overview......Page 120
B. Pseudocorrelation Radiometer......Page 121
B. LNAs......Page 122
D. FEM Branch......Page 123
B. Bandpass Filter......Page 124
D. DC Amplifier......Page 125
1) Linearity, Calibration Curve: In order to calculate the radio......Page 126
C. Data-Acquisition System......Page 127
D. Effective Bandwidth......Page 128
2) Gain Modulation Factor $r$: The radiometers measure differenc......Page 129
A. Mennella, M. Bersanelli, C. Burigana, D. Maino, N. Mandolesi,......Page 130
A. Mennella, M. Bersanelli, M. Seiffert, D. Kettle, N. Roddis, A......Page 131
I. I NTRODUCTION......Page 133
III. M ULTIPLE M ETAL -L AYER M ODEL......Page 134
Fig.€6. Different inner and outer diameters.......Page 135
IV. A NALYSIS OF $Q$ E NHANCEMENT U SING THE E XTENDED P HYSICAL......Page 136
Fig.€11. $Q$ factor in terms of ideal $Q$, substrate loss factor......Page 137
VI. R ESULTS......Page 138
Fig. 20. $Q$ of a ${\hbox{M5}}+{\hbox{M4}}+{\hbox{M3}}$ single-e......Page 139
C ALCULATION OF $Q$ V ARIABLES......Page 140
C. P. Yue and S. S. Wong, On-chip spiral inductors with patterne......Page 141
R. L. Boylestad, Introductory Circuit Analysis, 9th ed. Upper Sa......Page 142
Fig.€3. Calculated results for a shunt resistor. Thick line repr......Page 143
Fig.€9. Pattern layout for EM analysis, which is the final atten......Page 144
A. Attenuator Design......Page 145
Fig.€13. Input and output matching trajectories. (i) The input m......Page 146
Fig. 17. Experimental and simulation results for $S _{11}$ for t......Page 147
Fig.€22. Measured $S$ -parameters for the amplifier in the frequ......Page 148
Fig.€25. Temperature dependence of the gain. Thick and thin line......Page 149
M. Kasashima, S. Tachi, and K. Tanaka, High dynamic range variab......Page 150
II. A VALANCHE P HOTODIODE M ODEL......Page 152
IV. H IGH -F REQUENCY D ISPERSION FOR LSM OF THE A VALANCHE P HO......Page 153
V. E XTRACTED P ARAMETERS......Page 154
Fig.€8. Measured and modeled reflection coefficient of the photo......Page 155
A. Ghose and G. Kompa, Electrothermal parameter extraction of av......Page 156
A. Structure and Design......Page 158
Fig. 3. Relationship between $L_{{ r}\,ij} - C_{{ r}\,ij}$ paral......Page 159
B. Characteristics of Duplexer......Page 160
A. Structure and Design......Page 161
TABLE IV D ESIGNED V ALUES OF T RIPLEXER S HOWN IN F IG . 9......Page 162
Fig.€15. Measured results of the CPW triplexer shown in Fig.€14......Page 163
S. J. Fiedziuszko, J. A. Curtis, C. Holme, and R. S. Kwok, Low l......Page 164
II. M AGNETIC C ORE......Page 166
Fig.€3. AC permeability of FeCoBSi reference samples on the same......Page 167
Fig.€6. Calculated frequency dependence of the quality factor [......Page 168
J. C. Slonczewski, B. Petek, and B. E. Argyle, Micromagnetics of......Page 169
A. Millimeter-Wave System-on-Package Image......Page 171
Fig.€4. Absolute gain and radiation efficiency characteristics v......Page 172
Fig.€8. Absolute gain reduction versus alignment precision of th......Page 173
Fig.€12. Measuring system using RF probe.......Page 174
V. C ONCLUSION......Page 175
T. Seki, N. Honma, K. Nishikawa, and K. Tsunekawa, High efficien......Page 176
Fig.€1. Spectrum of the applied measurement pulse.......Page 177
Fig.€4. Measurement signal consisting of Gaussian monocycles.......Page 178
C. Principal Component Regression (PCR)......Page 179
Fig.€9. Pulse generation circuit.......Page 180
A. Generation of the Measurement Signal......Page 181
F. Daschner, M. Kent, R. Knöchel, and U.-K. Berger, Optimization......Page 182
A. Measurement Equipment......Page 184
B. LM Optimization Method......Page 185
Fig. 4. Evolution of $\vert S_{11}\vert$ for the polyester paral......Page 186
B. ${S}_{11}$ Measurements With Cavity II......Page 187
Fig.€10. Optimization procedure for sample $B$ at the frequency......Page 188
D. Broomhead and D. Lowe, Multivariable functional interpolation......Page 189
II. E XPERIMENTAL R ESULTS......Page 191
Fig. 2. Measured results of gain at various phases of $\Gamma_{1......Page 192
III. D ISCUSSION......Page 193
Fig.€5. Measured gain and gain variations. (a) Gain. (b) Gain va......Page 194
Fig. 6. Simulated ${ V}_{\rm out}$ and ${ I}_{\rm out}$ waveform......Page 195
Fig.€7. Simulated phases and harmonics ratios of output voltage......Page 196
A. Ohta, A. Inoue, S. Goto, A. Inoue, K. Ueda, T. Ishikawa, and......Page 197
I. I NTRODUCTION......Page 199
B. Chireix Combiner......Page 200
Fig.€6. Common load with source resistances and Chireix elements......Page 201
III. R EALIZATION OF A MPLIFIERS AND C HIREIX C OMBINER......Page 202
TABLE I M EASURED P ERFORMANCE OF THE PA B IASED AT $V_{ DD}=5$......Page 203
B. Testbench Calibration......Page 204
Fig.€15. Efficiency versus output power for sinusoidal signals.......Page 205
Fig. 20. System efficiency $({\hbox{PA }} + {\hbox{ combiner eff......Page 206
J. Gründlingh, K. Parker, and G. Rabjohn, A high efficiency Chir......Page 207
II. A SYMMETRIC S PIRAL DGS AND C IRCUIT M ODELING......Page 209
Fig.€4. Equivalent circuit of an asymmetric spiral DGS section.......Page 210
Fig.€9. (a) Asymmetric spiral DGS for the rejection of second an......Page 211
IV. S UPPRESSION OF H ARMONICS IN W ILKINSON P OWER D IVIDER......Page 212
Fig.€14. Measured $S$ -parameters of the fabricated divider with......Page 213
D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 199......Page 214
B. Active Follower Stage......Page 215
A. Formalism......Page 216
B. Application......Page 217
Fig.€9. Flow graph of the four-port/two-port connection.......Page 218
A. 2- ${\hbox{V}}_{pp}$ MMIC Amplifier......Page 219
B. 3- ${\hbox{V}}_{pp}$ MMIC Amplifier......Page 220
Fig.€20. Simulated stability factor ( $K$ ) of the amplifier wit......Page 221
E. Kerhervé, P. Jarry, and P. M. Martin, Design of broad-band ma......Page 222
I. I NTRODUCTION......Page 223
A. TM Modes......Page 224
B. TE Modes......Page 225
C. Coupling Coefficients......Page 226
A. Cross-Shaped Iris......Page 227
C. Inductively Coupled Rectangular Waveguide Filter With Rounded......Page 228
D. Circular Waveguide Dual-Mode Filter With Elliptical Irises an......Page 229
C. Components $\mathhat{\bf y}\mathhat{\bf x}$, $\mathhat{\bf y}......Page 230
G. Conciauro, M. Bressan, and C. Zuffada, Waveguide modes via an......Page 231
C.-T. Tai, Dyadic Green Functions in Electromagnetic Theory, 2nd......Page 232
A. Synthetic Delay Lines......Page 234
A. Layout......Page 235
B. Process Technology......Page 236
IV. R ESULTS AND A NALYSIS......Page 237
TABLE€II F IGURES - OF -M ERIT FOR D ELAY L INES......Page 238
A. Kozyrev, V. Osadchy, A. Pavlov, D. Kosmin, L. Sengupta, X. Zh......Page 239
O. G. Vendik, S. P. Zubko, and M. A. Nikol'ski, Microwave loss-f......Page 240
I. I NTRODUCTION......Page 241
B. Modeling of Feedforward System......Page 242
III. P ARAMETER S ELECTION C RITERIA......Page 243
Fig.€3. $S$ histograms for the: (a) real and (b) complex envelop......Page 244
Fig.€5. (a) Main amplifier and (b) feedforward output actual sig......Page 245
Fig.€7. Feedforward output power and ACP for various $C_3$ value......Page 246
VI. C ONCLUSION......Page 247
S. C. Cripps, RF Power Amplifiers for Wireless Communications .......Page 248
A. Bandpass Nonlinearity......Page 249
C. Limiter Amplifier Models......Page 250
IV. S PECTRAL R ESULTS......Page 251
B. Power-Sweep Analysis......Page 252
C. Gain Compression......Page 253
Fig.€10. Measured and calculated ACPR for CDMA reverse-link sign......Page 254
V. Aparin, K. Gard, G. Klemens, and C. Persico, GaAs RFIC's for......Page 255
A. ESDA for CCPWs......Page 257
Fig.€2. Even and odd modes.......Page 258
B. Propagation Characteristics......Page 259
A. Convergence of Computation......Page 260
B. Numerical Results......Page 261
Fig. 10. Thickness effect on phase constant. ${ h}=1.524\ {\hbox......Page 262
Fig.€16. Thickness effect in the dominant and higher order modes......Page 263
R. F. Harrington, Time Harmonic Electromagnetic Fields . New Yor......Page 264
R. E. Collin, Field Theory of Guided Waves . New York: McGraw-Hi......Page 265
I. I NTRODUCTION......Page 266
Fig. 2. Fabricated LCP board (size $ im{\hbox{9 in}}\times{\hbo......Page 267
A. Nonlinear Mapping Using ANNs......Page 268
Fig. 8. Frequency characteristic of ${\rm Imag}[Z11]$ of an indu......Page 269
Fig. 11. $L$ -contour for the inductors (length in mils; $1\ {\h......Page 270
C. Synthesis and Optimization......Page 271
A. Development of Lumped-Circuit Models......Page 272
B. Synthesis Using Model Mapping......Page 273
C. Correlation of Synthesized Model to EM Simulation......Page 275
Fig.€22. Correlation between full-wave data (triangular) and dat......Page 276
Fig.€25. Synthesized filters centered at 2.9 GHz from a referenc......Page 277
VI. C ONCLUSIONS......Page 278
G. Matthei et al., Microwave Filters, Impedance Matching Network......Page 279
I. I NTRODUCTION......Page 281
B. Analysis......Page 282
Fig.€5. 3-D illustration showing the design parameters of a lami......Page 283
Fig.€8. Comparison between a dielectric-filled VWG resonator wit......Page 284
A. Resonance Frequency......Page 285
A. High- $Q$ LTCC Resonator Design......Page 286
Fig.€16. Measured power and frequency variation versus gate bias......Page 287
T. Geist and H. Barth, Very low noise $Ka$ -band oscillator in L......Page 288
M. G. Keller, A. P. Freundorfer, and Y. M. M. Antar, A single-ch......Page 289
A. Single Resonator Filter......Page 290
Fig. 4. Simulated responses of center frequency $({ f}_{ o})$ an......Page 291
B. Duplexer (41/61 GHz)......Page 292
Fig.€11. Measured and simulated return loss ( $S11$ ) for both c......Page 293
Fig. 15. (a) External quality factor $({ Q}_{\rm ext})$ evaluate......Page 294
III. 40-GHz D IRECTIONAL F ILTER......Page 295
Fig.€20. Antenna structure.......Page 296
J.-S. Hong and M. J. Lancaster, Coupling of microstrip square op......Page 297
D. M. Pozar and D. H. Schauber, Microstrip Antennas . Piscataway......Page 298
II. F ABRICATION OF I NDUCTORS......Page 300
Fig.€3. Charge-coupled device (CCD) photographs of inductors. (a......Page 301
Fig.€5. Characteristics of inductors with various inductor width......Page 302
Fig.€7. Equivalent circuit modeling of a five-turn solenoid indu......Page 303
K. Itoi, M. Sato, H. Abe, H. Ito, H. Sugawara, K. Okada, K. Masu......Page 304
TABLE I M OBILE S ERVICES D ETECTED BY THE D ESIGNED MS. *) S ER......Page 305
IV. MS A NTENNAS......Page 306
V. P RACTICAL DLS I MPLEMENTATION......Page 307
D. Intentional Shadowing of the C-Link......Page 308
K. Siwiak, Radiowave Propagation and Antennas for Personal Commu......Page 309
I. I NTRODUCTION......Page 310
1) Linearity Condition: As shown by (8), and as detailed in [ 13......Page 311
A. Constant Phase-Imbalance Predistortion......Page 312
B. Variable Phase Predistortion......Page 313
A. Simulation Results......Page 314
B. Experimental Results......Page 315
Fig.€14. Measured demodulated 16-QAM constellation using a Chire......Page 316
IV. C ONCLUSION......Page 317
C ONSTANT P HASE -I MBALANCE P REDISTORTION......Page 318
I MPACT ON E FFICIENCY......Page 319
A. Birafane and A. Kouki, An analytical approach to LINC power c......Page 320
Fig.€1. GSM PA characteristic at low band (824 915 MHz).......Page 321
Fig.€3. EDGE mode: characteristics versus drain voltage.......Page 322
Fig.€7. Consumption of linear PA based on drain regulation compa......Page 323
IV. O NE -C HIP PA $+$ S WITCH A RCHITECTURE......Page 324
Fig.€12. Die layout of series configuration PA output stage.......Page 325
V. C ONCLUSIONS......Page 326
A. Ezzeddine, H. -L. A. Hung, and H. C. Huang, High voltage FET......Page 327
Website......Page 329
460 - 01440749......Page 330
470 - [email protected] 331
480 - 01440751......Page 332

Citation preview

JUNE 2005

VOLUME 53

NUMBER 6

IETMAB

(ISSN 0018-9480)

PART II OF TWO PARTS

SPECIAL ISSUE ON 34TH EUROPEAN MICROWAVE CONFERENCE

Amsterdam Canal. Amsterdam, The Netherlands, was the site of the 34th European Microwave Conference held 12–14 October 2004

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. C. GUPTA, President M. P. DE LISO S. M. EL-GHAZALY M. HARRIS T. ITOH

K. VARIAN, Vice President D. HARVEY J. HAUSNER L. KATEHI

A. MORTAZAWI, Secretary T. LEE V. J. NAIR D. LOVELACE B. PERLMAN J. MODELSKI D. RUTLEDGE

S. KAWASAKI J. S. KENNEY N. KOLIAS

Honorary Life Members A. A. OLINER K. TOMIYASU T. S. SAAD L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH W. HOEFER

Distinguished Lecturers T. ITOH B. KIM J. LASKAR J. C. RAUTIO

M. HARRIS, Treasurer K. VARIAN R. WEIGEL S. WETENKAMP

W. SHIROMA R. SNYDER R. SORRENTINO D. RYTTING M. SHUR P. SIEGEL R. J. TREW

Past Presidents R. J. TREW (2004) F. SCHINDLER (2003) J. T. BARR IV (2002)

MTT-S Chapter Chairs Albuquerque: G. WOOD Atlanta: J. PAPAPOLYMEROU Austria: R. WEIGEL Baltimore: B. MCCARTHY Beijing: Y.-R. ZHONG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Buenaventura: L. HAYS Buffalo: M. R. GILLETTE Bulgaria: F. FILIPOV Cedar Rapids/Central Iowa: D. JOHNSON Central New England/Boston: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: J.-F. LEE Croatia: J. BARTOLIC Czech/Slovakia: P. HAZDRA Dallas: P. WINSON Dayton: A. TERZOULI, JR. Denver: K. BOIS East Ukraine: A. KIRILENKO Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’BRIEN

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: M. A. BARNES India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: H. JUI-PANG Kitchener-Waterloo: R. R. MANSOUR Long Island/New York: M. HANCZOR Los Angeles Council: T. LEE Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: G. N. S. PRASANNA New South Wales: G. TOWN North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: M. OLAVSBRATEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU

Editor-In-Chief MICHAEL STEER WOLFGANG MENZEL North Carolina State Univ. Univ. of Ulm Raleigh, NC Germany 27695-7911 USA email: Phone: +1 919 515 5191 [email protected] Fax: +1 919 513 1979 ANDREAS CANGELLARIS email: Univ. of Illinois, Urbana Champaign [email protected] USA email: [email protected] ANTTI RÄISÄNEN Helsinki Univ. of Technol. Finland email: [email protected] M. GUPTA, Editor, IEEE Microwave Magazine

Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Poland, Lithuania: I. NAIDIONOVA Portugal: V. FERENDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: F. POLO Romania: I. SIMA Russia, Jt. Nizhny: Y. BELOV Russia, Jt. Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: U. S. DHALIWAL San Fernando Valley: J. C. WEILER, JR Santa Clara Valley/San Francisco: M. SHAKOURI Seattle: T. RASCHKO Seoul Council: H.-Y. LEE Siberia, Jt. Novosibirsk: V. SHUVALOV Siberia, Tomsk: E. GOLOVIN Singapore: O. B. LEONG South Africa: J. JOUBERT South Australia: B. BATES Associate Editors

South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: J. F. JOHANSSON Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: V. VIVEK Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine West: M. I. ANDRIYCHUK Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: A. N. BIANCHI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Eastern Northern Virginia: E. ADLER Winnipeg: S. NOGHANIAN Yugoslavia: A. MARINCIC

BUMMAN KIM KENJI ITOH ZOYA POPOVIC Pohang Univ. Sci. Technol. Univ. of Colorado at Boulder Mitsubishi Electric Corp. Korea USA Japan email: email: email: [email protected] [email protected] [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS RUEY-BEEI WU Univ. of Michigan at Ann Arbor NIST National Taiwan Univ. USA Taiwan, R.O.C. USA email: email: email: [email protected] [email protected] [email protected] YOSHIO NIKAWA ALESSANDRO CIDRONALI PETER RUSSER Technische Univ. Muenchen Univ. of Florence Kokushikan Univ. Germany Italy Japan email: email: email: [email protected] [email protected] [email protected] T. LEE, R. VAHLDIECK, Editor, IEEE Microwave and Wireless Component Letters

STEVEN MARSH Midas Consulting U.K. email: [email protected] MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] Web Master

IEEE Offficers LEAH H. JAMIESON, Vice President, Publication Services and Products W. CLEON ANDERSON, President and CEO MARC T. APTER, Vice President, Regional Activities MICHAEL R. LIGHTNER, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association MOHAMED EL-HAWARY, Secretary JOHN R. VIG, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer GERARD A. ALPHONSE, President, IEEE-USA ARTHUR W. WINSTON, Past President MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

Executive Staff

DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $69.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2005 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A. Cover photo: Photograph by Shmuel Auster, IEEE AP/MTT Chapter Chairman, Israel Section.

Digital Object Identifier 10.1109/TMTT.2005.852213

JUNE 2005

VOLUME 53

NUMBER 6

IETMAB

(ISSN 0018-9480)

PART II OF TWO PARTS

SPECIAL ISSUE ON 34TH EUROPEAN MICROWAVE CONFERENCE Editorial. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Russer

1935

PAPERS

Broad-Band Microwave Characterization of Liquid Crystals Using a Temperature-Controlled Coaxial Transmission Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Mueller, A. Penirschke, C. Damm, P. Scheele, M. Wittek, C. Weil, and R. Jakoby Broad-Band and Circularly Polarized Space-Filling-Based Slot Antennas . . . . . . . . . . . . . . . . . . . . H. A. Ghali and T. A. Moselhy A Novel Microstrip-Line Structure Employing a Periodically Perforated Ground Metal and Its Application to Highly Miniaturized and Low-Impedance Passive Components Fabricated on GaAs MMIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Yun A Modified Ring Dielectric Resonator With Improved Mode Separation and Its Tunability Characteristics in MIC Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. V. Srivastava, V. V. Mishra, and A. Biswas Design Considerations and Technology Assessment of Phased-Array Antenna Systems With RF MEMS for Automotive Radar Applications . . . . . . . . J. Schoebel, T. Buck, M. Reimann, M. Ulm, M. Schneider, A. Jourdain, G. J. Carchon, and H. A. C. Tilmans A High-Temperature Superconducting Filter for Future Mobile Telecommunication Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-S. Hong, E. P. McErlean, and B. M. Karyamapudi Multitone Phase and Amplitude Measurement for Nonlinear Device Characterization . . . . . . . J. P. Martins and N. Borges Carvalho Multiport Method for the Measurement of the Scattering Parameters of N -Ports . . . . . . . . . . . . . . . . . . . . . .I. Rolfes and B. Schiek Microwave Filters With Improved Stopband Based on Sub-Wavelength Resonators . . . . . . . . . . . . . . .J. García-García, F. Martín, F. Falcone, J. Bonache, J. D. Baena, I. Gil, E. Amat, T. Lopetegi, M. A. G. Laso, J. A. Marcotegui Iturmendi, M. Sorolla, and R. Marqués L-Band LDMOS Power Amplifiers Based on an Inverse Class-F Architecture . . . . . . . . . . . . . . . F. Lépine, A. Ådahl, and H. Zirath Integrated Silicon Schottky Mixer Diodes With Cutoff Frequencies Above 1 THz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Morschbach, A. Müller, C. Schöllhorn, M. Oehme, T. Buck, and E. Kasper Fast Optimization and Sensitivity Analysis of Nonintuitive Planar Structures . . . . . . . . . . . . . D. Cormos, R. Loison, and R. Gillard High Performances of Shielded LTCC Vertical Transitions From DC up to 50 GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .R. Valois, D. Baillargeat, S. Verdeyme, M. Lahti, and T. Jaakola

1937 1946 1951 1960 1968 1976 1982 1990 1997 2007 2013 2019 2026

(Contents Continued on Page 1934)

(Contents Continued from Page 1933) An Si Schottky Diode Demultiplexer Circuit for High Bit-Rate Optical Receivers . . . . . . . . . J. H. Choi, G. R. Olbrich, and P. Russer An Unbiased Integrated Microstrip Circulator Based on Magnetic Nanowired Substrate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Saib, M. Darques, L. Piraux, D. Vanhoenacker-Janvier, and I. Huynen Very Low-Noise Differential Radiometer at 30 GHz for the PLANCK LFI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Aja, E. Artal, L. de la Fuente, J. P. Pascual, A. Mediavilla, N. Roddis, D. Kettle, W. F. Winder, L. Pradell i Cara, and P. de Paco Design of Multiple-Metal Stacked Inductors Incorporating an Extended Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .O. H. Murphy, K. G. McCarthy, C. J. P. Delabie, A. C. Murphy, and P. J. Murphy A Short Stub-Matching 77-GHz-Band Driver Amplifier With an Attenuator Compensating Temperature Dependence of a Gain. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .S. Chaki, T. Ishida, T. Mizukoshi, H. Yumoto, Y. Sasaki, M. Komaru, and Y. Matsuda Extraction of Nonlinear Parameters of Dispersive Avalanche Photodiode Using Pulsed RF Measurement and Quasi-DC Optical Excitation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Ghose, B. Bunz, J. Weide, and G. Kompa Design Methodologies of Planar Duplexers and Triplexers by Manipulating Attenuation Poles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Ohno, K. Wada, and O. Hashimoto Integration of Crossed Anisotropy Magnetic Core Into Toroidal Thin-Film Inductors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .M. Frommberger, C. Schmutz, M. Tewes, J. McCord, W. Hartung, R. Losehand, and E. Quandt Millimeter-Wave High-Efficiency Multilayer Parasitic Microstrip Antenna Array on Teflon Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Seki, N. Honma, K. Nishikawa, and K. Tsunekawa Noncontacting Determination of Moisture Content in Bulk Materials Using Sub-Nanosecond UWB Pulses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . O. Schimmer, A. Gülck, F. Daschner, J. K. Piotrowski, and R. H. Knöchel Multimode Cavity Efficiency Optimization by Optimum Load Location—Experimental Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. E. Requena-Pérez, J. L. Pedreño-Molina, J. Monzó-Cabrera, and A. Díaz-Morcillo Intermodulation Distortion Analysis of Class-F and Inverse Class-F HBT Amplifiers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Ohta, A. Inoue, S. Goto, K. Ueda, T. Ishikawa, and Y. Matsuda A 2.14-GHz Chireix Outphasing Transmitter . . . . . . . . . I. Hakala, D. K. Choi, L. Gharavi, N. Kajakine, J. Koskela, and R. Kaunisto Suppression of Harmonics in Wilkinson Power Divider Using Dual-Band Rejection by Asymmetric DGS . . ..D.-J. Woo and T.-K. Lee 40-Gb/s Wide-Band MMIC pHEMT Modulator Driver Amplifiers Designed With the Real Frequency Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Kerhervé, C. P. Moreira, P. Jarry, and L. Courcelle CAD of Complex Passive Devices Composed of Arbitrarily Shaped Waveguides Using Nyström and BI–RME Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Taroncher, A. Vidal, V. E. Boria-Esbert, S. Marini, S. Cogollos, J. Gil, and B. Gimeno Ultrawide-Band Tunable True-Time Delay Lines Using Ferroelectric Varactors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Kuylenstierna, A. Vorobiev, P. Linnér, and S. Gevorgian A Multitone Model of Complex Enveloped Signals and Its Application in Feedforward Circuit Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. H. Coskun, A. Mutlu, and S. Demir The Impact of RF Front-End Characteristics on the Spectral Regrowth of Communications Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. G. Gard, L. E. Larson, and M. B. Steer Full-Wave Analysis for Propagation Characteristics of Cylindrical Coplanar Waveguides With Finite Thickness of Conductor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Yamamoto, H. Miyagawa, T. Nishikawa, K. Wakino, and T. Kitazawa Layout-Level Synthesis of RF Inductors and Filters in LCP Substrates for Wi-Fi Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Mukherjee, B. Mutnury, S. Dalmia, and M. Swaminathan Study of Cylindrical Multilayered Ceramic Resonators With Rectangular Air Cavity for Low-Phase Noise K=Ka-Band Oscillators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. M. El-Tager and L. Roy Highly Integrated Millimeter-Wave Passive Components Using 3-D LTCC System-on-Package (SOP) Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-H. Lee, G. DeJean, S. Sarkar, S. Pinel, K. Lim, J. Papapolymerou, J. Laskar, and M. M. Tentzeris High-Quality Solenoid Inductor Using Dielectric Film for Multichip Modules . . . . . .J.-M. Yook, J.-H. Ko, M.-L. Ha, and Y.-S. Kwon Microwave System for the Detection and Localization of Mobile Phones in Large Buildings. . . . P. Hudec, M. Polivka, and P. Pechac Phase-Only Predistortion for LINC Amplifiers With Chireix-Outphasing Combiners . . . . . . . . . . . . . . . A. Birafane and A. B. Kouki Novel Multimode J-pHEMT Front-End Architecture With Power-Control Scheme for Maximum Efficiency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. C. Clifton, L. Albasha, A. Lawrenson, and A. M. Eaton Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2033 2043 2050 2063 2073 2082 2088 2096 2101 2107 2114 2121 2129 2139 2145 2153 2164 2171 2179 2187 2196 2211 2220 2230 2235 2240 2251 2259

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1935

Editorial

T

HIS TRANSACTIONS’ Special Issue is dedicated to the state-of-the-art papers in microwave theory and techniques that have their origins in papers presented at the 34th EUROPEAN MICROWAVE CONFERENCE (EuMC), Amsterdam, Netherlands, October 11–15, 2004. It is this TRANSACTIONS first Special Issue dedicated to the EuMC. Founded in 1969, the EuMC is the most important microwave event in Europe. It was first run on a two-year basis, in London, U.K., in 1969, in Stockholm, Sweden, in 1971, and in Brussels, Belgium, in 1973, respectively. The event then became annual, beginning in Montreux, Switzerland, in 1974, and was associated with an exhibition of microwave equipment. From the very first EuMC, members from all over Europe, including Central and Eastern Europe, as well as some from the U.S. helped the local committee in establishing a high-standard international conference. Since 1974, a Management Committee composed of approximately 15 members each representing a European country or group of countries, a Technical Program Committee (TPC), and a large paper review board has run the EuMC. The Members of the Management Committee are selected by a variety of external bodies such as national societies, European IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Chapters, or national URSI committees. Since 1997, the Management Committee has also included a member designated by the IEEE Region 8 Section. A significant change was introduced in 1997. To operate with more stability, the Management Committee created a Steering Committee of six members. The Management Committee elects five of the members. To ensure a stronger link between the EuMC and the IEEE MTT-S, the sixth Steering Committee member is designated by the IEEE MTT-S. A further development came with the decision of the Management Committee to insert the EuMC into a European Microwave Week (EuMW) together with partner conferences [European Gallium Arsenide and other Compound Semiconductors Application Symposium (GAAS), WIRELESS TECHNOLOGIES and, since 2004, also the European Radar Conference (EURAD)]. The EuMW is the European forum for dissemination of results of research as achieved, for instance, in the Networks of Excellence. To place its activities on a secure legal footing, the Management Committee decided

Digital Object Identifier 10.1109/TMTT.2005.848807

in 1997 to create the EUROPEAN MICROWAVE ASSOCIATION (EuMA). The 34th EuMC received a submission of 609 summaries. From these, 339 were accepted for presentation at the conference. Contributions came from 41 countries, the highest contingents in the order of incidence coming from France, Germany, Italy, U.S., Netherlands, Japan, and U.K. This TRANSACTIONS’ Special Issue began by inviting the authors of the papers accepted to EuMC’04 to contribute significantly expanded papers for potential inclusion in this Special Issue. The requirement was that 60% of the submitted paper should be new and different from the digest papers presented at the EuMC’04. For this Special Issue, we received 93 papers, which correspond to a response rate to the Calls for Papers of 27%. From the submitted extended manuscripts, 40 have been accepted for publication. I hope that the technical content of the papers published in this Special Issue will be of great interest and use to you. I would like to thank all the authors for their cooperation of making this TRANSACTIONS’ Special Issue possible. I especially thank all the reviewers. Many reviewers were asked to provide expert advice on one or more papers, and they had a difficult challenge in responding to the tight deadlines. I thank this TRANSACTIONS’ Editor-in-Chief, Michael Steer, for support and advice in preparing this special issue, Andreas Cangellaris, Kenji Itoh, Yoshio Nikawa, and Dylan Williams for handling some of the reviews, and Piet van Genderen, the general chairman of EuMW 2004 for support in announcing this Special Issue. I am also highly indebted to Robert Wanner who assisted me in managing the review process.

PETER RUSSER, Guest Editor and Associate Editor, TMTT Munich University of Technology Institute for High Frequency Engineering Department of Electrical Engineering and Information Technology Munich, 80333 Germany

0018-9480/$20.00 © 2005 IEEE

1936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Peter Russer (SM’81–F’94) received the Dipl.-Ing. and Dr. techn. degrees in electrical engineering from the Technische Universität Wien, Vienna, Austria, in 1967 and 1971, respectively. From 1968 to 1971, he was an Assistant Professor with the Technische Universität Wien. In 1971, he joined the Research Institute of AEG-Telefunken, Ulm, Germany, where he was involved with fiber-optic communication, broad-band solid-state electronic circuits, statistical noise analysis of microwave circuits, laser modulation, and fiber-optic gyroscopes. Since 1981, he has been a Full Professor and Head of the Institute for High-Frequency Engineering, Technische Universität München (TUM), Munich, Germany. From October 1997 to September 1999, he was the Dean of the Department of Electrical Engineering and Information Technology, TUM. In 1990, he was a Visiting Professor with the University of Ottawa. In 1993, he was a Visiting Professor with the University of Victoria. From October 1992 to March 1995, he was Director of the Ferdinand-Braun-Institut für Höchstfrequenztechnik, Berlin, Germany. He has authored or coauthored over 500 scientific papers in refereed journals and conference proceedings. He has developed a variety of courses in RF techniques, microwaves, quantum electronics, and optical communications. He is the Program Director of the international graduate program Master of Science in Microwave Engineering at TUM. Over the years, he has graduated over 400 students of which more than 50 have received their Ph.D. degree. His current research interests are electromagnetic fields, antennas, integrated microwave and millimeter-wave circuits, statistical noise analysis of microwave circuits, and methods for computer-aided design of microwave circuits. He is a member of the Editorial Board of several international journals, including Electromagnetics and the International Journal of Numerical Modeling. Dr. Russer is a member of the German Informationstechnische Gesellschaft (ITG), the German Physical Society, and the Austrian Physical Society. He has served as a member of the Technical Program Committees and Steering Committees of various international conferences (IEEE Microwave Theory and Techniques Society (IEEE MTT-S), European Microwave Conference). He was guest editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. HE was chairman of the German Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) IEEE Joint Chapter from 1986 to 1989. Since 2000, he has been an elected member of the Senate Commission for Sonderforschungsbereiche of the Deutsche Forschungsgemeinschaft (German Research Council). From 1999 to 2000, he was vice chair and, from 2002 to 2005, he has been chair of the International Commission D of the Union Radio-Scientifique Internationale (URSI). He was the recipient of the 1979 Nachrichtentechnische Gesellschaft (NTG) Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1937

Broad-Band Microwave Characterization of Liquid Crystals Using a Temperature-Controlled Coaxial Transmission Line Stefan Mueller, Student Member, IEEE, Andreas Penirschke, Christian Damm, Student Member, IEEE, Patrick Scheele, Student Member, IEEE, Michael Wittek, Carsten Weil, Member, IEEE, and Rolf Jakoby, Member, IEEE

Abstract—Liquid crystals (LCs) promise to be suitable passive tunable material for microwave devices with excellent features concerning tunability and losses. In order to optimize the synthesis of LCs and the design of tunable microwave devices based on them, LCs have to be characterized at microwaves. For a microwave analysis between 360 MHz–23 GHz, use was made of a broad-band characterization method with a two-port coaxial line, temperature controlled within 7 C and 115 C. Two LCs are investigated: K15, as a quasi-standard, and MDA-03-2838, as a novel mixture with increased dielectric anisotropy and reduced microwave losses tan . Index Terms—Anisotropic media, coaxial transmission lines, liquid crystals (LCs), microwave measurements, microwave phase shifters, permittivity measurement, temperature control.

I. INTRODUCTION

B

ESIDES optical applications, liquid crystals (LCs) are rarely used, especially at microwaves. However, over the last few years, research interest has increased in tunable or reconfigurable microwave components using LCs. The large number of optimization aspects like temperature range, dielectric anisotropy, viscosity, tuning voltage, etc. cannot be served by a single LC. Only a mixture of, in general, up to 20 single LCs can satisfy the large number of requirements. Different applications like tunable filters, matching networks, or phase shifters can be realized by using LCs in an inverted microstrip-line technique [2]–[6]. A material characterization is essential for an optimization of the synthesis of LC mixtures for microwaves, as well as for a design optimization of microwave devices. Important constraints like operating temperature range and response time of devices can be determined in advance by use of the material parameters. This paper describes a broad-band microwave characterization of LCs between 360 MHz–23 GHz using a coaxial transmission-line method temperature controlled between 7 C–115 C.

Manuscript received October 1, 2004; revised January 7, 2005. S. Mueller, A. Penirschke, C. Damm, P. Scheele, and R. Jakoby are with the Laboratory of Wireless Communications, Darmstadt University of Technology, 64283 Darmstadt, Germany (e-mail: [email protected]). M. Wittek is with Merck KgaA, 64293 Darmstadt, Germany. C. Weil is with AFT Advanced Ferrite Technology GmbH, 71522 Backnang, Germany. Digital Object Identifier 10.1109/TMTT.2005.848842

Fig. 1.

Schematic of a K15 molecule with two benzene rings.

II. PROPERTIES OF LCs LCs exhibit a mesophase between the physical transition from the solid crystalline phase to the isotropic liquid phase. This mesophase can be separated by the orientational and positional order into nematic, smectic, and cholesteric. In the following, only LCs with a nematic mesophase [i.e., nematic liquid crystals (NLCs)] are investigated. A typical NLC molecule, e.g., K15, consists of a number of aromatic rings and two end groups, as shown in Fig. 1. At least one of the two end groups has a polar behavior. points in the same direction as the elongation A director of the molecule. Additionally, a 3 3 tensor of the permittivity can be defined with only nonzero values in the main diagonal for a coordinate system , , and , as shown in Fig. 1. The tensor (1) describes the dielectric anisotropy of LCs. A parallel permittivity of the molecules occurs for microwave-field components parallel to the director , whereas a perpendicular permittivity is effective for microwave field components perpendicular to the director . For an arbitrarily directed microwave field, consisting of all three field components, the electrical components are weighted with different values. Therefore, the and the electrical flux density electrical-field strength point in different directions. NLCs exhibit a far range orientational order. They can be oriented by the following external alignment methods. 1) Electrostatic fields: a parallel orientation of the director to the electrostatic field occurs due to the dipole moment of the molecule end groups.

0018-9480/$20.00 © 2005 IEEE

1938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2) Magnetostatic fields: by minimizing the magnetostatic flux through the aromatic rings, the free energy is minimized, which occurs for an orientation of the director parallel to the magnetostatic field. 3) Surface interactions: depending on the surface of certain materials, a defined orientation of the molecules occur, e.g., by using mechanically rubbed polyimide films, the director orients parallel to the surface. Two relaxation frequencies exist for the NLC molecule, one for the rotation along the short axis in the lower megahertz region and one along the long axis in the upper megahetz region, depending on the composition of the mixtures. Between these two relaxation frequencies, the perpendicular permittivity exceeds the parallel permittivity, leading to a negative dielectric anisotropy. An electrical field with a frequency between the relaxation frequencies leads to a perpendicular orientation of the LC molecules compared to this field. This alignment method, using the negative dielectric anisotropy is shown in [4] in order to improve the response time. A dielectric tunability can be defined by (2) As shown in [2], there is a positive tunability above the relaxation frequency along the long axis. A quality factor of the material can be defined by the ratio of tunability and maximum loss factor (3) The material quality factor is one of the most important parameters for tunable materials. As already presented in [1], the permeability is approxi. Therefore, the refractive index and dielectric mately assuming Maxwell relations is anisotropy

(4) As shown in [2], the properties of a transmission-line phaseshifter device can be estimated by using the characterized diand losses . The phase shift per unit electric anisotropy becomes length deg m

(5)

whereas the figure-of-merit (FoM) results in deg dB

(6)

and FoM are The estimated phase shift per unit length best case values for a phase-shifter device with the following assumptions: 1) perfect alignment for parallel and perpendicular orientation;

Fig. 2.

Schematic representation of the coaxial measurement setup.

2) complete guidance of the electromagnetic field in the LC ; 3) no losses due to radiation or finite conductivity; 4) perfect matching to the characteristic impedance of the microwave system. III. COAXIAL-LINE SETUP A. Measurement Setup To allow broad-band characterization of LC dielectrics, a coaxial-line structure is implemented in a measurement setup. The LC is filled between the inner and outer conductor. Thus, the electrical properties of the coaxial line are affected by the unknown dielectric properties of the material under test. To form a closed volume for the LC, a setup is built according to Fig. 2. It consists of a fixture block and coaxial line, separated into two feeding lines and the test cell. Besides clamping the feed lines and sealing the LC volume, the fixture block also forms the coaxial outer conductor in the region of the test cell. An increase in the length of the test cell increases the accuracy of the permittivity extraction, but also increases the required LC volume. A compromise has to be found to get the most accurate results for the lowest LC volumes. In this setup, the LC filled section has a length of 52.5 mm and a volume of less than 0.5 mL. Due to geometrical discontinuities, reflections occur in the measured scattering parameters. Using a model-based extraction, these reflections would be ascribed spuriously to material properties. Therefore, the feed lines and inner conductor of the test cell consist of only one part with a maximum of geometrical continuity. After screwing the two halves together, the LC is filled in the test cell using the filling channels. Simulations with CST Microwave Studio of a lossless permitrevealed that the changes of the transmission tivity with with and without filling holes of the scattering parameters are less than 0.04 dB and 0.3 . The differences of the extracted permittivity between both simulations are below and in the investigated frequency range. The influence of the remaining discontinuities in the feed lines can be minimized using an appropriate calibration. Here, a thru-reflect-line (TRL) calibration is used to eliminate effects caused by the connectors and feed lines, and to place the calibration plane right at the transition from the feeding dielectric to the LC. Therefore, only the LC filled coaxial line affects the measured scattering parameters. One-half of the test setup is shown in Fig. 3. The inner conductor and feed lines are made of a semirigid cable RG-405 by removing the outer conductor for

MUELLER et al.: BROAD-BAND MICROWAVE CHARACTERIZATION OF LCs

Fig. 3.

1939

Lower half of the opened test setup with inserted semirigid cable. Fig. 5. Complete measurement cell, flanked with the two tempered bricks.

Fig. 4. Cross sections of the coaxial line. (left) Alignment of the molecules by use of an electrostatic field. (right) Alignment of the molecules by use of an external magnetostatic field.

the parts within the fixture. In the test cell, the PTFE of the cable is also removed. The overlapping semirigid dielectric serves as a seal when the two halves are pressed together. For an extraction of the tunability of LCs, alignment methods have to be used in the measurement setup. In this setup, electrostatic and magnetostatic fields are used for the orientation of the LC molecules. A cross section of the coaxial line with the applied electrostatic fields (left-hand side) and magnetostatic fields (right-hand side) is shown in Fig. 4. By applying a dc voltage between the inner and outer conductor of the coaxial line, an electrostatic-field distribution, as shown in Fig. 4 (left-hand side) arises and orients the molecules in parallel to this field. The voltage is applied through the bias ports of the and the vector network analyzer. The parallel permittivity can be measured because the distribution of the losses microwave and electrostatic fields are identical. Since it is not possible to achieve a perpendicular orientation of the molecules, another defined orientation is used, from which the perpendicular properties can be extrapolated. This can be done by applying an external homogeneous magnetostatic field, as shown in Fig. 4 (right-hand side), where the molecules orient in parallel to this field. For a radial and microwave-field distribution, the electric flux density point in different directions and, therefore, the director electric flux density and electric-field strength point, depending and the angle in different on the dielectric anisotropy directions, leading to angle-dependent field deformations in the cross section. Neglecting the field deformations based on the anisotropic behavior, the effective permittivity results in

By knowledge of the measured effective and parallel permittivity, the perpendicular permittivity can be calculated. However, the worst case error of the perpendicular permittivity is twice that of the error of the parallel permittivity due to the reuse of the parallel permittivity in (7). The scattering parameters of the two orientations shown in Fig. 4 can be simulated with CST Microwave Studio. The extraction of the permittivity tensor according to (7) reveals that the difference between the predetermined permittivity tensor and extracted permittivity tensor is very low for a dielectric tun. ability B. Thermal Control Two metallic bricks with drilled conduits, which provide a flow of thermal oil, are screwed at opposite sides of the LC filled measurement system, as shown in Fig. 5. Thus, the temperature of the LC in the coaxial line is controlled from 7 C up to 115 C. A temperature sensor near the coaxial line detects the actual temperature of the LC. To prevent damage of the measurement equipment due to excessive heating, the temperature is kept below 115 C. There is an uncertainty of approximately 1 C in the LC temperature due to thermal resistances between the temperature sensor and LC. The temperature sensor itself has a typical accuracy of 0.5 C. Therefore, the overall temperature measurement error is 1.5 C. In contrast to RF-measurement errors, the temperature measurement error causes only a shift of the temperature axis, but does not affect the permittivity extraction accuracy itself. C. Complex Permittivity Extraction Since the permittivity is not a directly measurable quantity, the scattering parameters of the measurement setup have to be measured instead. The permittivity of the LC can be derived from the obtained scattering parameters using appropriate models. For an arbitrary TEM waveguide without metallic losses, the chain parameter matrix is given by

(8) (7)

1940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

with

(13)

By a linear combination of the measured and analytical -parameters, an equivalent zero-finding problem can be formulated

Fig. 6. Comparison of worst case error between analytical and numerical permittivity extraction, exemplarily given for a material with " = 1 and tan  = 0. The used scattering parameters are analytically derived from model-based chain parameters, as given in (8), and then falsified by a magnitude error of 5%. The upper plot shows the relative error of " for both extraction methods. The lower plot shows the absolute error of the imaginary part of the permittivity in relation to " . The line with the circular symbol in both plots points out the error proportionality to 1=f .

with the complex propagation constant of the LC, the complex , and cell length . The propagation constant line impedance can be written as

(14) with a weighting factor . For materials with low losses, can be set to zero. Due to the transcendency of this equation, a numerical solution is necessary. To solve the complex permittivity with the Newton–Raphson iteration [10], a set of two real-valued equations has to be solved instead of one complex equation. Therefore, all complex symbols previously marked with an underscore are now presented as vectors consisting of the real and imaginary parts of the corresponding complex permittivity

(9) (15) with a permeability of from (8) for solving

. Substituting (9) into (8) and leads to

Based on the Newton–Raphson iteration for nonlinear equations, a modified iteration is applied as follows:

(10) (16) For extracting the permittivity, the chain parameter is calculated from the measured scattering parameters and used in (10). results from the periodicity of the complex The term cosh function and makes the expression for ambiguous. This ambiguity can be solved by evaluating the signal delay and choosing , fulfilling (11) The signal delay can be derived directly from the scattering parameter (12) A problem of this analytical solution is the high sensitivity of errors at frequencies near to a multiple of of the test cell length, shown in Fig. 6. Hence, for broad-band measurements, this behavior restricts usage of this analytical extraction. Therefore, a different extraction algorithm has been implemented, which distinctly reduces the error sensitivity according to [12]. In this case, the scattering equations are solved indirectly and can be written for the setup in Fig. 2 as

is the Jacobian matrix related to the function . The bracketed superscript indicates the iteration step of . The distinction between (16) and the Newton–Raphson iteration is the scalar variable , called a relaxation parameter. The naming of this variable has no relation to the physical property of LC relaxation, but arises from the successive overrelaxation iteration for large linear equations [11]. When (16) is written as (17) it is obvious that directly controls the step size between two iterations. Since (17) has one physical and an infinite number of nonphysical solutions, the step size is very important to control convergence to the desired solution, which is controlled, on the one hand, by comparing the measured signal delay and the signal delay of a TEM waveguide with the calculated permittivity and, on the other hand, by enforcing a continuous permittivity function. In addition to this, the convergence velocity strongly depends on the step size. An algorithm for adaptively choosing the relaxation parameter at run time of the iteration has been implemented in MATLAB and leads to stable and fast solutions. Additionally, this algorithm is more than 30 times faster than the classical Newton iteration without an adaptive relaxation parameter.

MUELLER et al.: BROAD-BAND MICROWAVE CHARACTERIZATION OF LCs

Fig. 7.

Dielectric anisotropy

1941

1n of K15 versus frequency and temperature.

Fig. 9. Extracted perpendicular permittivity "

Fig. 8. Extracted parallel permittivity "

of K15.

of K15.

The extracted losses include metallic losses due to finite conductivity. Nevertheless, for an extraction of the air-filled cavity, the extracted losses amount to less than 0.005 in the investigated frequency range, which limits the resolution to approximately 0.005 for the extracted losses of the LCs. The extracted relative permittivity of this air-filled cavity ranges from 0.99 to 1.02. IV. MEASUREMENT RESULTS As already shown in [1], a temperature hysteresis occurs due to supercritical behavior of the LCs when cooled down. Here, the behavior of LCs is investigated versus rising temperature only. A. K15-Standard LC The principle behavior of K15 versus temperature and frequency is shown in Figs. 7–11.

Fig. 10.

Extracted parallel losses

tan 

of K15.

Below 20 C, K15 is in the crystalline state. The parallel and perpendicular permittivities are identical, leading to zero dielectric anisotropy (Fig. 9). The parallel and perpendicular losses are identical at a low level of approximately (Fig. 8 and Fig. 9), which is in the range of the resolution limit. In this temperature range, nearly no temperature or frequency dependency occurs. At 20 C, an abrupt rise in the parallel permittivity occurs, whereas the perpendicular permittivity remains approximately the same. Additionally, both losses increase abruptly, but between 20 C–34.9 C, the values of the parallel losses are a factor of approximately 2 below the one for the perpendicular losses over the whole frequency range (Fig. 10 and Fig. 11).

1942

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Extracted perpendicular losses tan 

of K15.

Fig. 12.

Extracted parallel permittivity "

Fig. 13.

Extracted perpendicular permittivity "

of MDA-03-2838.

The maximum dielectric anisotropy amounts to (tunability ) and the maximum material quality factor is , both at 19.7 C. Above 34.9 C, both permittivities are identical versus temperature and frequency, leading to a zero dielectric anisotropy. Thus, the isotropic liquid phase of K15 is reached at this temperature. The values of the parallel and perpendicular losses are identical, as can be seen, e.g., at 80 C in Fig. 10 and Fig. 11. At low frequencies, both permittivities and losses increase versus temperature. This indicates that the frequencies of the relaxation along short and long axes increase with rising temperature and move into the investigated frequency range. The perpendicular permittivity exceeds the parallel permittivity, leading to a negative dielectric anisotropy (Fig. 7). The relaxation frequency along the long axis is above the relaxation frequency along the short axis. Therefore, the rise of the perpendicular permittivity and losses are more distinctive. B. MDA-03-2838-Novel Mixture The permittivities and losses of MDA-03-2838 are shown in Figs. 12–17. Since a difference in the permittivities can be observed at the lowest adjustable temperature of 7 C, the nematic phase still exists. The parallel permittivity increases up to 21.3 C, whereas the perpendicular permittivity remains almost constant. The transition of MDA-03-2838 from the crystalline state to the nematic phase is less abrupt compared to K15 because the transition temperatures of the ingredients of MDA-03-2838 differs. Above 21.5 C, parallel and perpendicular permittivity decrease slowly with temperature. The dielectric anisotropy decreases between 21.5 C–115 C. A dielectric anisotropy occurs up to at least 115 C, implying still the presence of the liquid-crystalline state. The maximum dielectric anisotropy is and the maximum material quality factor is approximately , both at 18.8 C. A dielectric

of MDA-03-2838.

anisotropy above: 1) arises between GHz and between C and arises between GHz 2) C. and between Some differences are obvious by comparing MDA-03-2838 with K15. The temperature range of the liquid-crystalline state of the LC MDA-03-2838 is distinctly broadened, especially toward higher temperatures. The parallel permittivity of MDA-032838 is increased, whereas the perpendicular permittivity remains approximately the same, leading to an up to 2.8 times higher dielectric anisotropy. At the same time, both losses of . Hence, the MDA-03-2838 are reduced by a factor of material quality factor of MDA-03-2838 is increased by a

MUELLER et al.: BROAD-BAND MICROWAVE CHARACTERIZATION OF LCs

1943

Fig. 16.

Fig. 14.

Extracted parallel losses

tan 

Extracted permittivities "

and "

of MDA-03-2838 in one plot.

of MDA-03-2838.

Fig. 17. Dielectric anisotropy temperature.

1n of MDA-03-2838 versus frequency and TABLE I COMPARISON

Fig. 15.

Extracted perpendicular losses

tan 

of MDA-03-2838.

factor of up to 8.5. The perpendicular permittivity of MDA-032838 also exceeds the parallel permittivity with a change in sign of the dielectric anisotropy at low frequencies and high temperatures. A comparison of the results presented in this paper with the results of a cavity resonator method presented in [1] reveals: of K15 in the nematic phase, the permittivity and loss are nearly the same, whereas MDA-03-2838 slightly differs between the measurement methods. A comparison of the results of these extraction methods are shown in Table I at 26 C and 80 C for MDA-03-2838.

The maximum difference for the permittivity is below 20%; for the mixture MDA-03-2838, a general discrepancy with increased permittivity for the extraction by use of the coaxial transmission line, which cannot be explained up to now. The losses differs, in general, below 30%. Only for values in the resolution limit of 0.005 does a difference of 100% occurs. The temperature of the isotropic state is not measured in [1] so that the material properties in the transition from nematic to isotropic phase and within the isotropic phase cannot be compared.

1944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE II ESTIMATED BEST CASE VALUES OF THE PHASE SHIFT PER UNIT LENGTH AND FoM OF AN LC PHASE-SHIFTER DEVICE

Some principle differences are obvious in the crystalline state due to different alignment methods during cool down. For the cavity resonance method [1], no defined orientation has been applied and, therefore, an undefined permittivity between parallel and perpendicular orientation occurs. During the cool down of the coaxial line, a magnetostatic field is applied, leading to a defined perpendicular permittivity in the crystalline state. Therefore, no change in the perpendicular permittivity occurs in the transition from the crystalline to nematic state. Using these LCs for a phase-shifter device, the phase shift per and a FoM can be estimated from the extracted unit length material parameters via (5) and (6). In Table II, the device parameters are given at 10 and 20 GHz at various temperatures. As a result of increased dielectric anisotropy and reduced losses of MDA-03-2838 compared to K15, the phase shift per unit length is increased by a factor of more than 3 and the FoM of MDA-03-2838 by a factor of approximately 5 compared to K15, leading to a FoM of more than 150 deg/dB. Realized LC-filled phase-shifter devices in the inverted microstrip-line technique [2] revealed a FoM of 100 deg/dB at 20 GHz and room temperature, which is approximately 72% of the estimated best-case value in Table II. The reduced effectivity compared to the best case values occurs mainly due to the following. • Imperfect perpendicular orientation of the molecules—the used polyimide film does not align the molecules completely perpendicular; • Mismatch of the system impedance—the characteristic impedance of the tunable phase shifter depends on the permittivity. By tuning only the permittivity, a mismatch has to be accepted for devices without an additionally tunable impedance matching network. • Effective permittivity consisting of the tunable permittivity of the LC and the fixed permittivity of the superstrate—the effective permittivity is less tunable than the permittivity of the LC. V. CONCLUSION AND OUTLOOK A novel thermal-controlled broad-band microwave characterization method for LCs is carried out between 7 C–115 C and between 360 MHz–23 GHz. Permittivity, losses, and tunability of LCs have been extracted from these broad-band measurements of scattering parameters.

Both investigated LCs show relaxation effects with very high losses in the lower frequency range. However, above approximately 1 GHz, no relaxation effects occur in the whole investigated temperature and frequency range. It could be shown that the parallel losses are below the perpendicular losses for both LCs in the liquid-crystalline state. The maximum tunability with a material quality factor of K15 amounts to , whereas MDA-03-2838 offers a tunability of of with a material quality factor of . Thus, the material quality factor could be increased by a factor of 8.5 compared to K15. The anisotropic temperature range of MDA-03-2838 is distinctly increased compared to K15. The temperature range with a tunability above is GHz and C C. between Using MDA-03-2838 for a phase-shifter device, a FoM of more than 150 deg/dB can be achieved. With a realized FoM of approximately 100 deg/dB in [2], 72% of this value was realized for a phase-shifter device. To the authors’ knowledge, this value exceeds every FoM for passive tunable devices ever realized above 20 GHz. These results of the extensive material investigations open up a lot of different fields of investigation such as optimizing various tunable microwave devices and synthesis of LC mixtures.

REFERENCES [1] A. Penirschke, S. Mueller, and P. Scheele et al., “Cavity perturbation method for characterization of liquid crystals up to 35 GHz,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 545–548. [2] S. Mueller, P. Scheele, C. Weil, M. Wittek, C. Hock, and R. Jakoby, “Tunable passive phase shifter for microwave applications using highly anisotropic liquid crystals,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1153–1156. [3] C. Weil, S. Mueller, P. Scheele, P. Best, G. Lüssem, and R. Jakoby, “Highly-anisotropic liquid-crystal mixtures for tunable microwave devices,” Electron. Lett., vol. 39, no. 24, pp. 1732–1734, Nov. 2003. [4] T. Kuki, H. Fujikake, and T. Nomoto, “Microwave variable delay line using dual-frequency switching-mode liquid crystal,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2604–2609, Nov. 2002. [5] D. Dolfi, M. Labeyrie, P. Joffre, and J. P. Huignard, “Liquid crystal microwave phase shifter,” Electron. Lett., vol. 29, no. 10, pp. 926–928, May 1993. [6] N. Martin, P. Laurent, G. Prigent, P. Gelin, and F. Huret, “Improvement of an inverted microstrip line-based microwave tunable phase-shifter using liquid crystal,” Proc. 33th Eur. Microwave Conf., pp. 1417–1420, Oct. 2003. [7] T. Kuki, H. Fujikake, T. Nomoto, and Y. Utsumi, “Design of a microwave variable delay line using liquid crystal and a study of its insertion loss,” Electron. Commun. Jpn., vol. 85, no. 2, pp. 36–42, Feb. 2002. [8] T. Kamei, Y. Utsumi, H. Moritake, K. Toda, and H. Suzuki, “Measurements of the dielectric properties of nematic liquid crystal at 10 kHz to 40 GHz and application to a variable delay line,” Electron. Commun. Jpn., vol. 86, no. 8, pp. 49–60, Aug. 2003. [9] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and V. K. Varadan, Microwave Electronics—Measurement and Materials Characterization. New York: Wiley, 2004. [10] F. B. Hildebrand, Introduction to Numerical Analysis, 2nd ed. New York: Dover, 1987, ch. 10.11. [11] R. S. Varga, Matrix Iterative Analysis, 3rd ed. Englewood Cliffs, NJ: Prentice-Hall, 1965, ch. 3.1. [12] J. Baker-Jarvis, “Transmission/reflection and short-circuit line permittivity measurements,” U.S. Dept. Commerce, Washington, DC, Tech. Rep., 1990.

MUELLER et al.: BROAD-BAND MICROWAVE CHARACTERIZATION OF LCs

Stefan Mueller (S’04) was born in Floersheim, Germany, in 1976. He received the Dipl.-Ing. (M.Sc.) degree from the Darmstadt University of Technology, Germany, in 2001, and is currently working toward the Ph.D. degree in microwave engineering at the Darmstadt University of Technology. Since October 2001, he has been a Research Assistant with the Institute of Microwave Engineering, Darmstadt University of Technology. His research interests include characterization of LCs at microwaves, as well as realization of passive tunable microwave devices based on LCs.

Andreas Penirschke was born in Herborn, Germany, in 1975. He received the Dipl.-Ing. (M.Sc.) degree from the Darmstadt University of Technology, Darmstadt, Germany, in 2002, and is currently working toward the Ph.D. degree in microwave engineering at the Darmstadt University of Technology. Since November 2002, he has been a Research Assistant pursuing with the Institute of Microwave Engineering, Darmstadt University of Technology. His current activities focus on microwave sensor systems and characterization techniques for materials in the microwave region.

Christian Damm (S’04) was born in Marburg, Germany, in 1977. He received the Dipl.-Ing. (M.Sc) degree from the Darmstadt University of Technology, Darmstadt, Germany, in September 2004, and is currently working toward the Dr.-Ing. (Ph.D.) degree in microwave engineering at the Darmstadt University of Technology. He is currently a Research Assistant with the Institute of Microwave Engineering, Darmstadt University of Technology. His research interests include tunable microwave components based on LCs and metamaterials.

Patrick Scheele (S’02) was born in Schwetzingen, Germany, in 1975. He received the Dipl.-Ing. (FH) degree in communications engineering from the Mannheim University of Applied Sciences, Mannheim, Germany, in 2000, and is currently working toward the Ph.D. degree in microwave engineering at the Darmstadt University of Technology, Darmstadt, Germany. He was a Development Engineer for spaceborne physical sensor technology prior to beginning his doctoral studies in 2002 with the Institute of Microwave Engineering, Darmstadt University of Technology. His current activities focus on tunable passive microwave devices and circuits based on nonlinear dielectrics. Mr. Scheele was the recipient of the Best Diploma Thesis in electrical engineering [presented by the Verean Deutscher Elektrotechniker (VDE)] award and the Best Student of all Faculties Award in 2000

1945

Michael Wittek received the Diploma degree in physics from the University of Göttingen, Göttingen, Germany, in 1997, and the Dr. rer. nat. degree from the University of Marburg, Marburg, Germany, in 2001. From 1997 to 1999, he was with the Max-Planck-Institute for Biophysical Chemistry, Göttingen, Germany. From 1999 to 2001, he was a Research Assistant with the University of California at Berkeley. In 2003, he joined Merck KGaA, Darmstadt, Germany, where he is currently responsible for liquid-crystal mixture development for active matrix displays (AMDs) such as monitors and notebooks.

Carsten Weil (S’00–M’03) was born in Herborn, Germany, in 1973. He received the Dipl-Ing. and Dr.-Ing. degrees in electrical engineering from the Technical University of Darmstadt, Darmstadt, Germany, in 1998 and 2002, respectively. In 1998, he joined Robert Bosch GmbH, Reutlingen, Germany, where he was engaged in the design of RF integrated circuits (RFICs). From 1999 to 2002, he was a Research Assistant with the Institute of Microwave Engineering, Technical University of Darmstadt, where he was involved in the field of tunable microwave devices based on nonlinear dielectrics such as ferroelectrics and LCs. Since 2003, he has been with AFT Advanced Ferrite Technology GmbH, Backnang, Germany, where he is currently engaged in research and development of low- and high-power microwave devices and ferrite-based components such as circulators, phase shifters, fast ferrite tuners, and absorbers. His research and development interests also include the design of thin-film and low-temperature co-fired ceramic (LTCC)-based microwave circuits. Dr. Weil is a member of the Verean Deutscher Elektrotechniker (VDE)/Society for Information Technology (ITG), Germany.

Rolf Jakoby (M’97) was born in Kinheim, Germany, on February 25, 1958. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the University of Siegen, Siegen, Germany, in 1985 and 1990, respectively. In January 1991, he joined the Research Center of Deutsche Telekom, Darmstadt, Germany, where he initially conducted radiowave propagation research - and -band within the OLYMPUS in the satellite champaign of the European Space Agency (ESA). Since January 1994, he has been engaged in radiowave propagation modeling in urban microcells for the universal mobile telecommunications system and led a project concerning local multipoint distribution systems (LMDSs) at 42 GHz. Since April 1997, he has held a chair in Wireless Communications with the Darmstadt University of Technology, Darmstadt, Germany. His research deals with channel modeling and network planning for broad-band wireless systems, smart/compact antennas and microwave sensors, as well as with tunable passive microwave components based on agile materials. He is Editor-in-Chief of Frequenz. Dr. Jakoby is a member of the Society for Information Technology (ITG) of the Verein Deutscher Elektrotechniker (VDE) and various societies of the IEEE. He was the recipient of the 1992 Chamber of Commerce and Industry Prize and Award for his Ph.D. thesis presented the Chamber of Commerce and Industry Siegen and the 1997 ITG Prize and Award for a paper in the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION.

Ku

Ka

1946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Broad-Band and Circularly Polarized Space-Filling-Based Slot Antennas Hani A. Ghali, Member, IEEE, and Tarek A. Moselhy

Abstract—Based on the use of space-filling curves, broad-band and circularly polarized microstrip-fed slot antennas are proposed. Broad-band performance is achieved through merging different downscaled versions of a composition of “island-like” space-filling slot antennas without increasing the overall antenna size. This technique provides different resonant slot lengths corresponding to a wide range of frequencies. By merging three downscaled versions of a composite second-iteration Moore slot antenna, a broad-band slot antenna is developed. The proposed antenna has a bandwidth (VSWR 2) of 87.6% around 4.5 GHz, which is higher by a factor of 13.5 compared to the square ring slot antenna, and by a factor of 3 compared to the nested square rings slot antenna. The developed slot antenna has a maximum gain of 5 dB and a total area of 3 3 cm2 . Furthermore, by introducing asymmetry in the proposed slot antenna, which is implemented by replacing all parallel slots on one side of the structure and all inner slots by a ground plane, circular polarization is achieved. The circularly polarized slot antenna version has a 3-dB axial ratio bandwidth of 2. The design and simulation of the proposed 22% with VSWR slot antennas have been performed using a moment-method-based full-wave electromagnetic simulator. Measurements of fabricated antennas are in good agreement with simulation results. Index Terms—Broad-band antenna, circularly polarized antenna, fractal antenna, slot antenna, space-filling curves.

I. INTRODUCTION

B

ROAD-BAND antenna designs represent challenging issues for high-frequency high-speed data-rate wireless communication systems. Printed antennas offer ease of integration with monolithic microwave integrated circuits, low cost, and low-profile efficient solutions. Among different printed antenna topologies, the slot antenna is considered the most appropriate candidate for wide-band applications; impedance bandwidths in the range of 30%–63% have been reported [1]–[6]. However, although microstrip-fed slot antenna structures are not considered as wide-band topologies, currently they receive much more research attention due to their potential for both wide-band operation and circular polarization. Recently, a wideband microstrip-fed bi-semicircular slot antenna that has a bandwidth of 45.8% is reported in [7]. This structure has a bandwidth that is greater than that of an ordinary annular ring slot antenna Manuscript received September 22, 2004; revised January 23, 2005. H. A. Ghali is with the Faculty of Engineering, Electronics and Communications Engineering Department, Ain Shams University, Cairo, Egypt (e-mail: [email protected]). T. A. Moselhy is with the Faculty of Engineering, Engineering Mathematics and Physics Department, Cairo University, Cairo, Egypt (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848843

by a factor of 7.3. Another structure, which is based on the use of a microstrip-fed multiresonant single slot antenna, is developed and provides a bandwidth of approximately 60% [8]. On the other hand, a circularly polarized microstrip-fed square ring slot antenna is presented in [9]. The design is based on introducing asymmetry in the square ring slot structure in the form of a meandered slot section, together with the placement of the feed line at 45 from the introduced asymmetry. The antenna has a 3-dB axial ratio bandwidth of approximately 4.3%. Recently, “open-structure” space-filling curves have been proposed for the development of coplanar waveguide (CPW)-fed fractal slot antennas [10], where miniaturization is the main objective. For this purpose, the conventional slot is replaced by a space-filling curve having the same electrical properties, but confined in a reduced area. The second-iteration Sierpinski slot antenna, operating around 2.4 GHz, presents a compact design confined in 1.8 1.8 cm with a bandwidth of 5% and a gain of 2.25 dB. On the other hand, the first-iteration Minkowski fractal slot antenna has a bandwidth of 35%, a gain of 5.4 dB, and is confined in 5 5 cm . In this paper, “island-like” space-filling curves are used for the development of broad-band and circularly polarized slot antennas. Unlike the usual implementation of space-filling curves for miniaturization of passive microwave devices and antennas [11]–[13], the proposed broad-band antenna design is based on the composition of “island-like” space-filling curves to provide different resonant slot lengths over a wide range of frequencies within the same original area. The developed antenna topology is generated through merging downscaled copies of a modified space-filling slot antenna. This modified slot antenna is composed of two orthogonal copies of a single “island-like” space-filling structure. However, although the modified slot antenna and final antenna are not space-filling structures, they are based on space-filling curves. The achieved bandwidth of such configuration depends on the number of scaled copies that are used to compose the final structure. This is mainly due to the multiresonant behavior of the resultant antenna structure. On the other hand, the usefulness of space-filling curves in the proposed design lies in its area-filling efficiency, which enables the use of multiple downscaled copies to provide different resonant slot lengths (i.e., paths) without increasing the overall antenna size.

0018-9480/$20.00 © 2005 IEEE

GHALI AND MOSELHY: BROAD-BAND AND CIRCULARLY POLARIZED SPACE-FILLING-BASED SLOT ANTENNAS

1947

Starting with a second-iteration Moore space-filling curve as an original antenna topology, a broad-band slot antenna having of 87.6% is developed. The antenna a bandwidth has a total area of 3 3 cm for operation in the frequency range of 2.6–6.5 GHz. The proposed slot antenna has a maximum gain of 5 dB. Finally, to provide the circular polarization performance, an asymmetry in the proposed broad-band antenna is introduced. This is achieved by replacing all vertical slots on one side of the symmetrical structure and all inner slots by a ground plane, together with the proper placement of the microstrip-feed line along the diagonal direction. The developed circularly polarized antenna has a 3-dB axial ratio bandwidth of 22% with all over the frequency range. II. PROPOSED ANTENNA DESIGN A. Broad-Band Slot Antenna The proposed antenna topology is based on the use of a microstrip-fed square ring slot. In the first design step, the second-iteration “island-like” Moore space-filling curve is used to replace the square ring slot antenna, as shown in Fig. 1(a). The total slot length is equal to one wavelength. In addition, another orthogonal second-iteration Moore curve is added to the original one, as shown in Fig. 1(b). This topology is symmetric and has different resonant slot lengths corresponding to different frequencies, and thus, has a wider bandwidth than a single second-iteration Moore slot antenna. However, as can be seen in Fig. 1(b), the resultant structure still has an unused area in its center. In the second design step, to increase the bandwidth of the proposed antenna structure, two different downscaled versions [see Fig. 1(c) and (d)] of the structure are then inserted inside the available area, as shown in Fig. 1(e). The final antenna topology, shown in Fig. 1(e), has a lot of different resonant slot lengths (i.e., paths) and acts as a multiresonance antenna that has a very wide-band performance. A microstrip feed structure is then used to simultaneously excite all different resonant slots. The moment-method-based full-wave electromagnetic simulator IE3D is used to analyze the proposed slot antenna. Dielectric and conductor losses are included in the simulation. Extension for a waves deembedding technique is also used in the simulation environment. This arrangement is based upon the true incident and reflected waves on the port, which ensures accurate determination of the scattering parameters. The proposed antenna is implemented on a Taconic RF-35 substrate ( , mm) for operation around 4.5 GHz. The 50- microstrip feed line, which is printed on the backside of the substrate, has a width of 3.4 mm. Due to the structure full symmetry, the feed line is placed in the center of any side, and a matching stub is used for impedance matching. This is achieved by extending the 50- microstrip feed line beyond the edge of the structure to provide best matching. The symmetrical slot antenna topology [see Fig. 1(b)] has an outer side length of 30 mm, an inner side length of 20 mm, and a slot width of 2 mm. The first downscaled structure [see

Fig. 1. Proposed space-filling-based slot antenna. (a) Second-iteration Moore structure. (b) Symmetrical structure. (c) First downscaled version. (d) Second downscaled version. (e) Final antenna configuration.

Fig. 1(c)] has an outer side length of 20 mm, an inner side length of 10 mm, and a slot width of 1.5 mm. Finally, the second downscaled structure [see Fig. 1(d)] has a side length of 10 mm and a slot width of 1 mm.

1948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Configuration of the nested square rings slot antenna.

Fig. 3. Simulated return loss for three structures (square ring, nested square rings, proposed antenna) and measured return loss for the proposed antenna (discrete points: measurements).

For the purpose of comparison, two basic similar structures having the same area as the proposed antenna are simulated. The first one is a single square ring slot antenna having an outer side length of 30 mm, and a slot width of 2 mm. The second one is a nested square rings slot antenna, presented in Fig. 2, with the same dimensions as that of the proposed antenna. The simulated return loss for the three structures, i.e., proposed antenna, single square ring, and nested square rings, is presented in Fig. 3. The measured return loss for the proposed antenna is also shown in Fig. 3. Both the single square ring slot and nested square rings slot antennas have multiple resonance behaviors. The bandwidth for the single square ring and nested square rings slot antennas are 6.5% and 29%, respectively. The proposed antenna has a broad-band performance with a bandwidth of 87.6%. These results are totally expected since the final proposed antenna is composed of different resonant slot lengths providing the broad-band performance. Good agreement between simulated and measured return loss is also demonstrated. A photograph of the fabricated slot antenna is shown in Fig. 4. The simulated magnetic current distribution along slots is presented in Fig. 5 at two different frequencies corresponding to minimum return-loss points. All slots contribute to radiation with symmetrical behavior, which demonstrates the usefulness of space-filling curves to provide multiresonant slot lengths (i.e., paths) over a wide frequency range. On the other hand,

Fig. 4.

Fabricated slot antenna.

Fig. 5.

Magnetic current distribution: (a) at 3.9 and (b) at 5.7 GHz.

the contribution from upper slots is less significant at higher frequencies. The simulated radiation patterns of the proposed antenna, at two different frequencies, are presented in Fig. 6. The total electric field in the elevation is plotted at two different azimuth anand ). The computed maximum antenna gles ( gain at 4.5 GHz is 5 dB. and ), i.e., The main beam points vertically (at broadside, which is similar to a magnetic dipole. However, the radiation patterns have some discontinuity near the antenna and ) at high frequency [see Fig. 6(b)]. plane ( This is mainly due to the used thick dielectric substrate, where higher order modes are easily excited, which result in pattern shaping, in addition to the accuracy of the angular steps used by the simulator. B. Circularly Polarized Slot Antenna Based on the proposed broad-band design, a circularly polarized slot antenna is investigated using the method of introducing asymmetry in the slot structure [9]. The asymmetry is implemented by replacing all vertical slots on one side of the symmetrical structure and all inner slots by a ground plane, together with the placement of the microstrip feed line along the diagonal direction. The configuration of the proposed circularly polarized antenna is shown in Fig. 7. The proposed circularly polarized slot antenna is implemented on a Taconic RF-35 substrate ( , mm)

GHALI AND MOSELHY: BROAD-BAND AND CIRCULARLY POLARIZED SPACE-FILLING-BASED SLOT ANTENNAS

Fig. 7.

1949

Circularly polarized slot antenna.

Fig. 8. Simulated and measured return loss for the proposed circularly polarized slot antenna (discrete points: measurements).

Fig. 9. Simulated axial ratio for the proposed circularly polarized slot antenna ( = 45 and  = 270 ).

III. CONCLUSION Fig. 6. Simulated antenna radiation patterns: (a) at 2.8 and (b) 5.7 GHz [thin ( = 0 ), thick line: E ( = 90 )]. line: E

with the same broad-band structure dimensions. The simulated and measured return loss of the circularly polarized slot antenna is presented in Fig. 8. The antenna has a bandwidth of 66%, which is an expected result based on the used broad-band topology. Good agreement between simulated and measured results is clearly observed. The simulated axial ratio is presented in Fig. 9. The antenna has a 3-dB axial ratio bandwidth of 22% with .

Based on a modified space-filling curve, broad-band and circularly polarized slot antennas have been developed. This has been achieved by inserting different downscaled copies of an “island-like” space-filling curve into each other to compose multiresonant slot lengths that provide the wide-band performance. Furthermore, by introducing asymmetry in the proposed broad-band structure, circular polarization has been achieved. Using three scaled versions of a modified second-iteration Moore space-filling slot antenna, a broad-band antenna that has of 87.6% has been an impedance bandwidth developed. The antenna has a total area of 3 3 cm and a gain of 5 dB. The circularly polarized version has a 3-dB axial ratio

1950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

bandwidth of 22% with , and occupies the same area. The achieved wide-band performance depends on the area filling efficiency provided by the used space-filling curve. Consequently, other space-filling-based topologies have to be investigated for further bandwidth enhancement. On the other hand, the possibility of merging different types of space-filling curves to provide maximum area filling efficiency should be investigated to achieve different design objectives. REFERENCES [1] J.-W. Niu and S.-S. Zhong, “A broad-band CPW-fed bow-tie slot antenna,” in Proc. IEEE AP-S Int. Symp. Dig., Jun. 2004, pp. 4483–4486. [2] A. A. Eldek, A. Z. Elsherbeni, and C. E. Smith, “Wideband triangle slot antenna with tuning stub,” in Proc. IEEE AP-S Int. Symp. Dig., Jun. 2004, pp. 4479–4482. [3] H.-D. Chen, “Broadband CPW-fed square slot antennas with a widened tuning stub,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1982–1986, Aug. 2003. [4] J.-Y. Chiou, J.-Y. Sze, and K.-L. Wong, “A broad-band CPW-fed striploaded square slot antenna,” IEEE Trans. Antennas Propag., vol. 51, no. 4, pp. 719–721, Apr. 2003. [5] A. U. Bhobe, C. L. Holloway, and M. Piket-May, “CPW fed wide-band hybrid slot antenna,” in Proc. IEEE AP-S. Int. Symp. Dig., Jun. 2000, pp. 636–639. [6] M. Miao, B. L. Ooi, and P. S. Kooi, “Broadband CPW-fed wide slot antenna,” Microwave Opt. Technol. Lett., vol. 25, pp. 206–211, May 5, 2000. [7] N. Behdad and K. Sarabandi, “A wide-band bi-semicircular slot antenna,” in Proc. IEEE AP-S Int. Symp. Dig., Jun. 2004, pp. 1903–1906. [8] , “A wide-band multiresonant single-element slot antenna,” in Proc. IEEE AP-S Int. Symp. Dig., Jun. 2004, pp. 1891–1894. [9] K. L. Wong, C.-C. Huang, and W.-S. Chen, “Printed ring slot antenna for circular polarization,” IEEE Trans. Antennas Propag., vol. 50, no. 1, pp. 75–77, Jan. 2002. [10] T. Moselhy and H. Ghali, “Design of fractal slot antennas,” presented at the 34th Eur. Microwave Conf., Oct. 12–14, 2004. [11] H. Ghali and T. Moselhy, “Miniaturized fractal rat-race, branch-line and coupled-lines hybrids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2513–2520, Nov. 2004.

[12]

, “Design of fractal rat-race coupler,” in IEEE MTT-S Int. Microwave Symp. Dig., 2004, pp. 324–327. [13] J. Anguera, C. Puente, and J. Soler, “Miniature monopole antenna based on fractal Hilbert curve,” in Proc. IEEE AP-S Int. Symp. Dig., vol. 4, Jun. 2002, pp. 546–549.

Hani A. Ghali (S’85–M’95) was born in Cairo, Egypt, in 1961. He received the B.Sc. and M.Sc. degrees in electronics and communication engineering from Ain Shams University, Cairo, Egypt, in 1983 and 1988, respectively, and the Ph.D. degree in electronics from the National Institute of Applied Sciences, Rennes, France, in 1992. In 1989, he joined the National Institute of Applied Sciences, Rennes, France. Since 1992, he has been with the Faculty of Engineering, Electronics and Communication Engineering Department, Ain Shams University, initially as an Assistant Professor and currently as a Professor. His field of interest includes RF microelectromechanical systems (MEMS), micromachined antennas, applications of fractal and space-filling curves in antennas and circuits, ultrawide-band antennas, and genetic optimization.

Tarek A. Moselhy was born in Paris, France, in 1978. He received the B.Sc. degree in electronics and communication and Diploma degree in mathematics from Cairo University, Giza, Egypt, in 2000 and 2002, respectively, and is currently working toward the M.Sc. degree in the applications of numerical techniques in electromagnetics at Cairo University. In 2000, he was with the Device Modeling Group, Mentor Graphics Egypt. In 2001, he joined the Sensor Solution Team, MEMsCAP Egypt. His field of interest includes applications of fractal and space-filling curves in electromagnetics and genetic optimization.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1951

A Novel Microstrip-Line Structure Employing a Periodically Perforated Ground Metal and Its Application to Highly Miniaturized and Low-Impedance Passive Components Fabricated on GaAs MMIC Young Yun

Abstract—In this study, highly miniaturized and low-impedance on-chip passive components were developed using a novel microstrip-line structure employing periodically perforated ground metal (PPGM) on a GaAs monolithic microwave integrated circuit (MMIC). The proposed microstrip-line structure exhibited a much lower characteristic impedance and shorter guided wavelength than the conventional structure. Using the microstrip line with PPGM, a highly miniaturized rat-race and branch-line coupler -band MMIC with low-port impedances were developed for / applications. The miniaturized rat-race and branch-line coupler were fabricated on a GaAs substrate with a height of 100 m, and their sizes were 0.375 and 0.25 mm2 , respectively, which are less than 10% of the sizes of conventional ones. The miniaturized rat-race and branch-line coupler exhibited good RF performances from 20 to 30 GHz. In addition, in this study, highly miniaturized on-chip filters and biasing components were also realized using the microstrip-line structure with PPGM. The above results indicate that a microstrip-line structure with PPGM is a promising candidate for applications to highly miniaturized passive components on GaAs MMICs, and it will enable the development of fully integrated MMICs, including all passive components. Index Terms—GaAs, microstrip line, monolithic microwave integrated circuit (MMIC), passive components, periodically perforated ground metal.

I. INTRODUCTION

R

ECENTLY, demands for fully integrated monolithic microwave integrated circuits (MMICs) have increased in the – -band wireless communication systems market [1]–[5]. – -band MMICs have alA number of papers concerning ready been published, but most of them focus on hybrid integrated circuits (ICs) that require off-chip passive components such as hybrid couplers and filters on the boards. This requirement has resulted in a high manufacturing cost due to the large module size and the costly assembly. In order to realize highly miniaturized and fully integrated MMICs, the development of Manuscript received September 23, 2004; revised February 18, 2005. This work was supported by the Korea Research Foundation under Grant R05-2004000-12754-0. The author is with the Department of Radio Sciences and Engineering, Korea Maritime University, Busan 606-791, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848835

Fig. 1.

Structure of conventional microstrip line.

miniaturized on-chip passive components is indispensable. The development of miniaturized on-chip passive components with low port impedances will especially greatly reduce the size of hybrid ICs and MMICs by removing bulky impedance transformation circuits between the passive components and lowimpedance field-effect transistors (FETs); generally, the input and output impedances of the FETs are much lower than 50 in the – -band, and impedance transformation circuits are employed for impedance matching between 50- -based passive components and low-impedance FETs [5]. To realize highly miniaturized and low-impedance on-chip passive components, a microstrip-line structure with a short guided-wavelength and low characteristic impedance should be developed. To date, however, a great deal of effort has been put into the development of miniaturized on-chip passive components employing lumped components [6]–[9], which cannot offer a basic solution for the miniaturization of all passive components on MMICs. In this study, we proposed a novel microstrip-line structure employing periodically perforated ground metal (PPGM) [10] that has a much lower characteristic impedance and shorter guided-wavelength than the conventional structure, and which will allow the development of highly miniaturized and low-impedance passive components on MMICs. II. NOVEL MICROSTRIP-LINE STRUCTURE EMPLOYING PPGM WITH LOW CHARACTERISTIC IMPEDANCE AND SHORT GUIDED-WAVELENGTH Fig. 1 shows a conventional microstrip-line structure. The SiN film is necessary for the fabrication of metal–insulator–metal (MIM) capacitors and multiplayer line structures

0018-9480/$20.00 © 2005 IEEE

1952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 3. Measured and calculated characteristic impedance microstrip line employing PPGM and a conventional one.

Z

for the

Fig. 2. (a) Microstrip-line structure employing PPGM. (b) Cross-sectional view according to X –X -direction of Fig. 3(a). (c) Cross-sectional view according to Y –Y -direction of Fig. 3(a).

on MMICs. In Fig. 1, corresponds to a capacitance per unit length occurring between the line and backside GND metal. and guided-wavelength The characteristic impedance for the conventional microstrip line shown in Fig. 1 can be expressed as follows: (1) (2) where and correspond to the periodical inductance and capacitance of the LC equivalent circuit of the conventional microstrip line, and is an operating frequency. From the above per unit length equations, we can see that the capacitance and . Therefore, should be increased in order to decrease we developed a high capacitive line by introducing the PPGM structure [10]. Fig. 2(a) shows the top view of the microstrip-line structure employing PPGM, and Fig. 2(b) and (c) corresponds to a cross-sectional view according to the – - and – -direction of Fig. 2(a), respectively. As shown in Fig. 2(a)–(c), the PPGM was inserted at the interface between the SiN film and GaAs substrate, and it was electrically connected to backside GND metal through the via-holes. Therefore, the microstrip line , as well as shown in Fig. 2(c) has additional capacitance due to the PPGM, which decreases and in comparison with the conventional microstrip line shown in Fig. 1. In other words, total capacitance between the line and ground for

Fig. 4. Measured and calculated guided-wavelength  for the microstrip line employing PPGM and a conventional one.

the conventional microstrip line shown in Fig. 1 corresponds to , while it corresponds to for the novel one shown in Fig. 2(c). Therefore, from (1) and (2), we can see that the and microstrip line employing PPGM will exhibit lower than the conventional one. We can control the value of the additional capacitance by changing the spacing of Fig. 2(a), and . Transmiswhich enables an adjustment of values for sion lines with periodic structures have been recently reported [11]–[13], but they were not applied for low-impedance components on MMICs. We already demonstrated the effectiveness of the microstrip line with PPGM by investigating its characteristic impedance and guided-wavelength [10]. The dependency of characterand of guided-wavelength on linewidth istic impedance are shown in Figs. 3 and 4, respectively (these data were published in [10] and, for convenience, are reproduced here). Both microstrip lines were fabricated by Au plating on a GaAs substrate with a height of 100 m. The spacing between periodically perforated rectangular holes and width for the holes,

YUN: NOVEL MICROSTRIP-LINE STRUCTURE EMPLOYING PERIODICALLY PERFORATED GROUND METAL

TABLE I CALCULATED BANDWIDTH FOR THE PASSBAND (W = 20 m, L = 20 m)

AND

1953

STOPBAND

which are shown in Fig. 2(a)–(c), were set to 20 m. The thickof the ness of the SiN layer was 700 nm. As shown in Fig. 3, of 20 m is 70 , while conventional microstrip line with a of the novel one with the same width is 15 . The linewidth should be 800 m to obtain a of 15 from the conventional microstrip line. From these results, we can see that a conventional microstrip line with low characteristic impedance cannot be integrated on the MMIC due to its large size. However, characteristic impedance can be greatly reduced by using the PPGM structure and, therefore, a microstrip line employing PPGM would be appropriate for a fabrication of low-impedance passive components on the MMIC. For comparison, the data calculated from the theoretical analysis and moment method were also included in this graph. The theoretically calculated data were obtained using the characteristic impedance for periodic structures [14]. on linewidth The dependency of guided-wavelength is shown in Fig. 4, where was measured at 20 GHz, and the width and height of periodically placed holes are 20 and 40 m, of the conventional respectively. As shown in this figure, of 20 m is 5.6 mm, while of the microstrip line with a novel one with the same width is 2.2 mm. The above results indicate that highly miniaturized and low-impedance passive components on the MMIC can be realized by using the microstrip line employing PPGM. For comparison, the data calculated from the theoretical analysis and from the moment method were also included in this graph. The theoretical calculation was equation for periodic performed using the well-known structures [14], which can be expressed as follows:

Fig. 5. (a) Measured and calculated insertion loss per millimeter of the microstrip line employing PPGM and a conventional one (at 20 GHz). (b) Measured and calculated insertion loss per wave length of the microstrip line employing PPGM and a conventional one (at 20 GHz).

table, the first passband corresponds to practical bandwidth. As shown in this table, the bandwidths for the passband and stopband are decreased with an increase of , which is a natural result because an increase of causes an increase of . From the practical bandwidth (first passband) summarized in this table, we can see that the microstrip line with PPGM is also suitable for applications to a higher frequency band, as well as to a / -band. Characteristic impedance for the microstrip line employing PPGM was also calculated using the characteristic impedance equation for periodic structure [14]

(3a) (3b) (3c)

(4)

(3d) are the propagation constant for the where , , and microstrip line with PPGM, effective dielectric constant, and characteristic impedance for the microstrip line without PPGM, was obtained from the respectively. The guided wavelength . The bandwidths of the passband and relation of stopband for the microstrip line with PPGM were also calculated from (3), and the results are summarized in Table I. In this

Fig. 5(a) and (b) shows the insertion loss per millimeter and wavelengths of the novel and conventional microstrip line at 20 GHz, respectively. The insertion loss was measured at a port impedance of 50 , and it was normalized by characteristic of the microstrip lines. The microstrip line with impedance PPGM shows higher insertion losses than the conventional one owing to its increased periodical capacitance. This result can

1954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

be explained as follows. The propagation constant for the lossy transmission line is given by [14] (5) where and are periodic capacitance and inductance per unit length, respectively, and and are resistance from a strip conductor loss and conductance from a dielectric loss, respectively. The above equation can be rearranged as

(6) For microstrip lines, we can assume that [14], and (6) can be simplified to

and

Fig. 6. Dependencies of characteristic impedance Z and guided-wavelength  on spacing T .

(7) and for microstrip Since we can assume that lines, we can use the Taylor expansion, which can be expressed , and (7) can be expressed as by (8) From the above equation, we can obtain the following attenuation constant: (9)

Generally, the dielectric loss for microstrip lines can be ignored [14], and the above attenuation constant can be simplified as follows: (10) From the loss tangent of GaAs of 0.006, it is clear that the attenuation constant related with dielectric loss can be ignored, and that the above assumption is applicable to the GaAs substrate. For microstrip lines on a GaAs MMIC, the , , and of GaAs are 1.256 10 , , and 0.006, respectively. From the above equation, we can see that the . attenuation constant of microstrip lines is proportional to Therefore, the microstrip line with PPGM shows higher insertion losses than the conventional one owing to increased periodical is increased, the periodic capacitance. As the linewidth capacitance for the microstrip line with PPGM is drastically increased and, therefore, the insertion loss for the microstrip line with PPGM exhibits a strong dependency on the linewidth . In spite of a relatively high loss of the microstrip line with PPGM, we employed it for the development of miniaturized and low-impedance passive components on the MMIC because

the insertion loss can be easily compensated by amplifiers, and because the miniaturization of passive components is much more important than a reduction of loss for application to was set to 20 m for MMICs. In this study, the linewidth a relatively low insertion loss (see Fig. 5). According to several papers [11], it has been found that a periodic structure suffers from the loss originating from a resonance of the LC parallel circuit. For the PPGM structure, however, the is much higher than the operating freresonance frequency quency. For example, if , , and are 20 m, respectively, the resonance frequency is 1672 GHz. Therefore, at operating frequencies, the microstrip line with PPGM does not suffer from the loss originating from the resonance. For impedance matching with on-chip components with various input/output impedances, microstrip lines with various characteristic impedances should be fabricated on a MMIC. For a microstrip line employing PPGM, characteristic impedance can be easily controlled by only changing the spacing between periodically perforated rectangular holes (see Fig. 2) of (1) and (2) is varied because the periodical capacitance and by changing the spacing . The dependencies of on spacing are shown in Fig. 6. Therefore, in this study, microstrip lines with various characteristic impedances were fabricated by changing the spacing because the linewidth was fixed at 20 m for a low-insertion loss. We should indicate one important point for the microstrip line with PPGM. From the experimental results shown in Fig. 6, we can deduce that a ground plane without rectangular holes ) should be employed in order to obtain (in other words, a minimum wavelength. However, if a ground plane without rectangular holes is used for the fabrication of a microstrip line, the characteristic impedance of the microstrip line becomes too small to be used for impedance matching with other components on MMICs, and the microstrip line suffers from a relatively high insertion loss because the periodical capacitance of the microstrip line is greatly increased in comparison with the PPGM structure with rectangular holes. For example, when a ground plane without rectangular holes was employed, a and a high insertion loss of characteristic impedance of 5

YUN: NOVEL MICROSTRIP-LINE STRUCTURE EMPLOYING PERIODICALLY PERFORATED GROUND METAL

1955

TABLE II DESIGN CONSIDERATIONS FOR THE MICROSTRIP LINES WITH PPGM

Fig. 7. Dependencies of characteristic impedance Z and guided-wavelength  on the length L of the periodically perforated holes. Fig. 9. Highly miniaturized and low-impedance rat race fabricated by the microstrip line employing PPGM.

the structure of the coupled microstrip line with PPGM, were especially observed. The resonance led to better isolation characteristics than a conventional coupled microstrip line. According to the result, for the coupled microstrip line with PPGM, the following condition should be satisfied for the isolation characteristics better than 15 dB up to 40 GHz:

Fig. 8. Measured and calculated effective permittivity "

where and are the spacing between the coupled microstrip line and linewidth, respectively. Using the calculated and measured results, we established the design considerations summarized in Tables I and II. at 20 GHz.

0.5 dB/mm were observed from the microstrip line with a of 20 m and an SiN thickness of 700 nm. Therefore, in order to obtain an appropriate characteristic impedance (15–30 ) for matching with other components on MMICs and to reduce the insertion loss, the periodical capacitance should be decreased to some extent. For this reason, in this study, the PPGM structure with rectangular holes was employed. Using this structure, we can reduce the periodical capacitance properly by only changing the spacing , and we can obtain proper values of the characteristic impedance, as well as a relatively low loss (see Figs. 5 and 6). and The dependencies of characteristic impedance on the length of the periodically guided-wavelength perforated holes are shown in Fig. 7. Fig. 8 shows measured and calculated effective permittivity , where calculated results were obtained from the equation for periodic structures [14]. We also investigated the isolation characteristics for coupled microstrip line with PPGM. According to the results, the microstrip line with PPGM showed much better isolation characteristics than a conventional coupled microstrip line. Resonance characteristics between adjacent lines, which originated from

III. APPLICATION TO HIGHLY MINIATURIZED AND LOW-IMPEDANCE ON-CHIP COUPLERS FABRICATED ON GaAs MMIC In order to confirm the effectiveness of the microstrip line with PPGM, we fabricated a highly miniaturized on-chip rat-race and branch-line coupler with low port impedance on the GaAs substrate by using the microstrip line, and measured their RF performances. Fig. 9 shows the highly miniaturized rat race fabricated on the GaAs substrate. It was fabricated by using of 15 for / -band the novel microstrip line with a operation, and the port impedance of the rat race was 10.6 , corresponding to . Its RF performances were measured by an on-wafer measurement technique employing a two-port network analyzer, and six patterns were prepared for two-port measurement (in other words, the measurement patterns for ports 1 and 2, 1–3, 1–4, 2 and 3, 2–4, and 3 and 4). Si resistors with a Unmeasured ports were terminated with resistance of . Fig. 10 shows the phase-division characteristics for in-phase and out-of-phase ports of the rat race shown in Fig. 9, where the moment method was employed for calculation. An on-wafer measurement technique was employed for the measurement of the rat race. An input signal was applied to port 2, and output signals were extracted from in-phase

1956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

MEASURED

AND FABRICATED BY THE

TABLE III SIMULATED RF PERFORMANCES OF THE RAT RACE NOVEL MICROSTRIP LINE EMPLOYING PPGM (25 GHz)

Fig. 10. Measured and calculated phase-division characteristics for in-phase and out-of-phase ports of the rat race fabricated by the microstrip line employing PPGM.

Fig. 12. Highly miniaturized and low-impedance branch-line coupler fabricated by the microstrip line employing PPGM. Fig. 11. Measured and calculated power-division and isolation characteristics for the rat race fabricated by the microstrip line employing PPGM.

ports (ports 1 and 3) to measure phase-division characteristics for in-phase ports, while an input signal was applied to port 1 and output signals were extracted from out-of-phase ports (ports 2 and 4) to measure phase-division characteristics for out-of-phase ports. As shown in Fig. 10, we can observe good in-phase (no phase difference) and out-of-phase (180 phase difference) division characteristics from 20 to 30 GHz. Fig. 11 shows power-division characteristics for the in-phase and out-of-phase ports, and isolation characteristics between isolated ports (ports 1–3 and ports 2–4) for the rat race. As shown in Fig. 11, good isolation characteristics lower than 16 dB were observed from 20 to 30 GHz, and equal power-division characteristics were observed from approximately 23 to 27 GHz. RF performances at a center frequency of 25 GHz were listed in Table III. As shown in Table III, an insertion loss of 5 dB was observed from the rat race. Considering that the conventional rat race fabricated on the GaAs MMIC shows an insertion loss of 3.5 dB, the insertion loss of 5 dB is a slightly higher value than that of the conventional rat race (such a difference mainly originates from the relatively high insertion loss of the microstrip line with PPGM). However,

an integration of passive components on the MMIC by using a miniaturization technique is the most important factor for application to commercial MMICs, and a 1-dB loss can be easily compensated by properly designing amplifiers. The size of the rat race is 0.375 mm , which is 9.3% of the size of the one fabricated by the conventional microstrip line (if a low-impedance rat race is fabricated on the GaAs substrate with a height of 100 m by using the conventional microstrip of 15 , its size will be 4 mm [14], [15]). line with a We also fabricated a highly miniaturized branch-line coupler on a GaAs substrate. As shown in Fig. 12, the branch-line couof 24 and 17 (24 pler consists of microstrip lines with a /1.414), and its port impedance is 24 . As mentioned above, the was easily adjusted by changing characteristic impedance the spacing . Fig. 13 shows the phase-division characteristics for the branch-line coupler, where the moment method was employed for calculation. An input signal was applied to port 1, and output signals were extracted from phase-division ports (ports 2 and 3) for a measurement of phase division. As shown in Fig. 13, we can observe a good phase-division characteristic (90 phase difference) from 20 to 30 GHz. Fig. 14 shows power-division characteristics for the phase-division ports (ports 2 and 3), and isolation characteristics between isolated ports (ports 1–4 and

YUN: NOVEL MICROSTRIP-LINE STRUCTURE EMPLOYING PERIODICALLY PERFORATED GROUND METAL

1957

Fig. 15. Miniaturized band rejection filter fabricated by the microstrip line employing PPGM on a GaAs MMIC. Fig. 13. Measured and calculated phase-division characteristics for the branch-line coupler fabricated by the microstrip line employing PPGM.

Fig. 16. Length of the open stubs required for a rejection of specific frequencies. Fig. 14. Measured and calculated power-division and isolation characteristics for the branch-line coupler fabricated by the microstrip line employing PPGM.

ports 2 and 3) for the branch-line coupler. The branch-line coupler shows a loss of 4 dB at 25 GHz, which is a relatively low loss in comparison with a loss of 5 dB for the rat race. The difference in the thickness between the lines used in the two devices was the primary reason for the difference of loss between the two devices. Specifically, the branch-line coupler was fabricated by a microstrip line with a thickness of 7.5 m, and the of line itself showed a relatively low loss of 0.15 dB/mm at a 20 m due to its great thickness. On the other hand, the rat race was fabricated by a microstrip line with a thickness of just 3 m, and the line showed a relatively high loss of 0.4 dB /mm at a of 20 m. In this study, however, all the microstrip lines were compared with each other in the same condition, a thickness of 7.5 m, and all the devices were fabricated by microstrip lines with the same thickness, i.e., 7.5 m, except for the rat race. As shown in Fig. 14, we can observe good isolation characteristics lower than 10 dB, as well as equal power-division characteristics from 20 to 30 GHz. The size of the branch-line coupler is 0.25 mm , which is 10% of the size of the one fabricated by the conventional microstrip line (if a low-impedance branch-line coupler is fabricated on a GaAs substrate with a

height of 100 m by using the conventional microstrip lines with of 24 and 17 , its size will be 2.5 mm [14], [15]). a The above results indicate that the novel microstrip line employing PPGM can be applicable to the development of highly miniaturized and low-impedance passive components on a MMIC. IV. APPLICATION TO HIGHLY MINIATURIZED FILTERS AND BIASING COMPONENTS FABRICATED ON GaAs MMIC We fabricated miniaturized band rejection filters by using the microstrip line with PPGM. Fig. 15 shows the band rejection filter fabricated on a GaAs MMIC. As shown in this figure, the open stub, and it suppresses band rejection filter employs a the signal at whose frequency the length of the open stub equals . To realize the band rejection filter on the GaAs MMIC, the open stub was fabricated by the microstrip line employing PPGM, which greatly reduced the size of the band rejection filter. The length of the open stubs required for a rejection of specific frequencies is shown in Fig. 16, where the moment method was employed for calculation. From this result, we can see that band rejection filters can be highly miniaturized by using the microstrip line with PPGM. Measured insertion losses of the band rejection filters fabricated by the microstrip line with PPGM are

1958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 17. Measured insertion losses of the band rejection filters fabricated by the microstrip line employing PPGM.

Fig. 18. Miniaturized harmonic rejection filter fabricated by the microstrip line employing PPGM on a GaAs MMIC.

shown in Fig. 17. Using the microstrip line with PPGM, we also realized a highly miniaturized harmonic rejection filter on the GaAs MMIC, which is shown in Fig. 18. As shown in this figure, the harmonic rejection filter consists of a open and short stub, and it suppresses the signals at whose frequencies the length of the open stub equals and the length of the short stub equals . For the harmonic rejection filter shown in Fig. 18, the length of the open stub is 1112 m, which is at GHz, and the open stub suppresses the signals with frequencies of GHz (11.25, 33.75, 56.25 GHz, etc.). The length of the short stub is at GHz (it is slightly shorter 1042 m, which is than the open stub because the electrical length of the via-hole is added to the total length), and the short stub suppresses the signals with frequencies of GHz (22.5z, 45, 67.5 GHz, etc.). Therefore, the harmonic rejection filter shown in Fig. 18 suppresses the signals with frequencies of GHz. Fig. 19 shows a measured insertion loss of the harmonic rejection filter shown in Fig. 18. As shown in this figure, a good suppression characteristic is observed in the frequencies of GHz, and it can be used as an on-chip local oscillator (LO) harmonic rejection filter for a direct broadcasting satellite (DBS) system (the LO frequency of a DBS system is 11.25 GHz). These results indicate that the bulky off-chip components of a wireless communication system can be integrated on a MMIC by using the microstrip line with PPGM.

Fig. 19.

Measured insertion loss of the harmonic rejection filter.

Fig. 20.

BPF employing ring resonators.

TABLE IV CHARACTERISTIC IMPEDANCE AND SIZE OF THE LINES COMPRISING THE RING-RESONATOR BPF DESIGNED BY THE MICROSTRIP LINES WITH PPGM (SEE FIG. 20)

We also designed a ring-resonator bandpass filter (BPF) [16], [17] using the microstrip line with PPGM. Fig. 20 shows the structure of the ring-resonator BPF. In this study, a low-impedance ring-resonator BPF was designed using the microstrip lines with PPGM on a GaAs substrate for application to / -band, and its port impedance was 12.5 . The characteristic impedance and size of the lines comprising the ring-resonator BPF designed by the microstrip lines with PPGM are summarized in Table IV. Simulated RF characteristics of the ring-resonator BPF are shown in Fig. 21, where a High Frequency Structure Simulator (HFSS) was employed for the design of the filter and the calculation of the RF characteristics. The size of each ring for the BPF designed by the microstrip lines with PPGM is 0.345 mm , which is approximately 15% of the size of the ring-resonator BPF designed by conventional microstrip lines on a GaAs substrate. Actually, the ring-resonator BPF designed by conventional microstrip lines cannot be realized on a GaAs substrate due to its large size because the linewidth of the microstrip line with of 6.2 is 1550 m.

YUN: NOVEL MICROSTRIP-LINE STRUCTURE EMPLOYING PERIODICALLY PERFORATED GROUND METAL

1959

and it will enable the development of fully integrated MMICs, including all passive components. REFERENCES

Fig. 21. Calculated RF characteristics of the BPF designed by the microstrip lines with PPGM for a broad-band local multipoint distribution system (LMDS). TABLE V CAPACITANCE VALUES FOR THE OPEN STUBS FABRICATED THE NOVEL AND CONVENTIONAL MICROSTRIP LINE (AT 15 GHz AND WITH A LENGTH OF 625 m)

BY

The above results indicate that passive filters can be highly miniaturized by using the microstrip lines with PPGM. As mentioned above, the microstrip line with PPGM has a high periodical capacitance owing to an additional ground plane, and the open stub shown in Fig. 15 can be used as a shunt capacitor with a high capacitance. The capacitance values for the open stubs fabricated by the microstrip line with PPGM and the conventional line are summarized in Table V, where the length of the open stub is 625 m and the operating frequency is 15 GHz. As shown in Table V, an open stub with a capacitance value higher than 10 pF can be fabricated on a GaAs MMIC by using the microstrip line with PPGM, and it can be used as a shunt capacitor for bypassing bias circuits. The above results indicate that bulky off-chip biasing components for a wireless communication system can also be integrated on a MMIC by using the microstrip line with PPGM.

[1] K. Matsunaga, I. Miura, and N. Iwata, “A CW 4-W Ka-band power amplifier utilizing MMIC multichip technology,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1293–1297, Sep. 2000. [2] D. R. Webster, G. Ataei, and D. G. Haigh, “Low-distortion MMIC power amplifier using a new form of derivative superposition,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 328–332, Feb. 2001. [3] Y. Itoh, M. Nii, N. Takeuchi, Y. Tsukahara, and H. Kurebayashi, “MMIC/super-MIC/MIC-combined C - to Ku-band 2W balanced amplifier multichip module,” IEICE Trans. Electron., vol. E80-C, no. 6, pp. 757–762, Jun. 1997. [4] Y. Yun, T. Fukuda, T. Kunihisa, and O. Ishikawa, “A high performance downconverter MMIC for DBS applications,” IEICE Trans. Electron., vol. E84-C, no. 11, pp. 1679–1688, Nov. 2001. [5] Y. Yun, M. Nishijima, M. Katsuno, H. Ishida, K. Minagawa, T. Nobusada, and T. Tanaka, “A fully-integrated broad-band amplifier MMIC employing a novel chip size package,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2930–2937, Dec. 2002. [6] T. Hirota, A. Minakawa, and M. Masahiro, “Reduced-size branch-line and rat-race hybrids for uniplanar MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 270–275, Mar. 1990. [7] T. Hirota and M. Masahiro, “K -band frequency up-converters using reduced-size couplers and dividers,” in Gallium Arsenide Integrated Circuit Symp. Dig., Monterey, CA, Oct. 1991, pp. 53–56. [8] M. Chongcheawchamnam, N. Siripon, and I. D. Robertson, “Design and performance of improved lumped-distributed Wilkinson divider topology,” Electron. Lett., vol. 37, pp. 501–503, Apr. 2001. [9] S. A. Maas, Microwave Mixers. Norwood, MA: Artech House, 1993. [10] Y. Yun, “A low impedance and short-guided wavelength microstrip line employing a periodically perforated ground metal and its application to miniaturized and low impedance ratrace on GaAs MMIC,” in Proc. 34th Eur. Microwave Conf., Amsterdam, Netherlands, Oct. 2004, pp. 459–462. [11] C. S. Kim, J. S. Park, D. Ahn, and J. B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guided Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2001. [12] F. R. Yang, K. P. Ma, Y. Qian, and T. Itoh, “A UC-PBG structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [13] A. S. Andrenko, Y. Ikeda, and O. Ishida, “Application of PBG microstrip circuits for enhancing the performance of high-density substrate patch antennas,” Microwave Opt. Technol. Lett, vol. 32, no. 5, pp. 340–344, Mar. 2002. [14] D. M. Pozar, Microwave Engineering. Reading, MA: Addison-Wesley, 1990. [15] I. Bahl and P. Bhartla, Microwave Solid State Circuit Design. New York: Wiley, 1988. [16] U. Karacaoglu, I. Robertson, and M. Guglielmi, “An improved dualmode microstrip ring resonators filter with simple geometry,” in Proc. 24th Eur. Microwave Conf., Sep. 1994, pp. 472–477. [17] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001.

V. CONCLUSION Using the microstrip-line structure employing PPGM on a GaAs MMIC, we have developed highly miniaturized and lowimpedance on-chip passive components. Concretely, a highly miniaturized rat-race and branch-line coupler were fabricated on a GaAs substrate with a height of 100 m, and their sizes were 0.375 and 0.25 mm , respectively, which are less than 10% of the sizes of conventional ones. The miniaturized rat-race and branch-line coupler exhibited good RF performances from 20 to 30 GHz. In addition, we also realized highly miniaturized on-chip filters and biasing components by using the microstripline structure with PPGM. The above results have indicated that the microstrip-line structure with PPGM is a promising candidate for applications to highly miniaturized passive components on GaAs MMICs,

Young Yun was born in Busan, Korea, on November 27, 1969. He received the Electronic Engineering degree from Yonsei University, Seoul, Korea, in 1993, the M.S. degree in electrical and electronic engineering from the Pohang University of Science and Technology, Pohang, Korea, in 1995, and the Ph.D. degree in electrical engineering from Osaka University, Osaka, Japan, in 1999. From 1999 to 2003, he was an Engineer with the Matsushita Electric Industrial Company Ltd., Osaka, Japan, where he was engaged in the research and development of MMICs for wireless communications. In 2003, he joined the Department of Radio Sciences and Engineering, Korea Maritime University, Busan, Korea, where he is currently an Assistant Professor. His research interests include design and measurement for RF/microwave and millimeter-wave ICs and the design and fabrication for high electron-mobility transistors (HEMTs) and HBTs.

1960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

A Modified Ring Dielectric Resonator With Improved Mode Separation and Its Tunability Characteristics in MIC Environment Kumar Vaibhav Srivastava, Vishwa V. Mishra, and Animesh Biswas, Senior Member, IEEE

Abstract—In this paper, a novel dielectric-resonator (DR) structure, called a modified ring resonator, has been proposed. In a microwave integrated-circuit configuration, the ring DR shape is modified in such a way that, for the TE01 mode, the nearest hybrid (or TM01 ) modes are pushed farther to provide a higher mode separation simultaneous to no deterioration of the factor in the mode of interest. Further, the tunability studies reveal that degradation of mode separation in the proposed configuration is much lower than the conventional ring resonator for the tuning range studied here. The configuration also offers versatility in choosing the substrate thickness, which otherwise is limited in a ring resonator. Index Terms—Dielectric resonator (DR), resonator mode separation, ring resonator, tunability.

I. INTRODUCTION

Fig. 1. Proposed modified ring DR placed on a substrate enclosed by a metal cavity. The central axial region has a dumbbell-shaped opening.

HE ROLE of the dielectric resonator (DR) in miniaturization of microwave filters and oscillators is well recognized [1], [2]. On the other hand, the resonance mode spectrum of a DR is very dense such that with the dominant (desirable: usu) mode, the spurious (undesirable: , or ally ) modes may interfere [3], [4]. Moreover, tuning of resonant frequencies by a usual method such as by tuning metal screws further aggravates the problem of interfering spurious modes [2], [5]. There have been several studies to improve the spurious free response of a DR configuration [6]–[9], though providing, simultaneously, limited or no results for the influence on factors for the respective DR configuration. The mode separation (in frequency) of the dominant (desirable: ) and the nearest higher (the undesirable) mode has been found to be for a case of a ring DR shielded in a circular metal cavity [7]. The comparative separation, however, tends to reduce for the DR in the microwave integrated-circuit (MIC) configuration to (0.35–0.38) [4]. The mode separation for various DR configurations have been reviewed and compared in [10]. The objective of this study is threefold. First, to examine further and extend the study of a novel modified ring DR whose preliminary results for an improved mode separation have been recently reported [11]. Second, to provide comprehensive results of the factor simultaneous to mode separation for comparative DR configurations in a MIC environment, using a conventional DR, a ring DR, and the proposed modified ring DR. Finally,

Fig. 2. Configurations of cylindrical DR placed on a substrate shielded by a metal enclosure. (a) Circular rod resonator. (b) Ring resonator. (c) Modified ring resonator (p=l = 0:25) (2b = 1:02 in, 2a = 0:68 in, L = 0:6 in, l = 0:3 in, t = 0:15 in, " = 35:74 tan  = 0:00012, " = 2:2, substrate tan  = 0:0009,  = 4:1 2 10 ( 1 m) ). The parameters common to all three configurations are chosen according to some of the earlier appropriate MIC studies [12].

T

Fig. 3. Manuscript received September 27, 2004; revised January 7, 2005. The authors are with the Department of Electrical Engineering, Indian Institute of Technology, Kanpur-208016, India (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848837

Top view of the modified ring resonator shown in Fig. 2(c).

as an object of this paper, the tunability characteristic of the proposed configuration are studied, particularly the influence of tuning on its mode separation performance, which, from

0018-9480/$20.00 © 2005 IEEE

SRIVASTAVA et al.: MODIFIED RING DR WITH IMPROVED MODE SEPARATION

1961

Fig. 4. Selective view of the electric (E ) and magnetic (H ) fields of the conventional rod DR and ring DR for the TE

the results obtained in this study, appears to be superior to a comparative ring DR. A further interesting observation for factor of the proposed DR shape is that the ratio of the the dominant and nearest higher mode is relatively insensitive to substrate thickness, unlike the conventional rod or ring resonator. This observation is likely to offer versatility to the proposed configuration for allowing a wider choice of substrate thickness.

, nearest hybrid, and TM

modes.

TABLE I COMPARISON OF PUBLISHED DATA OBTAINED ANALYTICALLY IN [12] WITH THE SAME STRUCTURE SIMULATED ON HFSS TO TEST THE VALIDITY OF HFSS. AS IS EVIDENT, THE MAXIMUM ERROR IS FOUND TO BE 0.235% AND 1.315% FOR THE RESONANT FREQUENCY AND Q-FACTOR, RESPECTIVELY

II. PROPOSED CONFIGUATION AND ANALYSIS The proposed novel structure (modified ring resonator) has been shown in Fig. 1. It has a circular opening in the axial direction in such a way that the portion where the dielectric plug is removed looks like a dumbbell shape. A cross-sectional view of this structure is shown in Fig. 2(c) along with its top view in Fig. 3. The DR structure may be described to possess three layers [1–3 in Fig. 2(c)] having a hole in the axial direction, but only the radius of the holes of the top and bottom layers (1 and 3) are the same (dimension ) with the sandwiched layer 2 having a smaller hole radius (dimension ). The depth of the top and bottom layer is the same [dimension , as shown in Fig. 2(c)]. The circular rod and ring resonator configurations used for a comparative study have also been shown in Fig. 2(a) and (b), respectively. The ring resonator as is known is a modification of a circular rod resonator where the dielectric plug is removed from the central region in the axial direction to provide an improved mode separation between the dominant and the nearest higher mode [7]. The underlying principal for the improvement in mode separation by this strategy is the ability to influence the resonance mode fields suitably.

It may be worthwhile to briefly recall the past approach where a rod DR when modified to a ring DR shape has shown substantial improvement in mode separation. Consider the resonance mode fields of a rod DR in a MIC is the dominant mode with a hybrid configuration where (or ) and is the nearest higher mode [see Fig. 4(a)]. It is easily noticed that the -fields for (only along the -plane since, for the mode, ) have a far lesser concentration in the central region close to the -axis, as compared to regions closer to circumference, whereas for the nearest higher hybrid (or , as the case may be), it is the central region of the DR around the -axis that has a high concentration of -fields [see Fig. 4(a) (iii) and (iv)]. Thus, by removing a dielectric plug from the central region of a rod DR, it is made possible to influence -fields of the hybrid (or ) mode more than that of the mode. Consequently, while mode frequencies change only by a lesser extent, the hybrid mode (or ) frequencies change considerably (and move farther) to provide an improvement in mode separation.

1962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE II MAXIMUM OBTAINED MODE SEPARATIONS OF TE MODE WITH THE NEAREST MODES FOR THE RING DR AND MODIFIED RING DR (2b = 1:02 in, 2a = 0:68 in, L = 0:6 in, l = 0:3 in, t = 0:15 in, " = 35:74 tan  = 0:00012, " = 2:2, SUBSTRATE tan  = 0:0009, p=l = 0:25,  = 4:1 2 10 ( 1 m) )

Fig. 5. Mode separation (in%) of TE with the nearest higher mode of the modified ring resonator with the variation of d [see Fig. 2(c)] for three particular ring radius c=a = 0:3, c=a = 0:4, c=a = 0:5, and p=l = 0:25 in all the cases. It may be noted that for c=d = 1:0, the configuration becomes a ring DR.

This assertion may further be visualized by the resonance mode fields of a corresponding ring DR shown in Fig. 4(b). The -fields for the mode [seeFig. 4(b)(i)] more or less remain the same as for the rod DR case [see Fig. 4(a)(i)], but the ) mode fields [see Fig. 4(b)(iii) and (iv)] are hybrid (or considerably modified. The proposed modification in this study follows a similar approach, but now, the ring DR fields are sought to be modified suitably to examine if any more improvements in mode separation could possibly be obtained. The motivation for the proposed modification comes from the observation that the -fields of the hybrid mode fields of a ring DR are concentrated not alone in the central region around the -axis, but also tend to be stronger close to the top and bottom surfaces of the ring DR, and this is the region that is proposed to be modified in this study. For determining the resonance frequencies and factors, the simulation have been performed on the commercial software High Frequency Structure Simulator (HFSS) (Ansoft, High Frequency Structure Simulator 8.5, which uses the finite-element method (FEM) numerical analysis). The accuracy of HFSS results had been checked prior to simulation of our structure for which results are presented here. For this, we considered a configuration published in [12] and simulated the same structure on HFSS. The compared results have been shown in Table I. As a check of results from HFSS, the order of accuracy is presented in this table. The maximum error compared to analytical results of [12] for resonant frequency is found to be 0.235%. Error for the factor is also reasonably small (1.315%). The simulation parameters for the DR and other structures of the configuration are provided in Fig. 2. The substrate ground plane and metal enclosure are assumed to be gold plated mhos/m . The unloaded factor of all three resonator configurations take into consideration the loss of the ground plane, substrate, DR, side of metal enclosure, and top and bottom cover plates. Only the simulation results for the dominant and nearest higher resonance modes have been considered for analysis.

Fig. 6. Q factor of TE of modified ring resonator with the variation of d [see Fig. 2(c)] for three particular ring radius c=a = 0:3, c=a = 0:4, c=a = 0:5, and p=l = 0:25 for all the cases of c=a.

Fig. 7. Simultaneous representation of mode separation and Q factors for the modified ring for the case c=a = 0:4, c=d = 0:6, and p=l = 0:25. Comparative ring DR values are also shown.

The mode separation between the dominant mode and the nearest adjacent mode (hybrid or TM type) is defined by the following: Mode Separation in (1)

SRIVASTAVA et al.: MODIFIED RING DR WITH IMPROVED MODE SEPARATION

1963

Fig. 8. Electric fields and magnetic fields of modified ring DR.

In addition, to perform the tunability study, a metal tuning screw was introduced, which will be discussed later in this paper, and simulation on HFSS has been carried out as above to obtain the resonant frequencies and factors for the dominant mode and nearest higher mode. The frequency tuning by a factors and spurious-free metal screw usually degrades the characteristics of DR configurations; thus, the tunability study particularly examines this aspects.

III. RESULTS The results provided here are presented in three parts, which discuss the performance of the modified ring DR over the conventional rod and ring DR. The mode separation of the mode with the nearest higher mode along with its factor for the modified ring DR will be discussed in Section III-A. The resonance fields of modified DR are also presented here. The comparative and comprehensive results of mode separations and factors for all three configurations (in Fig. 2) are presented in Section III-B. The influence of substrate thickness has also been considered here. Section III-C discusses the tunability characteristic of the modified ring DR. The effect on mode separation due to tuning of DR is the primary consideration here. A. Mode Separation Simultaneous to the

Factor

The resonant frequencies and factors obtained in the simulations have been used for the analysis of the ring and modified ring structure. The mode separation (in%) was determined mode using (1). Fig. 5 shows the mode separation of the with the nearest mode of the modified ring resonator for various ratio for the values of 0.3, 0.4, and 0.5. values of the range is according to the past studies of the ring The chosen resonator where the best mode separations have been observed to [4]. It is seen from Fig. 5 that, for varat ratios, the maximum separation occurs at different ious values. At , the modified ring resonator becomes the ring resonator. Hence, in the plot in Fig. 5, the maximum separation of the modified ring is compared with the ring resonator .

The modified ring resonator always shows a better mode separation over the conventional ring resonator with best improvement for . In Table II, of 6% for the case when the results of maximum obtained mode separations of the ring and modified ring DR configurations are summarized. ratio is shown The -factor variation due to change in the in Fig. 6. When comparing the factors for the ring DR with the modified ring DR, it is clear that by introducing the provalues posed modification, there is no deterioration in the while, of course, the improved mode separation are available. A further presentation of mode separation simultaneous to the factor has been made in Fig. 7. It is evident that the modified ring DR shows a comparative performance if not better then the ring DR as far as the -factor degradation is concerned, though possessing a higher mode separation. The improvement obtained in mode separation in the modified ring DR supports the rationale for introducing the modification, which was discussed in Section II. It may be recalled this rationale emerged by noticing the concentration of -fields ) of the ring DR and, hence, the proof hybrid mode (or posed modification to influence these for an improved mode separation. The resonance mode fields for the modified ring DR are shown in Fig. 8, which, when compared with Fig. 4(b) of a ring mode fields still remain about DR, show that while the the same as for the ring DR, the -fields of the hybrid are substantially modified. The results for the proposed DR configurations discussed earof layers 1 and 3 is kept lier are for the case when depth each. With a view to examine the influence of constant at dimension , its value is sought to be varied from to , of the DR was kept constant. For while the overall length carrying out simulations, these values were used for the configurations where a maximum mode separation at and was found earlier (Fig. 5). The results of these simulations plotted in Fig. 9 show that the mode separation goes through a peak, improving from 40.13% to 41.08% at . The improvement may at perhaps be examined by considering the field patterns of the ) mode [see ring DR, where the -fields of hybrid (or Fig. 4(b)(iii) and (iv)] are concentrated only up to a certain depth.

1964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 9. Mode separation (in%) for modified ring resonator (c=a = 0:4, c=d 0:6) with the variation of p shown in Fig. 2(c).

=

Fig. 10. Comparison of mode separation of TE mode with the nearest mode for the three configurations shown in Fig. 2 with the various substrate thickness (t). (For ring c=a = 0:4, for modified ring c=a = 0:4, c=d = 0:6, and p=l = 0:25.)

B. Comprehensive Results of Mode Separations and for Various MIC Configurations

Fig. 11. Comparison of Q factor of the TE mode with the nearest mode for the three configurations shown in Fig. 2 for various substrate thickness (t). (For the ring c=a = 0:4, for the modified ring c=a = 0:4, c=d = 0:6, and p=l = 0:25.)

Fig. 12. Separation of Q factor of the TE mode with the nearest mode with the variation of substrate thickness (t). This plot has been derived from the data given in Fig. 11.

Factors

The second objective of this paper is to present a comprehensive and comparative view of the factors of the dominant mode and of the nearest mode of the circular rod DR, ring DR, and novel modified ring DR in a MIC environment along with their mode separations. In the MIC environment, the thickness of the substrate is an important design issue, which also influences factors and mode separation. Hence, at first, an analysis has been carried out for various thickness of the substrate. The influence of substrate ) on mode separation for the thickness (expressed here by three configurations is shown in Fig. 10. It is evident that: 1) the mode separations monotonically increase with the thickness of the substrate for all the three configurations and 2) the modified ring DR always provides a better mode separation compared to ratios. the ring DR or circular rod DR for various factor for the three conA simultaneous evaluation of a figurations at the chosen parameters (as in Fig. 10) reveals that mode do not degrade for any of the the factor for the configurations, as shown in the histogram of Fig. 11. However, a more remarkable observation is about the factors of the

Fig. 13. Metal tuning screw with the diameter D for: (a) ring DR (c=a = 0:3 or 0:4) and (b) modified ring DR (c=a = 0:3, c=d = 0:57, and p=l = 0:25 or = 4:1 2 10 ( 1 m) ). c=a = 0:4, c=d = 0:6, and p=l = 0:25) (

nearest higher (and potentially interfering mode with the dominant) modes. A qualitative expression of the influence of substrate thickness on the factors of the dominant and nearest modes has for the modified ring DR been depicted in Fig. 12. do not vary with substrate thickness, for the ring, it undergoes a peak at , while for a rod DR, it monotonically increases.

SRIVASTAVA et al.: MODIFIED RING DR WITH IMPROVED MODE SEPARATION

Fig. 14.

Resonant frequencies of TE

Fig. 15.

Q factors of TE

1965

mode with various positions of the tuning screw for the configurations in Fig. 13.

mode with various positions of the tuning screw for the configurations in Fig. 13.

It may be noted that the nearest mode can either be the TM mode or hybrid mode. In the ring resonator case, the character of to the nearest mode remains the hybrid type for , which changes to a TM type at , and since the factor of the TM mode at is lower than the hybrid , the increase in the ratio mode at shown in Fig. 12 for the ring resonator is easily understood. This behavior for various substrate thickness implies that the energy filling factor for the interfering modes: 1) increases undesirably for a rod resonator; 2) needs to be optimized for a ring resonator; and 3) does not influence the modified ring resonator. This result renders a versatility to the modified ring DR configuration with respect to the choice of substrate thickness, and may be of interest in optimized filter designs.

C. Tunability A tuning element is an essential structure in a DR configuration to compensate for the shift in resonance frequencies, which appear due to the allowed fabrication tolerances, operating temperature variations, and also to accommodate for the inevitable errors due to the theoretical predictions. The tunability performance for the proposed configurations has been examined not only for the range of resonant frequencies the tuning can provide, but also for its influence on the mode separations. This is considered important since, as stated earlier, a tuning metal screw tends to degrade the spurious-free response. The tuning structure for the ring DR and modified ring DR are presented in Fig. 13. The diameter of the tuning screw

1966

Fig. 16.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Mode separations with various positions of the tuning screw for the configurations in Fig. 13.

is kept at or . Simulation results for the factors for the mode with resonant frequencies and the gap dimension have been shown in Figs. 14 and 15, respectively. The tunability is determined from

TABLE III SALIENT RESULTS FOR TUNABILITY AND ITS INFLUENCE ON MODE SEPARATION

Tunability

(2) where the frequency without a tuner corresponds to the maximum value. The general behavior of resonant frequencies and factors with a metal tuner have been observed as expected [13], [14]. Most of the plots in Figs. 14 and 15 are self-illustrative, though the following observations may be made. It is evident from Fig. 14(a) and (b) that the tuning range is higher for all with the larger diameter screw the considered configurations. Significantly, for the case of a modified ring DR configuration, where a maximum mode , separation improvement had been observed (Fig. 5 , and ), the tuning range (6.079%) is maintained close to a comparative ring DR tuning range (6.335%) [see Fig. 14(b)]. This observation ensures that mode separation improvement obtained in the proposed modified ring DR is not at the cost of tunability. Considering the results in Figs. 14 and 15, it may be observed that while tuning ranges are generally higher for a larger diameter screw, the degradation in factors is also higher. However, the factors, in general, remain higher for the modified ring case over the comparative ring DR configuration and more so when [see Fig. 15(a)].

A more important object of this tunability study is regarding the behavior of mode separation with tuning. The plots (Fig. 16) show that, in general, the mode separations reduce with tuning for all the configurations, though to a lesser extent for the [see Fig. 16(a)]. Further in smaller diameter screw Fig. 16(a), it may be seen that degradation in the mode separation with reducing (or increasing tuning) is far less for the modified ring DR than a comparative ring DR. The extent of degradation in the mode separation for the available tuning range has been determined from these plots [see Fig. 16(a) and (b)] using the following definition: Mode Separation Degradation

(3)

is the maximum mode separation [at the maximum value [ is the minimum mode of (without tuning screw)] and separation (at a minimum value of available )] Salient results of tunability and degradation of mode separasigtion have been noted in Table III. Obviously a smaller nifies a lower degradation and, hence, a better spurious-free response over the tunability range as may be provided in a given

SRIVASTAVA et al.: MODIFIED RING DR WITH IMPROVED MODE SEPARATION

1967

configuration. From Table III, it is evident that the modified ring DR generally provides a lesser degradation in mode separation than a comparative ring DR. The degradation is found to be least (0.285) for the modified ring DR configuration where , , , and where tunability is 1.622%. In a comparative ring DR, the degradation increases twofold (0.56) with only a slightly higher tuning range (2.27%). It may also be noted that a higher tunability range can be obtained, though at the cost of higher degradation of mode separation, as shown in the Table III for the case when screw .

[10] V. V. Mishra and A. Biswas, “Suppression of interfering spurious modes in dielectric resonator-A study,” in Proc. Int. Communication, Computers and Devices Conf., vol. I, Kharagpur, India, Dec. 2000, pp. 283–287. [11] K. V. Srivastava, V. V. Mishra, and A. Biswas, “A modified ring dielectric resonator with improved mode separation in MIC environment,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 609–612. [12] H.-C. Chang and K. A. Zaki, “Unloaded ’s of axially asymmetric modes of dielectric resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., 1989, pp. 1231–1234. [13] F. H. Gil and J. P. Martinez, “Analysis of dielectric resonators with tuning screw and supporting structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1453–1457, Dec. 1985. [14] J.-M. Guan and C.-C. Su, “Precise computations of resonant frequencies and quality factors for dielectric resonators in MIC’s with tuning elements,” IEEE Trans. Microw. Theory Tech., vol. MTT-45, no. 3, pp. 439–442, Mar. 1997.

IV. CONCLUSION This study of a proposed modified ring DR and its comparison to a ring DR has revealed the following. 1) The proposed modified ring DR provides an improvement in mode separation to an extent of 6% over a comparative ring DR. This improvement, however, for some cases, may need to be optimized [with dimension , as in Fig. 2(c)]. 2) The suggested modification does not come at the cost of factors. The factors for the mode for either configuration tend to be about the same. 3) The degradation of mode separation with frequency tuning has been found to be generally much lower for the proposed modified ring DR when compared to the ring DR. 4) The observation, for the proposed modified ring DR, factors of the mode normalized by that the the nearest higher mode remains insensitive to substrate thickness, may provide a greater flexibility and versatility for its applications REFERENCES

Q

[1] S. B. Cohn, “Microwave bandpass filters containing high- dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 218–228, Apr. 1968. [2] J. K. Plourde and C.-L. Ren, “Application of dielectric resonators in microwave components,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 8, pp. 754–770, Aug. 1981. [3] K. A. Zaki and A. Atia, “Modes in dielectric loaded waveguide and resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 12, pp. 1039–1045, Dec. 1983. [4] S.-W. Cheng and K. A. Zaki, “Dielectric ring resonator loaded in waveguide and on substrate,” IEEE Trans. Microw. Theory Tech., vol. MTT-39, no. 12, pp. 2069–2076, Dec. 1991. [5] W. R. Day, “Dielectric resonators as microstrip-circuit elements,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1175–1176, Dec. 1970. [6] F. C. F. Tan and J. Helszajn, “Suppression of higher order modes in waveguide-junction circulators using coupled open dielectric resonator,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 5, pp. 217–273, May 1976. [7] Y. Kobayashi and M. Miura, “Optimum design of shielded dielectric rod and ring resonators for obtaining the best mode separation,” in IEEE MTT-S Int. Microwave Symp. Dig., 1984, pp. 184–186. [8] J.-F. Lang, K. A. Zaki, and A. E. Atia, “Mixed modes dielectric resonator loaded cavity filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-42, no. 12, pp. 2449–2452, Dec. 1994. [9] R. R. Mansour, “Dual-mode dielectric resonator filters with improved spurious performance,” in IEEE MTT-S Int. Microwave Symp. Dig., 1993, pp. 439–442.

Q

Kumar Vaibhav Srivastava was born in Allahabad, India, in May 1982. He received the B.Tech. degree in electronics engineering from the Kamla Nehru Institute of Technology, Sultanpur, India, in 2002, the M.Tech. degree in electrical engineering from the Indian Institute of Technology, Kanpur, India, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the Indian Institute of Technology. His research interests include millimeter-wave applications, DRs, and numerical techniques

Vishwa V. Mishra was born in Kanpur, India, in 1946. He received the B.Tech. degree in electrical engineering from the Indian Institute of Technology, Madras, India, in 1967, and the M.Tech. degree in material science–electronics material from the Indian Institute of Technology, Kanpur, India, in 1986. From 1967 to 1983, he was a Circuit Designer within the electronics industry. He was also a consultant to various electronics manufacturing units. Since 1986, he has been a Research Scientist with the Indian Institute of Technology, Kanpur. His area of research includes electro-ceramics, microwave ceramic materials and devices, DRs, and microwave passive components.

Animesh Biswas (SM’96) was born in Malbazar, India, in April 1960. He received the M.Tech. degree in microwave and radar engineering from the Indian Institute of Technology, Kharagpur, India, in 1982, and the Ph.D. degree in electrical engineering from the Indian Institute of Technology, New Delhi, India, in 1989. From 1982 to 1992, he was a Research and Development Engineer with the Indian Telephone Industries Ltd., Banagalore, India, where he was involved in the area of microwave circuits. From 1989 to 1990, he was a Post-Doctoral Fellow with Oregon State University, where he was involved in characterizing multiconductor lines in layered medium. In 1992, he joined the Electrical Engineering Department, Oregon State University, as a faculty member. He is currently a Professor with the Department of Electrical Engineering, Indian Institute of Technology, Kanpur, India. He has served as a technical consultant for M/S COMDEV Europe, and was involved in development of multimode DR filters and diplexers. His current research includes modeling of microwaves circuits, RF integrated circuits (RFICs), and numerical methods for solving electromagnetic problems. Dr. Biswa is a Fellow of the Institution of Electronics and Telecommunication Engineers (IETE), India.

1968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Design Considerations and Technology Assessment of Phased-Array Antenna Systems With RF MEMS for Automotive Radar Applications Joerg Schoebel, Thomas Buck, Mathias Reimann, Markus Ulm, Martin Schneider, Member, IEEE, Anne Jourdain, Geert J. Carchon, Member, IEEE, and Harrie A. C. Tilmans, Member, IEEE

Abstract—Planar array antennas are attractive for use in future automotive radar systems due to their flexibility in design and control of radar beams. The complexity and cost of a radar front-end phased array can be decreased by applying a beam-steering/switching concept, which reduces the number of parallel RF and baseband signal paths. RF-microelectromechanical systems (MEMS) subsystems are employed because of their excellent RF properties and potential low-cost manufacturability. We present design considerations for prototypical automotive applications of RF-MEMS-based automotive radar front-ends using phased-array antennas based on phase shifters or a Rotman lens. The single RF-MEMS switch is optimized with respect to its RF and thermomechanical behavior taking into account automotive requirements. The respective RF-MEMS subsystems, i.e., phase shifters and single-pole–multiple-throw switching networks are presented in conjunction with packaging and mounting approaches. We evaluate two different wafer-level packaging technologies using glass-frit sealing or polymer sealing. Finally, functional packaged devices are demonstrated: a glass-frit-sealed and flip-chip-mounted RF-MEMS switch and a benzocyclobutene-packaged single-pole–quadruple-throw switch network. Index Terms—Microelectromechanical (MEMS) packaging, phased arrays, road vehicle radar.

devices,

I. INTRODUCTION

A

DAPTIVE cruise-control (ACC) systems based on millimeter-wave radar are increasingly becoming accepted in premium-class automobiles. Automotive radar systems can be employed for additional comfort features (e.g., backing/parking aid, low-speed/stop-and-go ACC), but most importantly, future automotive radar systems will enable innovations in safety systems (e.g., blind-spot surveillance and collision mitigation/avoidance). Recently, an automotive radar system has

Manuscript received September 27, 2004; revised January 11, 2005. This work was supported in part by the European Commission under MEMS-Based Integrated Phased Array Antennas Project IST 2000-28276. J. Schoebel and M. Schneider are with Advance Engineering, Robert Bosch GmbH, 31132 Hildesheim, Germany (e-mail: [email protected]). T. Buck is with Corporate Research, Robert Bosch GmbH, 70839 Gerlingen, Germany. M. Reimann is with Automotive Electronics, Engineering Sensors for Internal Customers, Robert Bosch GmbH, 72762 Reutlingen, Germany. M. Ulm is with the Research and Technology Center, Robert Bosch GmbH, Palo Alto, CA 94304 USA. A. Jourdain, G. J. Carchon, and H. A. C. Tilmans are with the Inter-University Microelectronics Centre, 3001 Leuven, Belgium. Digital Object Identifier 10.1109/TMTT.2005.848838

Fig. 1. Radar front-end concepts. (a) Current lens antenna system. (b) Rotman lens and beam switching with RF MEMS.

been announced to be commercially available,1 which offers pre-crash safety functions such as pre-crash seatbelt and pre-crash brake assist [1]. Ultimately, radar sensors will provide a “safety belt” around the automobile [2]. The operation frequency is typically in the 76–77-GHz band for frequency-modulated continuous wave (FMCW) or pulseDoppler operation. Since 2005, another 4 GHz of bandwidth in the 77–81-GHz band has become available in the European Union [3] for automotive radar devices preferentially covering a shorter radar range. The higher bandwidth allows for -band ultra-wideband (UWB) systems with improved distance resolution, which were previously the main target of 24-GHz automotive radar development. Planar array antennas are employed in automotive radar sensors to increase the flexibility in beam forming and also to reduce the thickness of the sensor with respect to the current lens antenna concepts [4]. As a direct replacement of the dielectric lens, a Rotman lens may be used for “analog” beam forming, as illustrated in Fig. 1. The technical complexity and cost are reduced by sequential switching of the antenna beams, which avoids parallel RF mixer and baseband paths. This advantage is even more prominent in “digital” beam-forming approaches, where the signals of all receiving antenna columns have to be processed separately [1]. Requirements with respect to reliability and switching speed are rather demanding, but, in principle, they can be met [5]. The separate packaging of the RF-microelectromechanical systems (MEMS) subsystems may even be omitted if the complete transmit/receive (T/R) module 1Pre-collision system http://www.lexus.com

0018-9480/$20.00 © 2005 IEEE

(PCS)

for

LS

430.

[Online].

Available:

SCHOEBEL et al.: DESIGN CONSIDERATIONS AND TECHNOLOGY ASSESSMENT OF PHASED-ARRAY ANTENNA SYSTEMS WITH RF MEMS

1969

Fig. 3. Electric-field distribution inside the four beam-ports/eight antenna-ports Rotman lens for short-range application. The line indicates a phase plane to illustrate the antenna-port phase distribution. Fig. 2. Concepts for beam steering and prototypical realizations of RF-MEMS-based antenna front-ends.

is hermetically packaged (similar to [1]). Not only the potential for similar or better performance at lower manufacturing costs in comparison to GaAs monolithic-microwave integrated-circuit (MMIC) devices, but also the ease of circuit design and in-house custom design capability underline the attractivity of RF-MEMS technology. The following two alternative concepts based on RF MEMS for “analog” beam forming and beam steering in phased-array antennas are evaluated. • Select one of the several beams of a Rotman lens (or similar beam-forming network) with a single-pole–multiple-throw RF-MEMS power-routing network. • Control the phase distribution of the columns of an array antenna with phase shifters. A tapered amplitude pattern is formed with a power divider, which is realized with Wilkinson dividers to provide good matching and mutual isolation of the antenna columns. These concepts and their prototypical realizations are depicted in Fig. 2. II. SYSTEM DESIGN AND BEAM FORMING Requirements for a multitude of different automotive-radarbased comfort and safety functions are compiled in [6]. While for the long-range ACC applications an angular range of 4 to 8 is usually sufficient, short-range applications have very different requirements in distance and angular range. The angular position of a radar target can most easily be determined by a maximum search over the receive signals of the antenna beams. If higher accuracy is required, a large number of beams is generated digitally [1] or a monopulse technique is used [4]. The latter can be implemented using the amplitude ratio, phase difference, or complex ratio of the receive signals in adjacent radar beams [7]. If an amplitude and phase evaluation is employed, signals received via the sidelobes can be detected and utilized in the target angle computation. Therefore, only moderate requirements on sidelobe level in the azimuth plane apply. To achieve a reasonable angular accuracy, a number of 3–5 mutually overlapping beams is typically required with the beams intersecting approximately at their 3-dB points [4]. In addition,

the beamwidth is a function of the aperture size of the antenna, which is limited by practical reasons such as available mounting space at the bumper region. In order to achieve a reasonable subsystem complexity, MEMS phase shifters with no more than 3 bits are envisaged. If ( is the free-space the antenna element spacing is kept at wavelength), the beam-pointing directions are, therefore, fixed at approximately 0 , 14.5 , 30 , and 48.6 from the boresight axis. Using the five inner beams, an angular range of at least 30 is achieved. A number of eight antenna elements in azimuth results from the beam overlap requirement. The beam forming is realized with a power divider consisting of two-port Wilkinson dividers arranged in three consecutive stages (see Fig. 2). A 20-dB Chebyshev pattern is employed. The number of beams is reduced to four in the alternative approach using a Rotman lens because the design of the respective single-pole–quadruple-throw (SP4T) switch is more straightforward. Further, the switch design is symmetrical, causing uniform losses in all beams. If antenna size and beam overlap are kept unchanged, the Rotman lens beams point to 6 and 18 . An in-phase/quadrature (IQ) mixer has to be used to provide a phase reference to the sequentially switched receive signals. This allows to detect signals received via the sidelobes, and the ratio beam versus sidelobe of an adjacent beam can additionally be used for target angle determination. As a result, approximately the same angular range as above is achieved. This design applies to a prototypical short-range radar application. The design of the Rotman lens is conducted using the classical framework of [8] in a microstrip environment. The body of the lens is realized as parallel-plate waveguide fed by microstrip tapers. The transmission inside the parallel-plate waveguide is modeled with the two-dimensional (2-D) aperture model of [9]. The analytical design yields a Rotman lens with four beam ports and eight antenna ports. It was verified with three-dimensional (3-D) electromagnetic (EM) finite-element simulations [Ansoft’s High Frequency Structure Simulator (HFSS)]. Fig. 3 shows the electrical-field distribution for feeding from one beam port. A good correspondence between design, 3-D EM simulation, and wafer prober measurement is obtained. The lens with antenna and waveguide interconnects realized on 5-mil Ro3003 is shown in Fig. 4. The sides of the lenses are terminated by

1970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. Different Rotman lenses for short- and long-range applications connected to antenna or wafer prober pads.

Fig. 5. Insertion phase of the Rotman lens (four beam ports, eight antenna ports) for short-range application.

dummy microstrip ports [10] continued by lines, which are covered with an absorber. The amplitude distribution on the antenna ports is somewhat nonuniform with maximum variations of 0.9 dB. The total loss of the lens (without the antenna interconnects of Fig. 4) amounts to 3.6 dB. The antenna port phase distribution is shown in Fig. 5. The desired phase distribution is reproduced well, phase errors are between 4 to 7 . The antenna diagram of the Rotman lens with an antenna is shown in Fig. 6 in comparison with the three-stage Wilkinson divider of the equivalent concept using phase shifters. The antenna consists of series-fed columns of rectangular patch elements [11] (see Figs. 2 and 4). In contrast to the phase-shifter concept, the Rotman lens approach can easily be scaled to long-range radar applications. A beam pattern similar to that of the second-generation lens-based long-range radar [4] is targeted with four beams pointing to 2.5 and 7.5 . To achieve the required beamwidth, 24 antenna columns in azimuth are typically used. In a first design, the focal lengths of the lens are chosen to be comparatively small to the dimensions of the array. The focal lengths of the feeding tapers are iteratively optimized for minimum insertion loss, while

Fig. 6. Beam patterns of Rotman lens and power divider with 12-element antenna columns for short-range applications at 76.5 GHz. Gain is corrected for losses of interconnections.

the phase difference between the antenna ports is kept constant. Larger ports on one side of the lens result in smaller ports on the other side of the lens. As a result, an optimum is found for certain balanced conditions of the directivities of the ports radiating into the parallel-plate line. In the optimum design, the four required beam ports only fill a certain part of the lens contour so that additional beam ports can be added. In total, six beam ports can be accommodated (see Fig. 4). The delay lines, which interconnect the lens and antenna array, have fixed lengths, except for multiples of a wavelength. We employ a new routing scheme, which ensures low radiation losses and overall short interconnections in contrast to cosine-like curves (cf. short-range lens in Fig. 4 or [10]). The delay lines are routed on a number of straight lines and arcs with comparatively large diameters. From inside out, an increasing number of extra wavelengths is added to the length to enable routing. In addition, this somewhat improves the sidelobe level due to the extra attenuation present on the outer antenna elements. Due to the large length differences between inner and outer antenna interconnects (six wavelengths) and a small error in determination of the effective dielectric constant of the microstrip lines, a phase error of up to 100 between inner and outer elements occurred. As the phase error is symmetrical, no deviation of the beam-pointing directions is noticed. This issue was corrected in a redesign, and a larger focal length was investigated. The optimum design can accommodate eight beam ports. The result is shown in Fig. 4. The antenna interconnects can be made significantly shorter and the overall loss (lens plus antenna interconnect) is slightly reduced to 5.0 dB with respect to 5.4 dB of the previous design. Fig. 7 shows the transmission (including antenna interconnect) and phase deviation from a linear interpolation of the antenna port insertion phase. For clarity, results are shown for one side of the (symmetrical) lens only. Fig. 8 shows the antenna diagrams of the Rotman lens for long-range application (eight beam ports, 24 antenna ports, only the four inner beams are shown) and, for comparison, of the second-generation long-range radar sensor (LRR2) based on a

SCHOEBEL et al.: DESIGN CONSIDERATIONS AND TECHNOLOGY ASSESSMENT OF PHASED-ARRAY ANTENNA SYSTEMS WITH RF MEMS

Fig. 7. Transmission and phase deviation of the Rotman lens (eight beam ports, 24 antenna ports) for long-range radar application.

Fig. 8. Beam patterns of the long-range Rotman lens (eight beam ports, 24 antenna ports) compared to lens-based LRR2. Gain of Rotman lens is corrected for losses of interconnections.

dielectric lens from [4]. As the antenna sizes and, therefore, the gains, are different, the latter pattern was normalized to the gain maximum of the Rotman lens. A satisfactory reproduction of the LRR2 beams is achieved with a slightly larger angular range of the Rotman lens caused by different design targets of the outer beam-pointing directions. The monopulse technique [4], [7] for target angle computation can be applied to the beams formed by the Rotman lens in the same way as to a lens-based antenna including signals detected via the sidelobes. No significant differences in performance (e.g., angular accuracy) are noticeable if the antenna patterns are interchanged and the same algorithm is used. III. RF-MEMS COMPONENTS AND SUBSYSTEMS RF MEMS are attractive for implementation of the beam-steering function due to their excellent RF properties and relative ease of circuit design [5], [12]. Alternative operation principles and layouts motivated numerous different designs published thus far [5], [12]–[15]. Based on a membrane shunt switch with longitudinal bridge geometry embedded in a coplanar waveguide (CPW), an optimization of the MEMS bridge layout with respect to thermome-

1971

Fig. 9. Insertion loss, return loss, and insertion phase of the 45 stub-loaded-line phase shifter.

chanical behavior is conducted [15] and the parasitic capacitance of the up state is compensated. These switches are employed in multithrow switches and phase shifters [16]. Subsystems consisting of several switches require additional components, such as metal–insulator–metal (MIM) capacitances for bias decoupling, 90 bends, and T-junctions. These components are optimized in 3-D EM simulations [16]. A single-pole–double-throw (SP2T) switch based on RF-MEMS shunt switches is used as building block for larger subsystems [16]. The insertion loss in the 76–77-GHz band is 1.8 dB, of which approximately 1.1 dB are caused by attenuation of the CPW (0.5–0.6 dB/mm). The waveguide attenuation is mostly caused by free charge carriers below the top oxide of the high-resistivity silicon substrate. It can be reduced by a thorough optimization of the substrate cleaning and the first oxidation step. Values of 0.1–0.2 dB/mm depending on the transversal dimensions of the CPW were demonstrated in earlier experiments [17]. A SP4T switching network is derived from the SP2T [16]. A comprehensive review of RF-MEMS phase shifters is given in [19]. Due to the simplicity of design, the switched-line principle is employed for 90 and 180 phase shifters. For smaller phase shifts, the stub-loaded-line phase shifter [20] is investigated. This concept is shown in the inset of Fig. 9. It is somewhat similar to distributed loaded-line approaches [19], but typically requires less switches for a certain phase shift and theoretically provides ideal matching in both states. are switched in shunt Two susceptances of equal value with the main line, when the RF-MEMS switches are in the up state. The susceptances are realized by short stubs and are separated by an electrical distance . In the down state, the from the T-junctions RF MEMS short circuit the stubs in so that the stubs present an open circuit to the main line (the functions of the two stub lengths for up and down states could also be reversed). Values of and are calculated so that the desired phase shift between the two switching states and matching at the ports is achieved. The operation of the phase shifter is strongly influenced by electrical parasitics of the up-state RF-MEMS switches caused by MEMS process imperfections. Very tight process

1972

Fig. 10.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Concept for zero-level packaging and coplanar RF feedthrough.

control resulting in very flat bridges in both states of the MEMS switch is required. Fig. 9 shows the RF characteristics of a 45 stub-loaded-line phase shifter. The optimum of insertion and return loss of the 45 state is shifted by remaining parasitics so that the operation frequency is between 70–72 GHz. The insertion phase is 60.7 at 71.5 GHz. The insertion phase of 90 and 180 switched-line phase shifters are given in [16]. Insertion phase tolerances are below 4 . They exhibit true-time-delay characteristics, which makes them ideally suited for UWB applications.

Fig. 11. Zero-level packaging process flow for 200-m capping chips with a 40 recessed cavity.

IV. PACKAGING AND INTERCONNECT RF MEMS must be packaged in a clean and stable environment in order to mechanically protect the fragile moving parts and to prevent humidity adsorption on the contact areas of the RF-MEMS bridge and dielectric, which could cause sticking in the down state. To facilitate wafer dicing and handling, the packaging is preferably conducted during the wafer processing prior to die singulation. This process is referred to as wafer-level or zero-level packaging. In addition, low-loss and well-matched RF feedthroughs are required. The package should not alter the RF behavior of the circuit to facilitate RF characterization of nonpackaged devices. On the other hand, the extra dielectric loading of the transmission lines by the cap could be utilized to reduce the dimensions of the circuit. RF-MEMS switches are packaged using two alternative approaches, which mainly differ in their sealant: glass frit [21] or polymer [benzocyclobutene (BCB)] [22]. The low loss tangent of BCB, high resistivity, and low dielectric constant make BCB a very good candidate for high-frequency applications [23]. The packaging concept and the feedthroughs are similar. High-resistivity silicon is used for the cap to avoid mechanical stress due to thermal mismatch during the high-temperature sealing process and also to meet automotive temperature specifications. A cavity is etched into the cap so that a free volume of 30–50- m height exists above the RF-MEMS circuit. The zero-level packaging concept is depicted in Fig. 10 in conjunction with the flip-chip mounting approach. Using glass frit or BCB as the insulating dielectric makes the RF feedthroughs truly straightforward, resulting in coplanar feedthroughs as opposed to the more intricate buried feedthroughs required for solder sealed caps or the substrate vias. It was shown that neither sealing material causes excessive RF loss in the feedthrough [21], [22]. The dielectric loading by the cap in the feedthrough area is compensated by a larger CPW gap and/or a narrower CPW central conductor

Fig. 12. Influence of the BCB width w on the BCB leak rate for a square ring with a side length of 5 mm.

width. The design was optimized with 3-D EM simulations. As the thickness of the sealant is only on the order of 5–10 m, the influence of the particular sealant on the feedthrough design is marginal. The process sequence for BCB-sealed packaging is illustrated in Fig. 11. Up to and including the release of the MEMS devices, the RF-MEMS wafer is processed independently from the capping wafer. The glass-frit packaging process is described in detail in [21]. An important difference between the sealing materials is the processing temperature, which is significantly lower for BCB (curing at 250 C) with respect to glass frit (400 C). It was shown experimentally [24] that the glass-frit sealing process is capable of filling the metal topography of the RF feedthroughs so that a hermetic sealing is obtained. The width of the glass frit sealing ring is 300–500 m. The recent work done on BCB [25] has shown that hermeticity and shear resistance of BCB sealed cavities strongly depends on the BCB width. Shear strength and hermeticity have been measured as a function of BCB width for a ring size of 5 5 mm . The latter is reported in Fig. 12. Depending on the BCB sealing width,

SCHOEBEL et al.: DESIGN CONSIDERATIONS AND TECHNOLOGY ASSESSMENT OF PHASED-ARRAY ANTENNA SYSTEMS WITH RF MEMS

Fig. 13. RF performance of glass-frit packaged and flip-chip mounted RF-MEMS switch.

leak rates as low as 10 mbar l/s are achieved for large BCB widths 800 m , dropping to 10 mbar l/s for BCB widths of around 100 m. Furthermore, a bonding time above 10 min does not influence the leak rate of BCB, although we have noticed a strong improvement of the shear strength. In other words, the degree of conversion (polymerization) of BCB after 10-min curing at 250 C is already good enough to obtain a “near hermetic” bond. A curing time shorter than 10 min yields very poor bonds. RF-MEMS switches and subsystems have been packaged using the two approaches. Some have also been flip-chip mounted. The concept of the flip-chip interconnect and its electrical performance are discussed in [16]. Due to the high processing temperature, MEMS switches have to be specifically designed for glass-frit packaging, which somewhat compromises the RF performance. Fig. 13 shows the RF characteristics of a glass-frit packaged and flip-chip-mounted RF-MEMS switch. The insertion loss is affected by misalignment of the package and of the flip-chip interconnect. A large part of the insertion loss is caused by CPW attenuation (approximately 3.5–4.5 dB) and by the microstrip interconnecting lines on the RF board (approximately 1.8 dB). This glass-frit packaged and flip-chip mounted RF-MEMS switch is fully functional. Finally, Figs. 14 and 15 show RF performance of a SP4T switching module with a BCB-sealed package and, for comparison, also without a package. The insets show photographs of the layout and of a packaged device. In order to accommodate different subsystems, the module dimensions were chosen to be rather large (note the comparatively small cap on the module in the inset of Fig. 15). As can be seen in Fig. 14, the line attenuation caused by the length of the module (9 mm) already amounts to 3.4 dB of the insertion loss. The package adds approximately 1.4 dB of insertion loss in the 77-GHz band, which translates to 0.7 dB per package feedthrough. The return loss is somewhat impaired, most likely by misalignment of the package. All of the RF-MEMS switches in the SP4T module remain functional after the BCB-sealing process. This shows the main advantage of the polymer sealing, which lies in the rather low sealing

1973

Fig. 14. Insertion loss of BCB-sealed SP4T module compared to nonpackaged module.

Fig. 15. Return loss and isolation of BCB-sealed SP4T module compared to nonpackaged module.

temperature 250 C . This allows to use standard switch and subsystem designs (cf. [16]) in the packaged subsystems. As no specific measures are taken to improve the compliance of the standard thermally decoupled RF-MEMS bridge [15] with respect to the sealing temperature, the bias at which a complete pull-down of the bridge is achieved rises from approximately 25–35 V to approximately 40–50 V. V. CONCLUSION Starting from system and component design considerations, the RF-MEMS design and technology flow for -band planar phased-array antenna front-ends based on RF MEMS is described and characterization results of fabricated components are given. Beam forming with a Rotman lens is discussed for short- and long-range automotive applications. The packaging technology has to meet environmental requirements for the respective application (e.g., automotive) and is also crucial for ensuring long-term reliability. Therefore, two different technologies are investigated: glass-frit and BCB polymer sealing. The glass-frit sealing is an established technology for micromechanical sensors with proven hermeticity

1974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

and long-term reliability. It could be shown that this technology is also applicable for planar microwave feedthroughs. Due to the high sealing temperature, specifically designed RF-MEMS switches have to be used. The main advantages of the BCB polymer sealing lie in its excellent dielectric properties and in 250 C , which is comparatively the sealing temperature low. This allows to use standard switch and subsystem designs in the packaged subsystems. Packaged RF-MEMS devices, which are fully functional, could be demonstrated in both packaging technologies. REFERENCES [1] A. Kawakubo, S. Tokoro, Y. Yamada, K. Kuroda, and T. Kawasaki, “Electronically scanning millimeter-wave radar for forward objects detection,” Soc. Automotive Eng., Warrendale, PA, SAE Tech. Paper 2004-01-1122, 2004. [2] P. M. Knoll, “Driver assistance systems: Driver support or driver tutelage?,” in Proc. 2nd World Microtechnologies Congr., Munich, Germany, Oct. 13–15, 2003, pp. 341–346. [3] “ECC decision of 19 March 2004 on the frequency band 77–81 GHz to be designated for the use of automotive short range radars,” Eur. Radiocommun. Office, Copenhagen, Denmark, (ECC/DEC/(04)03), 2004. [Online]. Available: http://www.ero.dk. [4] G. Kühnle, H. Mayer, H. Olbrich, W. Steffens, and H.-C. Swoboda, “Low-cost long-range radar for future driver assistance systems,” Auto Technol., vol. 4, pp. 76–79, 2003. [5] G. M. Rebeiz, RF MEMS. Hoboken, NJ: Wiley, 2003. [6] R. Mende and H. Rohling, “New automotive applications for smart radar systems,” in Proc. German Radar Symp., Bonn, Germany, Sep. 3–5, 2002, pp. 35–40. [7] W. Kederer and J. Detlefsen, “Direction of arrival (DOA) determination based on monopulse concepts,” in Proc. Asia–Pacific Microwave Conf., Sydney, Australia, Dec. 3–6, 2000, pp. 120–123. [8] W. Rotman and R. F. Turner, “Wide-angle microwave lens for line source applications,” IEEE Trans. Antennas Propag., vol. AP-11, pp. 623–632, 1963. [9] M. S. Smith and A. K. S. Fong, “Amplitude performance of Ruze and Rotman lenses,” Radio Electron. Eng., vol. 53, no. 9, pp. 329–336, 1983. [10] C. Metz, E. Lissel, and A. Jacob, “Planar multiresolutional antenna for automotive radar,” in Proc. 31st Eur. Microwave Conf., London, U.K., Sep. 24–28, 2001, pp. 335–338. [11] J. Freese, H.-L. Blöcher, J. Wenger, and R. Jakoby, “Microstrip patch arrays for a millimeter-wave near range radar sensor,” in Proc. German Radar Symp., Berlin, Germany, Oct. 11–12, 2000, pp. 149–153. [12] G. M. Rebeiz and J. B. Muldavin, “RF MEMS switches and switch circuits,” IEEE Microw. Mag., pp. 59–71, Dec. 2001. [13] H. A. C. Tilmans, “MEMS components for wireless communications,” in Proc. 16th Eur. Solid-State Transducers Conf., Prague, Czech Republic, Sep. 15–18, 2002, pp. 1–34. [14] J. J. Yao, “RF MEMS from a device perspective,” J. Micromech. Microeng., vol. 10, pp. R9–R38, 2000. [15] M. Ulm, J. Schöbel, M. Reimann, T. Buck, J. Dechow, R. Müller-Fiedler, H.-P. Trah, and E. Kasper, “Millimeter-wave MEMS switches for automotive surround sensing systems,” in Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting, Grainau, Germany, Apr. 9–11, 2003, pp. 142–149. [16] J. Schoebel, T. Buck, M. Reimann, M. Ulm, and M. Schneider, “ -band RF-MEMS subsystems for smart antennas in automotive radar sensors,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 12–14, 2004, pp. 1305–1308. [17] U. Schier, “Simulation, Herstellung und Charakterisierung von koplanaren Leitungen auf Silizium für MEMS-HF-Schalter,” Diploma thesis, Inst. Semicond. Eng., Univ. Stuttgart, Stuttgart, Germany, 2000. [18] M. Reimann, “Skalierung und hybride Integration von kapazitiven MEMS-Hochfrequenz-Schaltern,” Diploma thesis, Inst. Semicond. Eng., Univ. Stuttgart, Stuttgart, Germany, 2000. [19] G. M. Rebeiz, G.-L. Tan, and J. S. Hayden, “RF MEMS phase shifters,” IEEE Microw. Mag., pp. 72–81, Jun. 2002. [20] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992, sec. 6.3. [21] M. Reimann, M. Ulm, T. Buck, J. Schöbel, J. Dechow, and R. MüllerFielder, “RF MEMS glass frit packaging,” in Proc. Micro System Technologies, Munich, Germany, Oct. 7–8, 2003, pp. 60–67.

W

[22] A. Jourdain, X. Rottenberg, G. Carchon, and H. A. C. Tilmans, “Optimization of 0-level packaging for RF-MEMS devices,” in 12th Int. Solid-State Sensors, Actuators, and Microsystems Conf., Boston, MA, Jun. 9–12, 2003, pp. 1915–1918. [23] V. B. Krishnamurthu et al., “Use of BCB in high-frequency MCM interconnects,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 19, no. 1, pp. 42–47, Feb. 1996. [24] M. Reimann, private communication, Sep. 2004. [25] A. Jourdain, P. D. Moor, and H. A. C. Tilmans, “Mechanical and electrical characterization of BCB as a bond&seal material for cavities housing (RF-)MEMS devices,” in Proc. 15th Eur. Micromechanics Workshop, Leuven, Belgium, Sep. 5–7, 2004, pp. 167–170.

Joerg Schoebel received the Diploma and Ph.D. degree in electrical engineering from the Technical University of Braunschweig, Braunschweig, Germany, in 1994 and 2000, respectively. In 1994, he was with Hewlett-Packard, Boeblingen, Germany, where he was involved with external-cavity tunable laser sources. During 1995, he was a Visiting Scientist with AT&T Bell Laboratories, Holmdel, NJ, where he was involved in the assessment of silicon oxidation technologies for flash memory. In 1996, he joined the Department of RF Engineering, Technical University of Braunschweig, as Research Scientist, where he focused on organic light emitting diodes (OLEDs). He investigated energy-level structures and charge injection properties of organic heterointerfaces and contacts in OLEDs with photoelectron spectroscopy and thermoelectrical techniques. Since 2001, he has been with Advance Engineering, Robert Bosch GmbH, Hildesheim, Germany. His research interest include automotive radar systems and radar front-end technology, planar RF circuits, phased-array antennas, and RF MEMS. He currently leads the Robert Bosch GmbH activities on RF MEMS in the European Community (EC)-funded research project MIPA. He has coauthored 37 scientific publications. He co-holds 11 patent applications

Thomas Buck was born in Stuttgart, Germany, in 1975. He received the Diploma in electrical engineering from the University of Stuttgart, Stuttgart, Germany, in 2001, and is currently working toward the Dr.-Ing. degree in electrical engineering at the University of Stuttgart. Since 2002, he has been with Corporate Research, Robert Bosch GmbH, Gerlingen, Germany. His research is primarily concentrated on the monolithic integration of RF-MEMS and semiconductor elements

Mathias Reimann was born in Stadtoldendorf, Germany, in 1975. He received the Dipl.-Ing. degree in electrical engineering from the University of Stuttgart, Stuttgart, Germany, in 2000, and is currently working toward the Dr.-Ing. degree in electrical engineering at the Technical University of Berlin, Berlin, Germany, in corporation with the Ferdinand Braun Institute (FBH), Berlin, Germany. He then joined the staff of Corporate Research, Robert Bosch GmbH, Gerlingen, Germany, where he was involved in the design and experimental verification of RF-MEMS packaging structures. Since October 2003, he has been with Automotive Electronics, Engineering Sensors for Internal Customers, Robert Bosch GmbH, Reutlingen, Germany. His current activities focus on MEMS sensors for automotive applications.

Markus Ulm was born in Germany, in 1972. He received the M.S. degree in physics from the University of Oregon, Eugene, in 1996, the M.S. degree in physics from the Technical University of Karlsruhe, Karlsruhe, Germany, in 1998, and the Ph.D. degree in electrical engineering from the University of Stuttgart, Stuttgart, Germany, in 2003. In 1998, he joined Corporate Research, Robert Bosch GmbH, Hildesheim, Germany, where he was responsible for a research project on RF-MEMS switches from 2000 to 2003. Since 2003, he has been with Research and Technology Center, Robert Bosch GmbH, Palo Alto, CA. His research interests are in the fields of MEMS, sensor readout electronics, and alternative powertrains for automotive applications.

SCHOEBEL et al.: DESIGN CONSIDERATIONS AND TECHNOLOGY ASSESSMENT OF PHASED-ARRAY ANTENNA SYSTEMS WITH RF MEMS

1975

Martin Schneider (M’02) was born in Quakenbr|ck, Germany, in 1966. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering (with a specialization in communications and microwave engineering) from the University of Hanover, Hanover, Germany, in 1992 and 1997, respectively. From 1997 to 1999, he was with Bosch Telecom GmbH, Backnang, Germany, where he was involved in the development of passive microwave components for point-to-point and point-to-multipoint radio link systems. In November 1999, he joined Corporate Research, Robert Bosch GmbH, Hildesheim, Germany. Since 2003, he has been the Section Manager of the Radar and Wireless Communication Systems Research Group. The investigations of his group in the field of automotive radar are focused on planar antennas, analog and digital beamforming methods, and direction-of-arrival estimation techniques. Dr. Schneider is a member of Verband der Elektrotechnik Elektronik Informationstechnik e.V. (VDE) and Informationstechnische Gesellschaft (ITG).

Geert J. Carchon (S’97–A’01–M’03) received the M.Sc. and Ph.D. degrees in electronic engineering from the Catholic University of Leuven, Leuven, Belgium, in 1996 and 2001, respectively While with the Catholic University of Leuven, he was involved with the measurement, modeling, and design of monolithic and thin-film microwave integrated circuits. In 2001, he joined the InterUniversity Microelectronics Centre (IMEC), Leuven, Belgium, where he currently heads the Microwave and RF Systems-in-Package Team. His research interests include RF and millimeter-wave thin-film integrated passives and modules, RF MEMS, RF-MEMS packaging, and millimeter-wave CMOS design. In these fields, he has authored or coauthored over 100 papers presented at international conferences and journals and has authored two book chapters. Dr. Carchon was the recipient of the 2003 Outstanding Paper Award presented by the International Microelectronics and Packaging Society (IMAPS).

Anne Jourdain was born in France, in 1971. She received the M.S. degree in optomicroelectronics from the Ecole Nationale Supirieure d’Inginieurs de Caen, Caen, France, in 1994, and the Ph.D. degree (with the LETI-CEA) from the University Joseph Fourier of Grenoble, Grenoble, France, in 1998 In 1999, she joined the Inter-University Microelectronics Centre (IMEC), Leuven, Belgium, where she was involved in MEMS processing development. IN 2000, she joined the RF-MEMS Team, where she is currently in charge of the packaging of RF-MEMS devices. She has authored several conference papers concerning MEMS packaging (IMAPS2005, Brugge, Belgium; MEMS2005, Miami, FL; MME2004, Leuven, Belgium; Transducers2003, Boston, MA; DTIP2003, Cannes, France; MEMS2002, Las Vegas, NV; EuMC2001, London, U.K.).

Harrie A. C. Tilmans (M’93) was born in Elsloo, The Netherlands, in 1957. He received the M.S. and Ph.D. degrees in electrical engineering from the University of Twente, Enschede, The Netherlands, in 1984 and 1993, respectively. From 1985 to 1999, he held MEMS research and development positions with the University of Twente, Boston University, the University of Wisconsin–Madison, Johnson Controls Inc., Milwaukee, WI, the Catholic University of Leuven, Leuven, Belgium, and CP Clare Inc., Hasselt, Belgium. From 1995 to 1998, he was Project Manager for the Esprit-MIRS project involving the development of a micromachined electromagnetic relay. Since September 1999, he has been with the Inter-University Miroelectronics Centre (IMEC), Leuven, Belgium, where he is responsible for the development of RF-MEMS components and systems. He has coauthored over 120 papers. He holds six patents in the area of MEMS. His research has concerned MEMS resonators and resonating sensors, microrelays, MEMS transducer modeling and simulation, MEMS-CMOS process integration technology, and MEMS packaging technology. Dr. Tilmans is a member of the IEEE Components, Packaging, and Manufacturing Technology (IEEE CPMT) Society, the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the International Microelectronics and Packaging Society (IMAPS), and the European Microwave Association. He has served on the Technical Program Committee (TPC) of MEMS2002, Las Vegas, NV, MEMS2005, Miami, FL, Transducers’05, Seoul, Korea, and on the TPC of Eurosensors XV–XVIII. He was the recipient of the 2001 Eurosensors XV Fellow Award for his pioneering work on microresonators.

1976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

A High-Temperature Superconducting Filter for Future Mobile Telecommunication Systems Jia-Sheng Hong, Member, IEEE, Eamon P. McErlean, Student Member, IEEE, and Bindu M. Karyamapudi, Student Member, IEEE

Abstract—This paper presents a narrow-band high-temperature superconducting bandpass filter on a sapphire substrate applicable to future mobile communications systems. The design and simulation of a ten-pole quasi-elliptic function filter implemented using a cascaded quadruplet trisection coupling topology is discussed. The filter is designed to have a 10-MHz passband in the Universal Mobile Telecommunications System base-station receive band. The filter substrate was a sapphire wafer measuring 47 mm 17 mm 43 mm, which had a double-sided thin-film coating of Yba2 Cu3 O7 . The filter displayed a minimum insertion loss of 0.2 dB in the passband and a return loss better than ( 12 dB preliminary) over the passband. A high performance out-of-band rejection associated with a quasi-elliptic filter function was also recorded. Index Terms—Bandpass filters, microstrip filters, microwave filters, superconducting filters.

I. INTRODUCTION

E

VER increasing performance requirements of mobile communication services such as enhanced data rates, reduced handset power consumption, and network sensitivity in rural locations place escalating demands upon existing cellular communication infrastructure. In order to enhance the network performance and safeguard valuable frequency spectrum, the use of high-temperature superconducting (HTS) filters has proven advantageous [1]–[5]. Communication systems benefit from HTS filters due to their high selectivity afforded by multipole design and low insertion loss. For the commercialization of HTS devices, the cost effectiveness plays a critical factor in the inception of the technology. Compared with previous HTS substrate materials, such as MgO and lanthanum–aluminate substrates, sapphire can be fabricated to larger dimensions at a lower cost. Recently, we have presented an eight-pole HTS filter on a sapphire substrate [6]. The filter was designed to have a cascaded quadruplet (CQ) coupling structure, which exhibited two pairs of transmission zeros at finite frequencies. However, the two transmission zeros that are most close to the passband cannot be

Manuscript received September 27, 2004; revised December 10, 2004. This work was supported by the Scottish Enterprise under a Proof of Concept Fund for the High Temperature Superconducting Filters for Future Mobile Communications Systems Project. The authors are with the Department of Electrical, Electronic and Computer Engineering, School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh EH14 4AS, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848840

Fig. 1. Ten-pole CQT bandpass filter coupling structure.

tuned independently and, hence, it is difficult to control the selectivity on both sides of the filter during filter tuning. Therefore, an alternative coupling structure would be desirable to overcome this difficulty. In this paper, we present a further development of a tenpole narrow-band HTS microstrip bandpass filter on sapphire substrate for future mobile communications applications. The filter design and implementation using a cascaded quadruplet trisection (CQT) coupling structure are described in Section II, including results from the circuit and electromagnetic (EM) modeling, as well as the sensitivity analysis based on the Monte Carlo method. The fabrication and experimental results are presented in Section III, in which the experiments with untuned and tuned filters are detailed, the cause for a problem associated with the first fabricated demonstrator reported in [7] is addressed, and a new fabricated demonstrator is presented with the latest experimental results. Finally, a conclusion is reported in Section IV. II. FILTER DESIGN A ten-pole CQT coupling structure for our filter development is depicted in Fig. 1, where each numbered node represents a resonator; the full lines indicate direct couplings, and the dash lines denoting cross couplings. Differing from the CQ coupling structure employed in [6], in the current filter, the two trisections, i.e., resonators 1–3 and 8–10 with the cross couplings and , respectively, are used to produce and control two transmission zeros close to the passband independently, which makes the filter tuning easier. The only quadruplet secis used to tion of resonators 4–7 with the cross coupling generate another pair of transmission zeros. A. Filter Synthesis The first step of designing filter is to obtain a set of design parameters including those coupling coefficients and external and ) from the filter synthesis. We have quality factors ( used a computer-aided synthesis [8] for our design, though other

0018-9480/$20.00 © 2005 IEEE

HONG et al.: HTS FILTER FOR FUTURE MOBILE TELECOMMUNICATION SYSTEMS

Fig. 2.

1977

Ideal circuit model.

methods such as [9] can be used as well. The results of filter synthesis for a typical 10-MHz bandwidth from 1950 to 1960 MHz are as follows:

Fig. 3. Ideal filter circuit frequency response.

Fig. 4. Two microstrip trisections. (a) For producing a transmission zeros near to the low side of the passband. (b) For producing a transmission zeros near to the high side of the passband.

(1) It should be noted that, as a result of using trisections, the CQT filter is not synchronously tuned, which is different from the CQ filter presented in [6]. The synthesized filter is an ideal one and its frequency response can also be analyzed using a circuit model, as shown in Fig. 2. The circuit model was created with Microwave Office, a commercially available software package [10], where each quarter-wavelength line that at the central frequency of has electrical length the passband functions as an immittance inverter to represent the coupling between the associated pair of resonators. All the resonators are supposed to be asynchronously tuned to predetermined frequency offsets. The other circuit parameters are related, following the formulations given by the set of desired coupling coefficients and external quality factors of (1). Fig. 3 displays the ideal frequency response of the filter, which is based upon a lossless circuit model having the desired frequency offsets, coupling coefficients, and external quality factors, although the effects of unwanted cross couplings are not considered. As can be seen from the ideal frequency response, the cascaded trisections in the circuit model illustrated each produce a single attenuation pole near to the passband at a high or low finite frequency depending upon the polarity of the cross coupling used. The cross-coupled quadruplet section produces a pair of attenuation poles at finite frequencies in order to further improve the selectivity. The advantages of the CQT filter are that the asymmetrical design results in fewer resonators and lower passband insertion loss; additionally, the tuning effort is reduced due to the independency of the filter cross couplings.

B. Filter Implementation The second step in the HTS filter design is to implement the circuit model of Fig. 2 with a proper microstrip structure. For our HTS filter development, we have used an -cut sapphire substrate. Since the dielectric properties of sapphire are anisotropic, the relative dielectric constant is not a single value, but a tensor [6]. To make the filter deign simple, we used an effective dielectric constant of 10.0556 for the -plane sapphire substrate. However, in order to make this design approach work, it is important to arrange all microstrip resonators in such a way that they experience the same permittivity tensor on the anisotropic substrate. We have first investigated the implementation of two trisections, as illustrated in Fig. 4. The trisection of Fig. 4(a) was de, , and veloped to implement the couplings of given in (1). For realizing , , and , the trisection of Fig. 4(b) was proposed. All the direct couplings are realized with proper proximity only, whereas each cross coupling uses a crossing line with capacitive probes coupled to the two nonadjacent resonators. The difference between the two triplet configurations lies in the locations of capacitive probes as shown, which makes their frequency characteristics distinct. The trisection of Fig. 4(a) is supposed to produce a transmission zero (attenuation pole) near to the low side of the passband, whereas the trisection of Fig. 4(b) is designed to produce a transmission zero near to the high side of the passband. The full-wave EM simulation was carried out to confirm these distinct characteristics, as shown in Fig. 5, where a single transmission zero on a different side of each response is observable. In the simulation, each of trisections was weakly excited and

1978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. Frequency responses of the microstrip trisections. (a) Exhibiting transmission zeros near to the low side of the passband. (b) Exhibiting transmission zeros near to the high side of the passband.

Fig. 6.

Ten-pole microstrip CQT bandpass filter configuration.

Before interpreting the simulated results, we need to highlight that the minimum cell size used for EM simulation was 50 m in order to reduce the simulation time and not to exceed the memory limitation of 1 GB. As all the dimensions were rounded off to the nearest ones with a precision of 50 m, all the resonators became mistuned and were also affected by nonideal couplings. This explains the discrepancy between the ideal and EM-simulated performances. C. Sensitivity Analysis

Fig. 7. Full-wave EM simulation result.

the simulation was performed using a commercially available EM simulator.1 To this end, we developed a new ten-pole microstrip CQT bandpass filter configuration, as shown in Fig. 6. To confirm the above design, the whole filter structure was simulated with the full-wave EM simulator , and the results were plotted in Fig. 7. One can see that the simulated frequency response is not ideal. Although the two pairs of transmission zeros are present on the upper side, only one is evident on the lower side, and mismatching in the frequency response passband is observed. 1Sonnet

Software Inc., North Syracuse, NY, ver. 7, 2001.

For the development of a narrow-band filter, it is also important to carry out the sensitivity analysis since a narrow-band filter tends to be more sensitive to the tolerances in both the design and fabrication. It has been found that the major cause of performance variance for the designed filter is the nonuniformity of substrate thickness within a sapphire wafer. For a quoted tolerance of 5 m in the substrate thickness of 430 m, the impact on the filter performance is significant. To demonstrate this, we have performed a sensitivity analysis based on the Monte Carlo method, and the results for both transmission and reflection responses are plotted in Fig. 8. The shading in each diagram illustrates the sensitivity of the filter response against the variation of substrate thickness. It is evident from the given results that the distortion in the desired filter performance is severe. Hence, the tuning of this narrow-band filter will be a must. It can also be shown through a further sensitivity analysis that the tuning of resonator frequencies is much important than the tuning of couplings for the designed filter. This was used as a guideline for the filter experiments described in Section III. III. EXPERIMENT A. Filter Fabrication The filter was then fabricated on a 0.43-mm-thick sapphire Al O wafer with double-sided Yba Cu O (YBCO) films. The YBCO thin films have a thickness of 300 nm and a characteristic temperature of 87 K. Both sides of the wafer were gold plated with 200-nm-thick gold (Au) for the RF contacts.

HONG et al.: HTS FILTER FOR FUTURE MOBILE TELECOMMUNICATION SYSTEMS

1979

Fig. 10. Filter lid incorporating sapphire tuners.

Fig. 8. Sensitivity analysis against the tolerance in the uniformity of substrate thickness. (a) Transmission. (b) Reflection.

Fig. 11. Fig. 9.

Measured results (untuned). (a) Transmission. (b) Reflection.

Filter test housing.

This wafer is commercially available from THEVA GmbH, Ismaning, Germany. The fabricated HTS filter used a wafer size of 47 17 mm, which was assembled on a gold-plated titanium carrier and placed into a brass test housing, as shown in Fig. 9. This assembly was then placed in a cryogenic Dewar. As this type of narrow-band filter is more sensitive to frequency tuning, sapphire tuners have been arranged to tune the resonant frequencies of all ten HTS resonators. This is clearly illustrated in

Fig. 10. An HP 8720B vector network analyzer was used for all the RF measurements made under cryogenic conditions. B. Untuned Filter Fig. 11 shows the typical measured results for the untuned filter, where the frequency is spanned over a 20-MHz bandwidth. As compared with the sensitivity analysis results in Fig. 8, the measured results for the untuned filter fall in the shading areas resulting from the Monte Carlo analysis. This confirms the expectation from the sensitivity analysis, and

1980

Fig. 12.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 13.

Circuit model of simulated damage on second resonator.

Fig. 14.

Surface damage on second resonator.

Fig. 15.

Measured results for the second tuned filter at 65 K.

Measured results for the first tuned filter at 65 K.

a better performance should also be expected by frequency tunings, as will presented below. C. First Tuned Filter The measured results at 65 K for the first tuned filter are shown in Fig. 12. The measured filter center frequency was slightly higher than the simulated performance. This is because the center frequency is dependent upon the orientation of the filter on the sapphire wafer as a result of the anisotropic dielectric property. To achieve a better agreement between the measured and simulated band center frequencies, we can decrease the effective dielectric constant slightly less than 10.0556 for the given orientation of the filter on the wafer. After tuning, the measured return loss shown in Fig. 12 (5 dB per division) is better than 10 dB across the passband. The measured insertion loss at the band center is approximately 0.6 dB, including the losses of the contacts. Although two desired transmission zeros are clearly seen on the high side of the passband, it was evident that only one transmission zero was present on the low side of the passband, as is observable from Fig. 12. In order to examine the effect of a damaged resonator to account for this observation, the circuit model was modified to include a resonator with an increased frequency offset of 30 MHz corresponding to a reduction in resonator length of 1.53%. Greater reductions in length than this result in the resonance being lost in the measurement noise floor, as illustrated in Fig. 13. As tuning could not resolve the other zero, the filter was examined for damage. The filter was inspected using a Zygo surface scanner for damage, and this was observed on the second resonator, as illustrated in Fig. 14. The damage could be caused by a tuner during a preliminary tuning. The damaged resonator would also degrade the unloaded quality factor, resulting in a slightly higher insertion loss of 0.6 dB as measured. D. Second Tuned Filter As tuner damage was evident a replacement filter was produced as part of a further design iteration. This filter was pro-

duced using the original design and constructed and tested using the previous procedures. It can be seen from the response in Fig. 15 that both zeros are now present. The measured insertion loss is at its lowest at 0.2 dB. Thus, the resonator could be estimated to be larger than 50 000. After tuning, the measured return loss shown in Fig. 15 (5 dB per division) is better than 12 dB across the passband. Again, we also measured the wide-band response of the filter, and the results are plotted in Fig. 16, showing the excellent rejection and clean response without harmonics or spurious modes over the entire Universal Telecommunications System (UMTS) transmission band (2110–2170 MHz).

HONG et al.: HTS FILTER FOR FUTURE MOBILE TELECOMMUNICATION SYSTEMS

1981

[6] J.-S. Hong and E. P. McErlean, “Narrow-band HTS filter on sapphire substrate,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2004, pp. 1103–1106. [7] E. P. McErlean and J.-S. Hong, “A high temperature superconducting filter for future mobile telecommunication systems,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, 2004, Paper 1428. [8] J.-S. Hong, “Computer-aided synthesis of mixed cascaded quadruplet and trisection (CQT) filters,” in Proc. 31st Eur. Microwave Conf, vol. 3, London, U.K., Sep. 2001, pp. 5–8. [9] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [10] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001.

Fig. 16. Measured wide-band response of the filter.

IV. CONCLUSION This paper has presented a narrow-band HTS bandpass filter for future mobile communications systems. The filter was designed to have a ten-pole quasi-elliptic function response with a passband of 10 MHz or a fractional bandwidth of 0.5% in the UMTS base-station receive band. The quasi-elliptic function response with four transmission zeros at finite frequencies was implemented with a CQT coupling structure. The design issues associated with the circuit model and full-wave simulations have been addressed. The filter was fabricated on a 0.43-mmthick sapphire Al O wafer with double-sided YBCO films. The results for the two experimental filters have been detailed. The measured good filter possessed a passband insertion loss of 0.2 dB at its lowest and a return loss better than 12 dB over the passband. The measured filter also exhibited a rejection better than 85 dB over a wide range covering the entire UMTS base-station transmission band. REFERENCES [1] R. R. Mansour, “Filter technologies for wireless base stations,” Microw. Mag., vol. 5, no. 1, pp. 68–74, Mar. 2004. [2] S. Ye and C. Sans, “New advances in HTS microstrip filter design,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1885–1888. [3] H. R. Yi, S. K. Remillard, and A. Abdelmonem, “A superconducting thin film filter of very high wide-band rejection,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1893–1896. [4] K. Dustakar and S. Bekowitz, “An ultra-narrowband HTS bandpass filters,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1881–1884. [5] J.-S. Hong, M. J. Lancaster, D. Jedamzik, and R. B. Greed, “On the development of superconducting microstrip filters for mobile communications application,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1656–1663, Sep. 1999.

Jia-Sheng Hong (M’94) received the D.Phil. degree in engineering science from the University of Oxford, Oxford, U.K., in 1994. His doctoral dissertation concerned EM theory and applications. In 1994, he joined the University of Birmingham, Birmingham, U.K., where he was involved with microwave applications of high-temperature superconductors, EM modeling, and circuit optimization. In 2001, he joined the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University, Edinburgh, U.K., as a faculty member leading a team for research into advanced RF/microwave device technologies. He has authored or coauthored over 90 journal and conference papers and Microstrip Filters for RF/Microwave Applications (New York: Wiley, 2001). His current interests involve RF/microwave devices, such as antennas and filters, for wireless communications and radar systems, as well as novel material and device technologies including RF microelectromechanical systems (MEMS) and HTS devices.

Eamon P. McErlean (S’00) received the B.Eng. and M.Phil. degrees in electrical and electronics engineering from Heriot-Watt University, Edinburgh, U.K., in 1997 and 1999, respectively, and is currently working toward the Ph.D. degree in electrical and electronic engineering at Heriot-Watt University. Since 1997 he has been a Research Associate with Heriot-Watt University, Edinburgh. His current research interests include design of RF and microwave devices for communications, microwave antennas, microwave applications of high-temperature superconductors, EM modeling, and medical applications of microwave radiation.

Bindu M. Karyamapudi (S’03) was born in Vizag, India, in 1976. He received the B.Sc. and M.Sc. (Tech.) Electronics degrees from Andhra University, Vizag, India, in 1996 and 1999, respectively, and is currently working toward the Ph.D. degree in electrical and electronic engineering (RF/microwave engineering) at Heriot-Watt University, Edinburgh, U.K. His research interests include microwave filter design and optimization, HTS filters, and active microwave circuits.

1982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Multitone Phase and Amplitude Measurement for Nonlinear Device Characterization João Paulo Martins and Nuno Borges Carvalho, Member, IEEE

Abstract—This paper presents a new setup for nonlinear device characterization. The presented measuring bench allows the characterization of phase and amplitude of correlated and uncorrelated multitone signals. The method presents a simple hardware implementation using only an ordinary scope as the main device. The validity of the technique is confirmed by an experimental test using a two-tone uncorrelated input signal. The experimental values agree with the theoretical results assuring the validity of the proposed technique. Moreover, they confirm the fact that despite the lower and upper intermodulation distortion can have the same amplitude; they could present different relative phases. Index Terms—Instrumentation, distortion, phase measurement.

measurement,

nonlinear

I. INTRODUCTION

P

OWER amplifiers (PAs) are inherently nonlinear, which impose severe problems in the link budget calculations to RF systems engineers. In order to minimize those nonlinear effects, several linearization techniques are used [1], [2], most of them based on some form of distortion cancellation, being the main idea the generation of a system that mimics the inverse of the PA nonlinear function, in order to cancel the unwanted distortion. In order to design and obtain that inverse function, a precise characterization of the PA nonlinear behavior should first be obtained. That nonlinear device characterization demands for an accurate measuring system, not only for the amplitude, but also for the relative phase itself. The problem is even worst in dynamic systems where the phase of the nonlinear distortion constitutes a serious additional difficulty for the linearizers’ design [3], [4]. The usually seen intermodulation distortion (IMD) asymmetry [5] is one of the examples of the dynamics of nonlinear systems that should be correctly characterized not only in amplitude, but also in phase. Moreover, it is known that thermal and dispersion effects can also have an impact on the phase change of nonlinear distortion, even when amplitude asymmetry is unnoticed. Thus, without the phase knowledge in this type of system, the linearization

Manuscript received September 28, 2004; revised January 11, 2005. This work was supported by the Portuguese Science Bureau Fundação Ciência e Tecnologia under Project POCTI/ESE/37531/2002-OPAMS. J. P. Martins was with the Instituto de Telecomunicacoes, Universidade de Aveiro, 3810-193 Aveiro, Portugal. He is now with Martifer Energia SA, Oliveira de Frades 3684, Portugal. N. Borges Carvalho is with the Instituto de Telecomunicacoes, Universidade de Aveiro, 3810-193 Aveiro, Portugal (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848841

design is almost impracticable [3]. These are the main reasons driving the correct study of those systems in recent instrumentation benches. With these thoughts in mind, it is obvious that a phase-measuring setup for nonlinear systems should be able to automatically perform the measurement of IMD amplitude and phase and, thus, fully characterize the nonlinear distortion on those PAs. One of the approaches to study and obtain that nonlinear function is usually done with a two-tone test. This has recently been extended to the multitone excitation of dynamic systems, creating the need to measure the amplitude and phase of each of the output tones. This type of measurement can be done by recurring to synchronous (phase-locked) or correlated signals, sampled by a digital oscilloscope and post-processed by a discrete Fourier transform (DFT) algorithm to extract the phase of the output signal. However, in that case, the number of tones in the multitone excitation and the bandwidth of the signal are limited by the number of points available in the sampling oscilloscope, restricting in that way the measuring capabilities [6], [7]. Despite that, this solution is only applicable to periodic and phase-correlated signals, leaving all uncorrelated signals still untreated [8], [9]. Several authors have already dealt with this problem and proposed alternative schemes for the measurement of phase and amplitude with this type of signal excitation. A suitable approach to measure phase in uncorrelated signals is based on the generation of a spectral reference by means of a well-known nonlinear device. The signal to be characterized is added to the reference signal at the output stage and the desired phase value is obtained by the extra phase shift needed to achieve a proper cancellation of each tone at the output [10], [11]. A similar approach was also used for correlated signals where a reference signal is inserted at the input and its phase changed in order to cancel the sought output distortion tone [12]. Nevertheless, both of these techniques are supported by a correct cancellation between the reference and distortion tone. Thus, they suffer from various problems in the reference phase since a slight mismatch could be responsible for enormous errors. Moreover, the bandwidth of the signal should be maintained low in order to have a proper cancellation at the output. The new idea presented in [13] is a straightforward measurement procedure with an extended dynamic range. In this paper, the idea presented in [13] is implemented based on ordinary laboratory equipment, and some experimental results are then presented for a dynamic nonlinear active device.

0018-9480/$20.00 © 2005 IEEE

MARTINS AND BORGES CARVALHO: MULTITONE PHASE AND AMPLITUDE MEASUREMENT FOR NONLINEAR DEVICE CHARACTERIZATION

Fig. 1.

Tone cancellation phase measurement.

The obtained results state the viability of this technique, allowing its easy incorporation into commercially available network or signal analyzers. This paper begins by presenting a summary of the known schemes for the multitone phase measurement in uncorrelated signals, and its advantages and drawbacks are discussed. In Section III, the now proposed measurement alternative is presented. In Section IV, the calibration scheme is addressed and, in Section V, some experimental results are presented and discussed. This paper experimentally confirms that the phase of IMD and, thus, the memory of dynamic PAs, cannot be ignored. Moreover , the strong variations of IMD phase, with or without amplitude asymmetry, allow a better understanding of nonlinear dynamic effects in nonlinear devices-under-test (DUTs). The now proposed approach can be used for uncorrelated excitations, but also for correlated signals, without any limitations on the number of available points of the scope. Finally, some conclusions will be drawn in Section VI. II. OVERVIEW OF PHASE MEASUREMENT SETUPS FOR UNCORRELATED SIGNALS Despite that there are several methods for measuring the phase of correlated signals [6], [7], [14], most of them are based on sampling the time-based signals and making use of the DFT algorithm. These techniques are not useful for uncorrelated tones since the signals are aperiodic. In order to measure this type of signal, there is mainly one basic measurement setup that is based on cancellation mechanisms [10], [11] (see Fig. 1). The basic idea of this technique is to generate a signal with the same spectral content of the one to characterize. It is then assumed that the phase of this signal is constant with the amplitude of the excitation, which is typically a two-tone phase. Before beginning the measurements, it is necessary to proceed to the calibration of the setup. In order to do so, Suematsu et al. [10] considered that the DUT is a memoryless nonlinearity (since they ignored the device capacitance effects and calibrated their setup in the small signal region of operation). The lower branch applies the reference signal directly to the output. Both branches are added and the result is displayed in a spectrum analyzer. The calibration process comprises the change of amplitude and phase of the signal coming from

1983

the upper branch so that the amplitude seen in the spectrum analyzer reaches a minimum value. When the optimum point is reached, the information contained in the vector modulator is measured. The phase value obtained is used to calibrate future measurements. Suematsu et al. consider that the IMD phase is equal to the carrier phase in the small-signal region, while Yang et al. [11] consider that they can be in-phase or in opposite phase. Unfortunately, if the system is dynamic, mainly if it presents long-term memory effects, it can have any phase difference between the carrier and IMD, even in small signal, and thus, none of these methods is suitable. In Section V, the measured small-signal IMD phase of a nonlinear DUT proves exactly this statement. After the calibration procedure, the input signal is returned to its default value and the output is again minimized for the desired tone in the spectrum analyzer. The actual phase value is the difference between the value measured in the vector modulator and the calibration value. The main difference of the Yan et al. [11] setup is that they cancel the upper and lower branch by first converting the signals to a lower frequency with a mixer. This way, the reference nonlinearity works with a lower frequency excitation and, thus, it can be considered memoryless since the memory effects induced by the parasitic capacitances of the reference device could be ignored. This method presents a complex setup and, thus, it is very difficult to be automated. Moreover, the measurement process is based on the cancellation of two signals demanding an extremely fine tuning to achieve a proper cancellation that restricts the bandwidth and dynamic range of the technique. This idea was also used by Vuolevi et al. [12] by inserting an IMD signal at the input of the DUT, and by a correct cancellation of the IMD output, the phase of the baseband reference was obtained. Nevertheless, that setup is extremely difficult to be tuned, only works for correlated signals, and needs regular calibrations. Other techniques based on signal statistics [8], [9] were also used, but with limited applications since the numerical error involved was very high for a correct measuring setup.

III. PROPOSED SETUP Taking the referred drawbacks of previous measurement setups, a new approach will be followed. Looking back at regular linear instrumentation systems, such as spectrum analyzers, network analyzers, and vector signal analyzers [15], they typically follow a superheterodyne approach. Two channel inputs are compared at a predetermined frequency, allowing the acquisition of their amplitude and phase differences. This way, any DUT is fully characterized by inserting a single tone at the input and measuring the same tone at the output. Since both input and output are at the same frequency, this is a straightforward measurement. In the now proposed setup [13], the idea is to mimic that architecture. In order to do so, a reference signal to be compared with the DUT output signal should

1984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

(3b)

Fig. 2. Phase measurement setup for uncorrelated tones.

be used so that both of them are at the same frequency (see Fig. 2). In the simplest case, the test signal is composed by two uncorrelated tones and could be represented by (1)

with and being the coefficients of the reference nonlinearity. The output of the DUT together with the output of the reference is down-converted by a couple of double-balanced mixers to a proper IF. At the IF band, the desired spectral component is selected by a filtering process. The IF frequency could be chosen as low as needed so that the filter realization would be possible. This is exactly the same approach that is followed in a superheterodyne receiver and in most of the linear instrumentation benches. The IF signals are mathematically represented by the following. • Upper branch signal

being any nonrational number. with This signal is then split in two branches. In the upper branch, the two-tone signal is applied to the DUT changed by an attenuation factor. If the DUT is considered a nonlinearity with memory, the output will be [18] (2) where are different gains and are different delays for each nonlinear contribution. At the output of the DUT, there is an amplified version of the input signal and all the mixing distortion products whose power depends on the degree of saturation of the device. The in-band part of this signal could be represented by

(4a) • Lower branch signal

(3a) where only the in-band components were considered and , , , , , and . The lower branch applies the input signal to a nonlinear reference device with a known response. Since no variable elements exist in the lower branch, the output of the nonlinear reference remains unchanged with the amplitude of the signal applied to the DUT and is represented by

(4b) with being the gain referring to the insertion loss of the mixer, being the phase delay imposed by the mixer, being the local-oscillator (LO) frequency. and The tone to be characterized must be selected from the set of output tones present at each branch by a proper selection of the LO frequency.

MARTINS AND BORGES CARVALHO: MULTITONE PHASE AND AMPLITUDE MEASUREMENT FOR NONLINEAR DEVICE CHARACTERIZATION

For instance, for the IMD tone at stage, we get the following. • Upper branch signal

1985

, after the filter

(5) • Lower branch signal

(6) being the gain referring to the loss of the filter with and is the integrated phase delay imposed by the mixer and filter. The two signals at the output have the same frequency, constant phase, and amplitude difference. Measuring these quantities is an ordinary task in typical linear measurement equipment such as a vector signal analyzer or an ordinary oscilloscope. The IF filter must have high stopband attenuation in order to guarantee a good dynamic range of the setup. However, in order to have useful measures, a calibration procedure is an essential part of the system.

Fig. 3. Calibration sequence.

IV. CALIBRATION In order to account for the errors induced by the setup in the measurement results, a proper calibration scheme is also needed. There are several sources of mismatches between the two branches. From (7) and (8), it can be seen that the coefficients and are device dependent and different for each device as follows:

(7)

(8) The error sources affecting the phase characterization are the differences between and since only the transitions by zero are significant to the measurement of the phase. However, in the and are directly amplitude characterization, both affecting the measurement. Considering this, the attenuator in the upper branch should be set for each input power value in order to guarantee a constant power applied to the upper mixer that maintains the calibration. Since the main contribution for the mixer gain saturation is performed by the fundamental tones, the power control should be mainly focused on those main tones. is dependent on the characteristics of the mixers, on the input signal power level in both RF and LO ports, and on the impedance seen by each port. Moreover, the coefficients for each mixer are not the same. The filter coefficient is also a source of mismatch since it depends on the impedance terminations.

Fig. 4. Power amplifier under test.

The differences between the cable length of the two branches and the imbalance of the power coupler also contribute as a systematic error for the total mismatch of the setup. To account for these errors, a calibration procedure was assumed. are identified At first, the systematic errors of the path using a network analyzer. The measured result is the phase delay that we had from the upper to the lower branch input. For a correct account of the phase of the nonlinear products, the input signal should be equal in the upper and lower branch (i.e., the input signals of the DUT and the nonlinear reference should be exactly equal both in amplitude and phase). In order to do so, an extra delay should be inserted in the upper and/or lower arm to account for the paths differences between them. Following this procedure, the mismatch between section components of the upper and lower branch of the setup should now be accounted for. Since the lower branch has a constant signal power, the spectral content is constant. In order to guarantee the balancing of the setup, the DUT output variable attenuator of the upper arm should be adjusted properly so that the signal power at the mixer’s input is also constant both in the upper and lower branch.

1986

Fig. 5.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Hardware implemented setup.

If the filters are not perfectly matched, the phase noise of the LO is a point of concern since it can generate different shifts between each branch. Thus, special care should be paid in the LO characteristics. It should be clearly stated that this setup considers the nonlinearity reference to be a known component and that every measure is referred to that nonlinearity. , the DUT is replaced by For the calibration sequence of a through. The input signal is then obtained from a group of generators using the same reference, representing the two-tone signal input and the two IMD sidebands. Each of those tones is pre-programmed with the correct value of amplitude and phase. Typically, the two carrier tones are pre-programmed with 0 relative phases and the IMD with a predetermined relative phase, which can also be 0 . The relative phase of the output signal, for each tone, is then measured by comparing the output of the nonlinearity reference and the injected tones. Since we know a priori the different relation of phases of each input tone, the measured relative phase could then be used for calibration. To maintain this calibration through the measuring process, the attenuator in the output of the DUT should be controlled in order to keep the input power of the upper branch mixer constant. In case of multitone excitation, all these steps should comprise all the spectral components involved in the measurement. Fig. 3 presents the calibration steps. This setup is able to characterize the nonlinear response of a DUT by performing amplitude and phase characterization of each spectral component of the output signal. The minimum tone separation is dictated by the filter transition bandwidth. This process is suitable for automation since no cancellation adjustment is needed. Additionally, there is no restriction on what the correlation between the tones composing the test signal is concerned with—they can either be correlated or uncorrelated. The setup can also be used in any type of multitone signal since the selection of the tone to characterize is done by simply

Fig. 6. Nonlinear reference implementation.

varying the LO frequency. The dynamic range of the setup is imposed by the filter attenuation and can be higher than the announced using other techniques. Since all systematic errors could be accounted by the calibration process, only the random errors are responsible for the measurement uncertainty. The main contribution for the random errors is the phase noise, aging of the components, and temperature drift. V. EXPERIMENTAL VALIDATION In order to prove the validity of the proposed measurement bench, two different tests were done using a PA as the DUT. The PA is based on a MESFET active device (see Fig. 4). The test was carried at 1 GHz with a tone separation of 100 kHz. This DUT was chosen since it presents long-term memory effects and, thus, allows a wide change of phase and amplitude between the upper and lower IMD tones. Since it presents IMD amplitude asymmetry, it is expected, at least theoretically [5], that the upper and lower IMD tones present different values of phase. That is exactly what is going to be proven by measuring the phase difference. The proposed measuring setup presented on Fig. 2 is built as sketched in Fig. 5. This setup is composed of four independent generators, each one followed by a filter and an isolator. This guarantees the spectral purity of the test signals. In this implementation, the reference signal is synthesized using a frequency doubler followed by a mixing stage (see Fig. 6), but can be any type of nonlinear reference.

MARTINS AND BORGES CARVALHO: MULTITONE PHASE AND AMPLITUDE MEASUREMENT FOR NONLINEAR DEVICE CHARACTERIZATION

Fig. 7.

Output power for the fundamental and IMD tones over a

V GS sweep.

Despite that the desired distortion component is uncorrelated with any of the input tones, it is correlated with the reference distortion component, as these two share the same frequency, and were generated from the same excitation base signals. The power imposed to the lower branch is constant so that the phase of the reference signal is also constant. Before the measurement process, the setup was calibrated, as described in Section IV. This procedure accounts for the relative amplitude and phase changes imposed by the setup. In the experimental setup, the IF considered was 10.7 MHz since this allows the design of bandpass filters with high values of stopband attenuation. The bandwidth of the IF filter is 10 kHz, imposing a minimum separation between tones of that same value. For the two-tone measurement, only two of the generators were operated and the other two shut down. The first test carried out with this setup considers that the gate voltage of the DUT was changed, allowing the characterization of the PA with the bias point [18]. This type of measurement is very useful for design proposes of linearizers both externally and intrinsically to the DUT [16], [17]. The evaluation of how each IMD tone phase and amplitude varies with gate voltage can also be used to study the dynamic effects of PAs due to thermal and dispersion effects, as was presented in [17]. However, due to this implementation, such a characterization can be done with a significant improvement on automation capability, bandwidth, and the use of multitone signals of both correlated and uncorrelated types. Fig. 7 presents the variation of output amplitude of each tone with gate voltage of this DUT, while Fig. 8 presents the variation of phase of the same DUT. From Fig. 7, an IMD sweet spot is visible, which confirms the usual pattern of those active devices [18]. From this figure, amplitude asymmetry [5] is also visible, which implies that a phase difference can somehow exist between lower and upper IMD tones.

Fig. 8. Output phase of the IMD tones and fundamental power, over a sweep.

1987

V GS

Fig. 9. Output power for the fundamental and IMD tones over an input power sweep.

Continuing the measurement analysis of Fig. 8, a phase difference between lower and upper IMD exists, which confirms the amplitude measurements. There, the relative phases of and are equal, and the relative phases of lower and upper IMD are completely different. Other interesting results, which can be inferred from these figures, are that an external linearization device should mimic not only the amplitude distortion, but also the change in phase. This is exactly the main application of this type of distortion phase measurement. Despite that, it is obvious that a phase difference can also exist if no amplitude asymmetry is visible. This is the extreme case where linearization is again extremely difficult, even if the upper and lower IMD amplitudes are equal. curve [16], The second test carried out was a typical but in this case, the variation of the IMD phase with a sweep input power was also measured. A bias voltage was selected so that, in the low-power input region, the phase of the IMD component was near 0 . Fig. 9 presents the output power variation with input power.

1988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

REFERENCES

Fig. 10.

Phase of the IMD tone over an input power sweep.

Again, and despite that no amplitude asymmetry is evident from Fig. 9, a difference in phase exists, as can be seen in Fig. 10. Not only is this asymmetry effect important, but the usual pattern of the phase variation with input power is visible since the phase changes from 0 to 180 from the small to large signal, respectively.

VI. CONCLUSIONS The proposed setup has allowed the characterization of a device with no correlation constrains in the input signal. Furthermore, it presents a simple topology and allows automated measurements. The selection of the tone to be characterized is only dependent on the LO and the measurement is performed at very low frequencies, allowing an easy and accurate measurement process. This setup has no bandwidth limitations since all the signals are converted to an IF where the measurement is done. Considering a proper power adjustment of the setup, the main source of uncertainty is the LO phase noise. The dynamic range of the setup is dictated by the stopband attenuation of the IF filters and could be superior to the one achieved by correlated sampling schemes. The setup imbalance has been compensated by the calibration procedure and by the variable attenuation of the upper branch that guarantees a constant power at the mixer’s input. In this paper, not only has a practical application of that setup been presented, but a full characterization both in amplitude and phase of a PA has been obtained and presented.

ACKNOWLEDGMENT The authors would like to acknowledge the discussion and helpful commentaries of Prof. J. C. Pedro, Instituto de Telecomunicações–Universidade de Aveiro, Aveiro, Portugal.

[1] P. B. Kenington, High-Linearity RF Amplifier Design, 1st ed. Norwood, MA: Artech House, 2000. [2] J. Yi, Y. Yang, M. Park, W. Kang, and B. Kim, “Analog predistortion linearizer for high-power RF amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2709–2713, Dec. 2000. [3] W. Bosch and G. Gatti, “Measurement and simulation of memory effects in predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1885–1890, Dec. 1989. [4] H. Ku and J. S. Kenney, “Behavioral modeling of nonlinear RF PAs considering memory effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2495–2504, Dec. 2003. [5] N. B. Carvalho and J. C. Pedro, “A comprehensive explanation of distortion sideband asymmetries,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2090–2101, Sep. 2002. [6] K. A. Remley, D. F. Williams, D. M. Schreurs, G. Loglio, and A. Cidronali, “Phase detrending for measured multisine signals,” in 61st ARFTG Conf. Dig., Philadelphia, PA, Jun. 2003, pp. 73–83. [7] M. Sipila, K. Lehtinen, and V. Porra, “High-frequency periodic timedomain waveform measurement system,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 10, pp. 1397–1405, Oct. 1988. [8] L. Peretto and R. Sasdelli, “Measurement for the characterization of quasi-periodic waveforms,” Eur. Trans. Elect. Power, vol. 12, no. 1, pp. 11–16, Jan./Feb. 2002. [9] L. Peretto, R. Sasdelli, R. Tinarelli, and C. Rossi, “Measurements on electrical power systems under bi-tone conditions by using the virtual time-domain approach,” Eur. Trans. Elect. Power, vol. 12, no. 1, pp. 5–9, Jan./Feb. 2002. [10] N. Suematsu, Y. Lyama, and O. Ishida, “Transfer characteristic of IM relative phase for a GaAs FET amplifier,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2509–2514, Dec. 1997. [11] Y. Yang, J. Yi, J. Nam, B. Kim, and M. Park, “Measurement of two-tone transfer characteristics of high-power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 568–571, Mar. 2001. [12] J. H. K. Vuolevi, T. Rahkonen, and J. P. A. Manninen, “Measurement technique for characterizing memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1383–1389, Aug. 2001. [13] J. P. Martins and N. B. Carvalho, “Spectral filtering setup for uncorrelated IMD multitone phase and amplitude measurement,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004. [14] J. Jargon, D. DeGroot, K. C. Gupta, and A. Cidronali, “Calculating, ratios of harmonically related complex signals with application to nonlinear large-signal scattering parameters,” in 60th ARFTG Conf. Dig., Washington, D.C., DC, Dec. 2002, pp. 113–122. [15] C. Brown, Spectrum Analysis Basics. Palo Alto, CA: Hewlett-Packard Company, 1997. [16] N. B. Carvalho and J. C. Pedro, “Large and small signal IMD behavior of microwave power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2364–2374, Dec. 1999. [17] S. Boumaiza and F. M. Ghannouchi, “Thermal memory effects modeling and compensation in RF power amplifiers and predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2427–2433, Dec. 2003. [18] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Circuits, 1st ed. Norwood, MA: Artech House, 2003.

João Paulo Martins was born in Sever do Vouga, Portugal, on May 13, 1973. He received the B.Sc. and M.Sc. degrees from the Universidade de Aveiro, Aveiro, Portugal, in 2001 and 2004, respectively. From 2001 to 2003, he was a Researcher with the Instituto de Telecomunicacoes, Universidade de Aveiro. He currently conducting research for a private company. His main interests are in wireless systems and nonlinear microwave circuit design.

MARTINS AND BORGES CARVALHO: MULTITONE PHASE AND AMPLITUDE MEASUREMENT FOR NONLINEAR DEVICE CHARACTERIZATION

Nuno Borges Carvalho (S’92–M’00) was born in Luanda, Portugal, in 1972. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1995 and 2000, respectively. From 1997 to 2000, he was an Assistant Lecturer with the Universidade de Aveiro, and is currently is an Associate Professor. He is also a Senior Research Scientist with the Instituo de Telecomunicacoes, Universidade de Aveiro. He was a Scientist Researcher with the Instituto de Telecomunicacoes, during which time he was engaged in different projects concerning nonlinear computer-aided design (CAD) and circuits. He coauthored Intermodulation in Microwave and Wireless Circuits (Norwood, MA: Artech House, 2003). His main research interests include CAD for nonlinear circuits and design of RF-microwave PAs. He has been a reviewer for several magazines. Dr. Carvalho is a member of the Portuguese Engineering Association. He is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY and TECHNIQUES. He was the recipient of the 1995 Universidade de Aveiro and the Portuguese Engineering Association Prize for the best 1995 student at the Universidade de Aveiro, the 1998 Student Paper Competition (third place) presented at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) and the 2000 Institution of Electrical Engineers (IEE), U.K. Measurement Prize.

1989

1990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Multiport Method for the Measurement of the Scattering Parameters of N -Ports Ilona Rolfes and Burkhard Schiek, Member, IEEE

Abstract—The multiport method for the precise measurement of the scattering parameters of -port devices with a two-port vector network analyzer (VNA) is presented. The scattering parameters port cannot be measured directly with a two-port VNA if of an 2. Only the scattering parameters of all two-port combinations of the device-under-test (DUT) are measurable. Thereby, the measured two-port scattering parameters strongly depend on the external terminations of the DUTs ports, which are not connected to the VNA during the measurements. In order to eliminate the influences of these external possibly reflective terminations, an error correction has to be performed. In comparison to known correction methods, the multiport method has some advantages. Firstly, the external terminations can be chosen arbitrarily, i.e., short and open circuits are realizable as long as the topology of the DUT allows it. Secondly, the terminations, except for one, can be unknown, leading to a reduction of the inconsistency problem caused by erroneous data of the external terminations, which is also known from the calibration of VNAs with completely known calibration standards. The good performance of the multiport method is shown by measured results. Index Terms—Multiport measurements.

network,

scattering-parameter

I. INTRODUCTION

V

ECTOR network analyzers (VNAs) on the basis of two measurement ports and four measurement channels for the determination of the complex scattering parameters of one-ports and two-ports are widely used. Meanwhile, VNAs with four ports and eight channels for the direct characterization of devices with up to four ports are commercially available. These four-port analyzers are still restricted regarding their frequency coverage and are more costly because of their complexity. For some applications, even the four-port VNA might not be sufficient if larger modules with more than four ports have to be analyzed. For these reasons, it is often necessary to be able to determine the -parameters of devices with a large number of ports with the help of VNAs, which only have a smaller number of measurement ports [1]–[3]. Considering the -parameter measurement of multiport devices, as shown in Fig. 1 with the help of a calibrated two-port VNA, it is obvious that only two ports of the device-under-test (DUT), e.g., ports 1 and 2, can be connected to the ports of the analyzer, while the other ports are connected to external termi. nations Manuscript received September 30, 2004; revised December 15, 2004. I. Rolfes is with the Arbeitsgruppe Hochfrequenzmesstechnik, Fakulät für Elektrotechnik, Ruhr-Universität Bochum, D-44780 Bochum, Germany (e-mail: [email protected]). B. Schiek is with the Department of Electrical Engineering, Ruhr-Universität Bochum, D-44780 Bochum, Germany. Digital Object Identifier 10.1109/TMTT.2005.848823

Fig. 1.

Wave parameters of an

N -port.

This can be done automatically with the help of switches. For the complete determination of the -parameters, all possible two-port combinations have to be measured so that altogether (1) two-port measurements have to be performed. For the case that are ideal matches, the measured the terminations -parameters are commensurate to the real scattering paramport. Otherwise, if the terminations are miseters of the matched, the measured -parameters depend on the reflective characteristics of the terminations so that an error correction is necessary in order to determine the exact parameters of the DUT. One known method for the determination of the error corrected -parameters of -ports is based on the following formula [1]: (2) where represents the transformed scattering matrix based . Furthermore, deon the impedances , scribes a scattering matrix being normalized to the port-referand is the unity matrix. ence impedance , represents a diagonal matrix of the reflective terminations , , which is defined as , where both diagonal matrices and comprise the impedances and , respectively. For the error correction of the -parameters of an -port device, a renormalization is performed on the basis of (2) in order to obtain an impedance reference. This renormalization is necessary because, during the measurements, the ports of the multiport are connected, on the one hand, to the ports of the VNA and, on the other hand, to the external terminations . A transformation of the impedances of the measured parameters to the impedances of the reflective terminations is performed at first. Next, the -parameters are renormalized to the desired reference impedance.

0018-9480/$20.00 © 2005 IEEE

ROLFES AND SCHIEK: MULTIPORT METHOD FOR MEASUREMENT OF SCATTERING PARAMETERS OF

PORTS

1991

respectively, and the termination of port 2 by tion of port 3 by , it follows that

or the termina-

and (5) Fig. 2.

These equations can be transferred into a matrix equation

Three-port DUT.

One disadvantage of this method is that the reflective terwith must be known exactly. From minations this fact, some measurement uncertainties might arise because inconsistencies due to a slightly erroneous or imprecise knowledge of the reflective characteristics of the terminations, e.g., the phase reference planes, lead to serious errors for the error correction of the -parameters. This inconsistency problem is also well known from the calibration of VNAs with known calibration standards. It is a further disadvantage of the method that the terminations, in general, cannot be realized as open or short circuits. This fact leads to considerable restrictions concerning the application of the method. The fabrication of broad-band matched terminations is rather difficult, whereas open and short terminations can easily be realized. In the following, the newly developed multiport method is presented, which accounts for these problems.

(6) which can be rewritten as follows for better clarity:

(7) or

II. MULTIPORT METHOD The theory of the multiport method will be derived for the example of a three-port, as shown in Fig. 2. In general, a threeport can be described in dependence of the scattering parameters as follows: (3)

For the determination of the scattering matrix of a three-port DUT with the help of a two-port VNA, three two-port-measurements have to be performed. The ports not being connected to the VNA are connected to a termination , , or . For the measurement between ports 2 and 3 and the termination of port 1 with , labeled (1), the following relation results:

(8) respectively. A partitioning into known values, as the measureand and the reflection matrix , and ment matrices and the wave unknown values, namely, the scattering matrix results. For the determination of the unparameter matrix known parameters, further excitations with , , , , , and , which are different from the previous excitations in (6) are considered leading to (9) In order to determine the unknown parameters, the scattering is eliminated so that the unknown wave parameters matrix can be calculated at first. A manipulation of the matrix equations leads to

(4) (10) . where the wave parameters at port 1 are given by At first, the terminations are assumed to be known. The two exand and the citing wave parameters are denoted by measurable and, thus, known wave parameters are replaced by and in order to distinguish these known values from the not measurable and, thus, unknown wave parameter . Accordingly, for the measurement at ports 1 and 3, or 1 and 2,

(11) resulting in

(12)

1992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

On the basis of this equation, it could be supposed that the pa, . rameter cannot be determined for the case so However, it is possible to eliminate the singularity for that the solutions, shown in (13)–(15) at the bottom of this page, , , result after some manipulations, with

and

(16) The scattering matrix of the three-port can thus be calculated with the help of (6). The choice of the reflective terminations is not restricted for this solution. Open and short circuits can be used unlimitedly. Possible restrictions can only result from special topologies of the DUT itself. III. MULTIPORT METHOD WITH ONLY ONE KNOWN TERMINATION In the following, the multiport theory is extended so that the , except for one, here, , can external terminations be unknown. On the basis of (12)–(15) for a three-port device, and the following bilinear relations can be derived for in dependence of where the parameters are known from measurements:

Thus, the multiport method is similar to self-calibration procedures for a VNA. The previously described problem of inconsistencies can be dramatically reduced.

PORTS

IV. EXTENSION TO (17) with

The presented theory for three-ports can be extended to ports. According to Fig. 1, the following relation holds for the scattering matrix and the wave parameters of an port:

.. .

.. .

(18)

In order to determine this multiport scattering matrix with the help of a two-port network analyzer, all possible two-port combinations of the port have to be measured, i.e., so-called two-port-measurements of the scattering parameters have to be performed. In Table I, an overview of the necessary measurements is given. In the first column, a label is specified in order to identify the different measurements. In port the second column, it is declared which ports of the are connected to the ports of the network analyzer. The third column indicates the terminations of the remaining ports not connected to the analyzer. Thus, the two-port measurements

(13)

(14)

(15)

ROLFES AND SCHIEK: MULTIPORT METHOD FOR MEASUREMENT OF SCATTERING PARAMETERS OF

of the multiport device can de described in dependence of the as follows: scattering matrix

.. .

.. .

.. .

.. .

with

(20)

The relations from (19) can also be combined in the following matrix description:

.. .

TABLE I OVERVIEW OF THE MULTIPORT MEASUREMENTS

(19)

where the superscripts denote the measurement setups according to the declaration in Table I. Corresponding to the presented three-port theory, the measured wave parameters are represented by and and the exciting parameters are and where and identify the ports that are given by connected to the network analyzer. The remaining ports of the DUT are terminated by reflections leading to

.. .

1993

.. .

.. .

.. .

PORTS

.. .

Fig. 3.

Circuit of an

N port leading to a three-port.

three-ports have to be considered. Regarding a setup as shown in Fig. 3, where ports 4 to of the port are connected to the terminations , the resulting network with the ports 1–3 can be considered as a three-port with the scattering matrix , as shown by the dashed line in Fig. 3. The two-port measurements performed with the VNA at ports 1 and 2 and 1 and 3, as well as 2 and 3, are first regarded for the calculations. These measurements can also be identified as according to Table I. On the measurements (1), (2), and the basis of (21), the following three-port relations for the three measurements of the scattering parameters result:

(21) .. .

.. .

.. .

.. .

With the knowledge of all wave parameters and reflection coefficients and with (21), a linear system of equations results for the determination of the scattering matrix . While the wave parameters and are already known from the two-port-measurements, the parameters have to be determined in the following. For this purpose, the previously derived algorithm for the three-port is applied to the port. Therefore, the port is subdivided into three-ports where (22)

(23)

These three relations can be rearranged into a matrix representation according to (6) with

(24)

1994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

By the application of the three-port method with (13)–(16), the unknown wave parameters and are calculable. Thus, some of the unknown parameters of (21) are already determined. The previously derived theory with partly unknown reflective terminations can be adopted as well. For the determination of the unknown scattering matrix of the DUT, it is necessary to determine the whole set of wave parameters in (21). These parameters can be derived similarly by considering the remaining three-port combinations of the port, as well as the associated equations. In the following, this approach will be discussed for the example of a four-port according to Fig. 4 with the unknown . From the measurement of the scattering scattering matrix parameters of the four-port with a two-port VNA, the following six relations result with (19):

Fig. 4.

Wave parameters of a four-port.

Fig. 5. Possible three-port configurations of a four-port device.

This relation represents a linear system of equations for the deof the fourtermination of the unknown scattering matrix port. For the calculation of the unknown parameters in (26), the three-port theory is applied. For example, the four-port is terminated at its port 4 by the reflection , as shown in Fig. 5. The resulting three-port with terminals 1–3 is described by the with scattering matrix

(27)

(25)

On the basis of the three-port algorithm, the wave parameters , , and can be determined. Accordingly, the four-port can be subdivided into three further three-ports, as shown in , , and Fig. 5. The scattering matrices are denoted by as follows:

leading to the following matrix relation: (28)

(29)

(26)

(30)

ROLFES AND SCHIEK: MULTIPORT METHOD FOR MEASUREMENT OF SCATTERING PARAMETERS OF

Fig. 6. Error-corrected scattering parameter matched (—) terminations.

S

with reflective (- -) and

PORTS

1995

Fig. 8. Error-corrected scattering parameter matched (—) terminations.

S

with reflective (- -) and

with mostly unknown short-circuited reflective terminations in comparison to the measurement with with matched terminations (50 ). Both results show a good agreement. VI. CONCLUSION

Fig. 7. Error-corrected scattering parameter matched (—) terminations.

S

with reflective (- -) and

The unknown wave parameters can thus be calculated so that of the finally on the basis of (26), the scattering matrix four-port can be completely determined. The redundancy in the number of equations can be used for a least square error minimization in order to enhance the precision of the measurement. of the external terminations As explained before, can be unknown and will be determined during the multiport procedure. V. EXPERIMENTAL RESULTS In order to verify the functionality of the multiport method, several measurements with various devices were performed with a two-port VNA of the type HP8510C. The analyzer was calibrated on the basis of a thru-reflect line (TRL) calibration [4], [5]. As a DUT, a commercial multistage line coupler with coaxial connectors was chosen. The measured and error-cor, , and of this four-port rected scattering parameters device are shown exemplarily in Figs. 6–8. The depicted parameters were evaluated according to the multiport method

The multiport method for the measurement of the scattering might be larger than parameters of -port devices, where two, with the help of calibrated two-port VNAs has been presented. Compared with the well-known method of [1] for the correction of the influences of external terminators, which have to be connected to the remaining ports of the DUT during the two-port measurements with the VNA, the multiport method employs terminations that can be arbitrarily chosen as long as the topology of the DUT allows it. This means that it is even possible to realize the terminations as open or short circuits, which might facilitate the connection procedure enormously. Furthermore, the external terminations, except for one, can be unknown, similar to self-calibration procedures for VNAs, so that the reflective characteristics of the terminations are determined during the multiport procedure. This has the effect that the inconsistency problem due to a slightly erroneous knowledge about the frequency characteristics of the terminations, as known from the calibration of VNAs on the basis of completely known standards, can be reduced. REFERENCES [1] J. C. Tippet and R. A. Speciale, “A rigorous technique for measuring the scattering matrix of a multiport device with a two-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 5, pp. 661–666, May 1982. [2] H.-C. Lu and T.-H. Chu, “Multiport scattering matrix measurement using a reduced-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1525–1533, May 2003. [3] I. Rolfes and B. Schiek, “An efficient method for the measurement of the scattering parameters of multiports with a two-port network analyzer,” in Proc. 34th Eur. Microwave Conf., vol. 2, 2004, pp. 797–800. [4] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979.

1996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[5] H.-J. Eul and B. Schiek, “A generalized theory and new calibration procedures for network analyzer self-calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 724–731, Apr. 1991.

Ilona Rolfes was born in Hagen, Germany, in 1973. She received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Ruhr-Universität Bochum, Bochum, Germany, in 1997 and 2002, respectively. Since 1997, she has been a Research Assistant with the Arbeitsgruppe Hochfrequenzmesstechnik, RuhrUniversität Bochum. Her current fields of research are concerned with multiport measurements, calibration methods for VNAs and measurement techniques for the noise characterization of microwave devices.

Burkhard Schiek (M’85) was born in Elbing, Germany, in 1938. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Technische Universität Braunschweig, Braunschweig, Germany, in 1964 and 1966, respectively. From 1964 to 1969, he was an Assistant with the Institut für Hochfrequenztechnik, Technische Universität Braunschweig, where he was involved with frequency multipliers, parametric amplifiers, varactor phase shifters, and the development of microwave integrated system (MIS) phase shifters. From 1969 to 1978, he was with the Microwave Application Group, Philips Forschungslaboratorium Hamburg GmbH, Hamburg, Germany, where he was involved with solid-state oscillators, oscillator noise, microwave integration, and microwave systems. Since 1978, he has been a Professor with the Department of Electrical Engineering, Ruhr-Universität Bochum, Bochum, Germany, where he is involved with high-frequency measurement techniques and industrial applications of microwaves.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1997

Microwave Filters With Improved Stopband Based on Sub-Wavelength Resonators Joan García-García, Ferran Martín, Francisco Falcone, Jordi Bonache, Juan Domingo Baena, Ignacio Gil, Esteve Amat, Txema Lopetegi, Member, IEEE, Miguel A. G. Laso, Member, IEEE, José Antonio Marcotegui Iturmendi, Mario Sorolla, Senior Member, IEEE, and Ricardo Marqués, Member, IEEE

Abstract—The main aim of this paper is to demonstrate the potentiality of sub-wavelength resonators, namely, split-ring resonators, complementary split-ring resonators, and related structures to the suppression of undesired spurious bands in microwave filters, a key aspect to improve their rejection bandwidths. The main relevant characteristics of the cited resonators are their dimensions (which can be much smaller than signal wavelength at resonance) and their high- factor. This allows us to design stopband structures with significant rejection levels, few stages, and small dimensions, which can be integrated within the filter active region. By this means, no extra area is added to the device, while the passband of interest is virtually unaltered. A wide variety of bandpass filters, implemented in both coplanar-waveguide and microstrip technologies, have been designed and fabricated by the authors. The characterization of these devices points out the efficiency of the proposed approach to improve filter responses with harmonic rejection levels near 40 dB in some cases. It is also important to highlight that the conventional design methodology for the filters holds. For certain configurations, the presence of the resonators slightly lowers the phase velocity at the frequencies of interest with the added advantage of some level of reduction in device dimensions. Index Terms—Complementarity, coplanar-waveguide (CPW) technology, metamaterials, microstrip, microwave filters, split-ring resonators (SRRs).

I. INTRODUCTION

T

HE PRESENCE of spurious bands is a fundamental limitation of microwave filters implemented by means of distributed elements. These undesired frequency bands can seriously degrade filter performance and may be critical in certain applications that require huge rejection bandwidths. Unfortunately, for most filter implementations, the first spurious band is relatively close to the frequency region of interest. For

Manuscript received September 30, 2004; revised January 23, 2005. This work was supported by the Ministerio de Ciencia y Tecnología under Project Contract BFM2001-2001, Project Contract TIC2002-04528-C02-01, Project Contract TIC2001-3163, Project Contract PROFIT-070000-2003-933, by the European Community (Eureka Program) under Project TELEMAC 2895 and by Omicron Circuits s.l. J. García-García, F. Martín, J. Bonache, I. Gil, and E. Amat are with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, 08193 Bellaterra (Barcelona), Spain. F. Falcone, T. Lopetegi, M. A. G. Laso, and M. Sorolla are with the Electrical and Electronic Engineering Department, Public University of Navarre, E-31006 Pamplona, Spain. J. D. Baena and R. Marqués are with the Departamento de Electrónica y Electromagnetismo, Universidad de Sevilla, 41012 Seville, Spain. J. A. Marcotegui Iturmendi is with CONATEL s.l. Sancho Ramírez, 31008 Pamplona (Navarra), Spain. Digital Object Identifier 10.1109/TMTT.2005.848828

example, in coupled-line bandpass filters, the first spurious band appears at the second harmonic of the central frequency , and is consequence of the different phase velocities of the even and odd modes supported by the coupled lines. In capacitively (gap) or inductively coupled-resonator bandpass filters, an undesired band is also inherently present at due to the resonance condition at this frequency. Finally, the stopband in stepped impedance low-pass filters is limited by the presence of the first spurious band, which is typically too close to the cutoff frequency. These words explain that the rejection of these undesired frequency bands has been a subject of interest for filter designers during years. Traditional techniques include the use of half-wavelength short-circuit stubs, chip capacitors, or cascaded stopband filters. However, these techniques are either narrow-band, increase device area, or introduce significant insertion losses. In coupled-line filters, several approaches based on modified structures, aimed to obtain equal modal phase velocities, have been recently proposed as a means to improve out-of-band filter performance [1]–[4]. These approaches are very effective, but are also very specific (i.e., of application in parallel coupled-line filters). Based on the concept of electromagnetic bandgaps (EBGs) [5], numerous studies have been devoted to the suppression of harmonics in a wide variety of microwave circuits, including passives [6] and actives [7]. Apart from this versatility, EBGs can be integrated within the device active region, avoiding the need to cascade additional stages. This is an important aspect to avoid an increase of final layout area. Although effective, frequency selectivity in EBG structures is based on their periodicity (Bragg effect) and several stages are required (typically six or seven) to obtain significant rejection levels. Since the EBG period scales with signal wavelength (Bragg condition), the required dimensions of the structure might be too big for certain applications (specially at moderate or low frequencies). Moreover, EBGs do not provide an easy way to control gapwidth [8]. Nevertheless, EBG structures have been successfully applied to the elimination of multiple spurious bands in microstrip bandpass filters with measured [9]. rejection levels above 30 dB up to In this paper, a completely new technique to achieve spurious passband suppression, based on sub-wavelength resonators (namely, split-ring resonators (SRRs) [10], complementary split-ring resonators (CSRRs) [11], and related structures [12]) is presented. From the point-of-view of versatility, the technique (that has been successfully used in microstrip parallel coupled line filters [13]) can be applied to a wide variety of filter types, responses, and technologies including planar

0018-9480/$20.00 © 2005 IEEE

1998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. Basic topologies for the: (a) SRR and (b) CSRR, and relevant dimensions. Metallizations are depicted in gray.

(microstrip, coplanar waveguide (CPW), strip line, ) and waveguide technologies. In Section II, it will be described how sub-wavelength resonators, properly coupled to transmission lines, can provide an effective way to reject a frequency band in the vicinity of their quasi-static resonance. The conditions that lead to high resonator-line coupling (and, hence, high rejection levels), as well as the control of rejection band width, will be also discussed in Section II. In Section III, the design procedure of various prototype devices and their simulated and measured frequency responses will be presented. This will include parallel coupled-line bandpass filters in both microstrip and CPW technologies and capacitively coupled resonator bandpass filters in CPW technology. Finally, a discussion and the main conclusions of this study will be highlighted in Sections IV and V. II. REJECTION BAND STRUCTURES BASED ON SUB-WAVELENGTH RESONATORS The sub-wavelength resonators considered in this study are those originally proposed by Pendry et al. [10], namely, SRRs, and their dual counterpart, i.e., CSRRs, which have been recently introduced by Falcone et al. [11]. It will be shown here how these particles, as well as other related resonators derived from their basic topology [12], can inhibit signal propagation if properly polarized. The basic topologies of SRRs and CSRRs are depicted in Fig. 1. The former (SRRs) consist of a pair of concentric metal rings etched on a dielectric slab with apertures in opposite sides. The electromagnetic behavior of SRRs has been studied in previous papers [10], [14]. In brief, if an array of SRRs is illuminated with magnetic-field polarization parallel to the ring axis, currents loops can be induced in the rings. At resonance, these current loops are closed through the distributed capacitance between concentric rings, and incident radiation is reflected back to the source. According to these words, SRRs can be modeled as a parallel LC resonant tank externally driven by a time-varying magnetic field. The capacitance is the series combination of the capacitance between the rings in the upper and , where lower halves of the SRR, namely, is the per-unit length capacitance in the gap between the rings, and is the average SRRs radius [14]. is the total inductance of SRRs, which is adequately defined provided the total current flowing on both rings does not depend on the angle [14]. This quasi-static analysis, which is plausible as far as the size of the particle is small compared to signal wavelength, leads us to term the resonant frequency of SRRs (given by ) as

quasi-static resonance, to distinguish this from dynamic resonances (present at higher frequencies). It is important to mention that the length of the slits for either ring is not important provided this is not extremely small. Thanks to these slits, the quasi-static behavior of SRRs and, hence, their small electrical size, are possible. This is due to the fact that the relevant capacitance is the edge capacitance between concentric rings, as explained in [14]. With an eye toward further miniaturization, other sub-wavelength resonators have been recently proposed by some of the authors, namely, the broadside coupled split-ring resonators (BC SRRs) [14] and the spiral resonator (SR) [15], [16]. Another interpretation of the filtering properties of an array of SRRs (or other sub-wavelength resonators) relies on the concept of artificial effective media [10]. Since SRRs can be designed with dimensions much smaller than signal wavelength at resonance, the structure can be considered as a continuous medium with effective parameters, where incident radiation is refracted rather than diffracted. Within this interpretation, the inhibition of signal propagation is attributed to the extreme values of magnetic permeability in the vicinity of resonance, i.e., highly positive/negative in a narrow band below/above the quasi-static resonant frequency of the rings. These structures, where effective parameters are achieved by means of a periodic arrangement of constituent particles, are called metamaterials, and are different than EBGs or photonic crystals, where the inhibition of signal propagation is related to periodicity, rather than to the properties of the constitutive elements. The first experimental demonstration of the filtering properties of an array of SRRs in free-space conditions was due to Smith et al. [17]. From this seminal paper, the authors have applied these ideas to obtain stopband structures in planar transmission lines and waveguides. To this end, SRRs must be properly oriented, ideally with their axis parallel to the magnetic-field vector of the propagating modes. In CPW technology, this condition is satisfied either by etching SRRs in the upper metal level, between the central strip and ground planes, or in the back substrate side (bi-metal implementation) underneath the slots. As discussed in [18], higher magnetic coupling between line and rings is achieved by using the second approach, provided thin microwave substrates are employed (in this case, as desired, the magnetic-field lines have a significant component orthogonal to the SRRs plane). Moreover, by etching SRRs in the back substrate side, there is no need to leave wide slot widths to accommodate the rings, and the host transmission line can be easily designed to have a 50- characteristic impedance. This is important to obtain a good matching at the ports and, hence, avoid ripple in the allowed band. Also, by etching SRRs in the back substrate side, the magnetic-field lines penetrate more efficiently the rings circumference, and this favors magnetic coupling. In microstrip technology, SRRs can only be etched in the upper substrate side. To enhance coupling, it is convenient that the separation between line and rings is as small as possible. For this reason, the proposed geometry for SRRs in microstrip technology is the square or rectangle. Finally, SRRs have also been embedded in a hollow metallic waveguide where transmission in the vicinity of resonance has been blocked for those structures with SRRs properly oriented.

GARCÍA-GARCÍA et al.: MICROWAVE FILTERS WITH IMPROVED STOPBAND BASED ON SUB-WAVELENGTH RESONATORS

1999

Fig. 2. (a) Layout of the multiple-tuned SRR-CPW stopband structure drawn to scale. (b) Simulated (thin line) and measured (bold line) insertion and return losses. Slot and strip widths are G = 0:3 mm and W = 5:4 mm. Actual device length is 4 cm. Simulations have been obtained by means of CST Microwave Studio.

Obviously, the rejection level depends on the number of stages and magnetic coupling (to achieve high levels of suppression with few device stages, high magnetic coupling is required). The distance between adjacent SRRs has a direct influence on gapwidth. However, to further control this parameter, multiple tuned structures, where SRRs are designed to have slightly different resonant frequencies within the forbidden band, are needed [19]. In Figs. 2–4, three different SRRs-based structures and their respective frequency responses serve as a proof of the potentiality of SRRs to reject undesired frequency bands. Designed and fabricated multiple tuned structures in CPW and microstrip technologies are depicted in Figs. 2 and 3. The former structure (reported in [19], but included here for coherence and completeness) has been designed by using the parameters of the Arlon 250-LX-0193-43-11 substrate , thickness mm), which is appropriate for ( our purposes since it is relatively thin and magnetic coupling between line and rings is thus enhanced. Ring dimensions have been determined to obtain resonant frequencies equally spaced between 6–7.7 GHz. To this end, the model explained in [20] has been used. Actually, this model is valid for SRRs etched on a dielectric slab and radiated with uniform fields. Since these conditions are not fulfilled in a CPW structure, the model has been used to obtain a first estimation of ring dimensions, but these have been finally obtained from full-wave electromagnetic simulations of single SRRs pairs coupled to the line and a sweeping algorithm. The peaked notches in the transmission coefficient clearly reveal the position of the resonant frequency of SRRs. To have an idea of ring dimensions, for the central mm and mm. The other stage of Fig. 2(a), relevant parameters of the structure are indicated in the caption.

Fig. 3. (a) SRR microstrip stopband filter. (b) Simulation of the frequency response compared to that obtained for the EBG microstrip line. (c) Measured frequency responses. The separation between concentric rings and their width are c = d = 0:3 mm for all SRRs. For the smaller SRRs, the edges are 4.1 mm 2.7 mm, while for the larger SRRs, these dimensions are 4.1 mm 3.55 mm. Strip-line width is W = 1:17 mm (corresponding to a 50- impedance) and the distance to SRRs (outer edges) is 0.3 mm. For the EBG structure, the period is 12.4 mm, the low-impedance sections (46.3 ) are 1.37-mm wide, whereas the high-impedance sections (56.8 ) are 0.87-mm wide.

2

2

Fig. 4. Measured frequency response for a rectangular waveguide loaded with = 2 mm. The a single SRR. Ring dimensions are c = d = 0:2 mm and r lateral dimensions of the waveguide are 35 mm 16 mm.

2

Thus, the design methodology for these stopband structures is based on etching several SRRs with resonant frequencies distributed within the forbidden band (multiple tuning). The rejection level increases with the number of SRRs, but it has

2000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

been found that a single SRR per tuning frequency suffices to obtain rejection levels above 30 dB. Naturally, this depends on the magnetic coupling, according to the line-to-SRRs coupling model roughly described in [19] and more detailed in [23]. This rejection is favored by the interaction between adjacent rings, which widens the rejected band per SRR stage. Nevertheless, if one wants to obtain high levels of rejection, the solution is to use several SRR stages per tuning frequency. Thus, the design methodology is simple and does not actually require other equations than those that provide ring dimensions (not univocally determined) from the desired resonant frequency, as is described in the model reported in [14] and [20] (obviously with the particularity that the dimensions have to be tuned by means of an electromagnetic simulator to accurately meet the required resonant frequency, for the reasons explained earlier). The measured frequency response of the structure (obtained by means of an Agilent 8720ET vector network analyzer) reveals the efficiency of the approach to achieve high rejection levels with few SRR stages, even though these stages are tuned at different frequencies (comprised in the 6–7.7-GHz range). For the reasons explained earlier, the structure shown in Fig. 3 exhibits rectangular SRRs etched on the upper substrate side of a 50- microstrip line (the Rogers RO3010 substrate has been now considered, i.e., , thickness mm). The proximity between adjacent rings and tuning allows us to obtain a rejection band as wide as 1 GHz with a central frequency of 4.5 GHz. For comparative purposes, an EBG microstrip structure with similar performance to that shown in Fig. 3 in terms of gapwidth (but with lower rejection) has been also designed and fabricated. Total device length, excluding access lines, is more than two times larger than the SRR-based device. Therefore, the impact of SRRs on compactness is evident. It is also worth mentioning that a rejection level in the vicinity 40 dB is achieved thanks to the presence of four SRRs per tuning frequency. Again, we want to highlight that the period of the EBG microstrip structure is half the wavelength at the frequency corresponding to the center of the stopband, whereas the structure shown in Fig. 3 is not periodic and the dimensions of the constituent SRRs are smaller than the EBG period. Indeed, SRRs can be considered as lumped resonators (rather than distributed), this being the key aspect from the point-of-view of their impact on miniaturization. Notice that by considering single-ring split resonators, the circumference is always half the wavelength at resonance. Thus, this single ring operates dynamically, it is not a lumped resonator and its dimensions scale with signal wavelength. Therefore, by using two concentric ring with slits in opposite sides (i.e., SRRs), we obtain an important benefit in terms of compactness, related to the fact that, for the fundamental resonant mode, the SRR operates in the quasi-static regime (even though higher order resonances are also present and are governed by dynamic processes). Finally, in Fig. 4, a hollow metallic waveguide loaded with a single SRR is shown, together with the measured frequency response. Waveguide dimensions provide a cutoff frequency below the resonant frequency of SRRs. Therefore, a notch is expected in the vicinity of that frequency, as confirmed by measurements. Rings have been etched on an Arlon

Fig. 5. (a) 50- microstrip line with a square-shaped CSRR etched in the ground plane. (b) Measured frequency response.

250-LX-0193-43-11 substrate, which has been disposed vertically in the -plane of the guide to achieve magnetic excitation of the SRR at resonance (the waveguide has been excited in the fundamental mode and connected to an Agilent 8510 network analyzer). Let us now focus on CSRRs and their application to stopband structures. These particles are the dual counterparts of SRRs, therefore, according to Babinets’ principle, a dual behavior for them is expected. This means that an axial time-varying electric field, rather than a magnetic field, is required to excite CSRRs. Indeed, both SRRs and CSRRs are bi-anisotropic particles that can be also excited by time-varying electric and magnetic fields, respectively, applied parallel to the plane of the rings (magnetoelectric coupling) [14]. However, this coupling is softer and the discussion of its origin is out of the scope of this paper. In order to obtain rejection-band structures in planar technology, CSRRs may be etched either in the ground plane or in the conductor strip. The dominant coupling mechanism between line and CSRRs is electric and this can be described by a coupling capacitance, which is essentially given by the line capacitance corresponding to the line section occupied by the CSRR, as is exhaustively explained in [23]. In [23], is also explained that CSRRs can be described by a resonant LC tank, and their resonant frequency roughly coincides to that of an SRR with identical dimensions. In Figs. 5 and 6, two stopband CSRR-based devices are depicted, fabricated in microstrip and CPW technology, respectively. The former is simply a 50- line with square-shaped CSRRs etched in the ground plane underneath the upper strip. By this means, the vertical component of the electric-field vector in the region delimited by CSRRs is high and, hence, the electric coupling. By setting ring dimensions to mm and tuning 5.8 mm, a the external edges in the vicinity of 9.4 mm

GARCÍA-GARCÍA et al.: MICROWAVE FILTERS WITH IMPROVED STOPBAND BASED ON SUB-WAVELENGTH RESONATORS

2001

Fig. 6. (a) CPW stopband structure with CSRRs etched in the central strip. (b) Measured frequency response. Ring dimensions are of c = d = 0:4 mm and external edges are tuned in the vicinity of 6.6 mm 3.6 mm.

2

stopband centered at 1.8 GHz is obtained with very high levels of rejection (see Fig. 5). In Fig. 6, a CPW with CSRRs etched in the central strip is depicted. As can be seen, a frequency gap is also opened, in this case, around 3.5 GHz. The flexibility of the CPW technology to obtain a certain line impedance, with slot and strip widths not univocally determined, is interesting because this allows us to etch CSRRs in the central strip (provided this is wide enough) and, hence, the ground planes are not affected. As will be shown latter, this strategy leads us to an elegant and efficient solution for the suppression of spurious bands in CPW coupled-line bandpass filters. To conclude, we would like to mention that SRRs also have their dual counterparts. This means that the advantages of complementarity are compatible with further miniaturization levels, although there is a lack of complementary particle for the BC SRR. III. MICROWAVE FILTERS WITH IMPROVED OUT-OF-BAND PERFORMANCE Here, it will be demonstrated that sub-wavelength resonators can be integrated in microwave filters to reject spurious harmonics and, hence, improve their stopband. Both CPW and microstrip bandpass filters will be designed and fabricated. It will be shown that by simply adding SRRs or CSRRs, properly oriented and tuned, significant rejection levels of the undesired bands are obtained, leaving unaltered the passband of interest. For both the coupled-line bandpass filters and the capacitively coupled half-wavelength resonator bandpass filters considered here, the standard design equations given in [21] have been used. From these equations and the use of a transmission-line calculator (Agilent’s Linecalc), the topology of the conventional structures has been determined, while the dimensions of SRRs or CSRRs have been calculated a posteriori by using the previously described multiple tuning procedure, which requires the

Fig. 7. (a) Fabricated SRR coupled-line bandpass filter in microstrip technology. (b) Simulated frequency response compared to that obtained in the device without rings. (c) Measured frequency responses.

use of an optimizer. As will be explained below, in some cases, it has been necessary to recalculate the layout of the filter to take into account the influence on phase velocity of the SRRs or CSRRs. Parallel coupled-line bandpass filters have been designed in microstrip and CPW technologies with SRRs and CSRRs, respectively, etched in the active device region. The layout of the microstrip prototype, a third-order Butterworth bandpass filter with a central frequency of GHz and 10% fractional bandwidth, is depicted in Fig. 7. Rectangular SRRs have been etched adjacent to the coupled lines in order to reject the first and second spurious bands. Ring dimensions have been determined to obtain stopbands wide enough to achieve effective band suppression. The multiple tuning procedure explained in Section II has been used, although final SRR geometries have been determined by means of an optimization algorithm (integrated within Agilent’s Momentum software). The smaller rings (etched in the central stages) are responsible for the rejection of the second

2002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 9. (a) (top and bottom) Fabricated SRR bandpass filter based on capacitively coupled resonators. (b) Simulated (thin lines) and measured (bold lines) frequency response corresponding to the SRR device. (c) Frequency response measured in the device without the presence of the SRRs.

Fig. 8. (a) Fabricated CSRR coupled-line bandpass filter in CPW technology. (b) Simulated frequency response compared to that obtained in the device without rings. (c) Measured frequency responses.

spurious band, whereas the first undesired band is rejected by the action of the larger SRRs, which are allocated in the first and fourth filter stages. The device has been fabricated in a Rogers RO3010 substrate by means of a standard photo/mask etching technique, and the frequency response has been measured by means of the Agilent 8720ET vector network analyzer. The results are also represented in Fig. 7 jointly with simulation data (obtained by means of the Agilent Momentum commercial software). In comparison to the conventional device, the first spurious band is rejected with attenuation levels near 40 dB, while insertion losses in the second band are clearly below 20 dB. This efficiency can be attributed to the significant number of SRRs pairs distributed along the device, which is possible by virtue of their small electrical size. It is also worth mentioning that the use of square or rectangular shaped SRRs enhances magnetic coupling between line and rings, and this allows further rejection levels, as compared to circular SRRs [13], [22]. In this and the following results, the slight discrepancies between simulation and measurement are attributed to the small values of and , which are near the resolution limits of the fabrication

process 0.1 mm . In Fig. 8, a coupled-line bandpass filter fabricated in CPW technology (Rogers RO3010 substrate) is depicted. In this device (a third-order Butterworth 10% fractional bandwidth central frequency GHz), square-shaped CSRRs have been etched in the coupled-line strips with the aim to eliminate the first and second harmonic bands. According to the frequency response, also shown in Fig. 8, suppression levels above 20 dB up to 5 GHz have been achieved, while the passband is scarcely affected. This points out the efficiency of the technique, this time with CSRRs etched in the conductor strips. The suppression of spurious bands is clearly better than in the case of SRRs etched in the back substrate side, as was done in [22] The added advantage of the structure of Fig. 8 is that the back substrate side is not affected, except by the presence of the via bridges that are required in these type of structures to avoid the generation of parasitic modes. We have also designed and fabricated (in a Rogers RO3010 substrate) an SRR bandpass filter based on capacitively coupled half-wavelength resonators and implemented in CPW technology. The photograph can be seen in Fig. 9 together with the frequency response (simulation and measurement). As compared to the frequency response of the ringless device, the first spurious band is substantially attenuated. In this case, the elimination of the first spurious band has been pursued. Since the employed substrate is relatively thick mm , magnetic coupling between the line and rings is softer and more SRRs are required to achieve an acceptable level of attenuation of the undesired bands.

GARCÍA-GARCÍA et al.: MICROWAVE FILTERS WITH IMPROVED STOPBAND BASED ON SUB-WAVELENGTH RESONATORS

To conclude, we want to point out that the elimination of spurious passbands has been also applied with success to stepped impedance low-pass filters, as has been reported in [22]. This and previous implementations of this section illustrate the potentiality of sub-wavelength resonators to improve the out-of-band performance of microwave filters. The key advantages over previous techniques are: 1) versatility (i.e., application in a wide variety of scenarios including CPW and microstrip technologies); 2) efficiency (rejection levels above 30 dB have been demonstrated); and 3) small dimensions (as a consequence of their small electrical size and the possibility of being integrated within the active device region). For these reasons, the proposed technique has been patented.1 IV. DISCUSSION In the previous prototype device bandpass filters, the frequency band of interest is not substantially affected by the presence of the rings. This is an important aspect to highlight and is related to the high- factor of SRRs (and CSRRs). In other words, the signal “ignores” the presence of the rings, unless its frequency is very close to the nominal resonant frequency of SRRs. These are tuned in the vicinity of the first spurious band and, hence, the frequency response in the operative band is not altered. However, the presence of the rings (SRRs) in close proximity to the line (CPW or microstrip) or the CSRRs etched in the conductor strip (or ground planes) may lead to certain frequency dispersion. Depending on the dispersion level, the geometry of the structures should be recalculated. In this regard, the microstrip bandpass filter reported in [13] and [22], where circular SRRs were etched close to the conductor strip, has identical dimensions than the structure with SRRs removed, and the passbands of interest are undistinguishable. For the microstrip bandpass filter of Fig. 7, where rectangular-shaped SRRs are employed, it has been necessary to slightly reduce device dimensions (5%) to maintain the same passband characteristics than in the filter without SRRs. Finally, for the CPW parallel coupled-line filter of Fig. 8, 10% reduction, as compared to the conventional device (i.e., without CSRRs), has been necessary to obtain the same bandwidth and central frequency. These results indicate that dispersion is very soft for the microstrip filter with circular SRRs, it increases when these rings are replaced by rectangular shaped SRRs (Fig. 7), and it is more pronounced for the CSRR-loaded CPW structure (Fig. 8). This behavior is interpreted from the effects of each resonator type on line characteristics. Namely, with rectangular SRRs, substantial rejection is achieved at resonance, but it is also expected a nonnegligible effect of these rings on phase velocity due to the proximity of SRRs to the line. With circular SRRs, these effects are of less importance since, on average, the distance between the line and rings is higher. This may explain that, by using rectangular SRRs, a recalculation of device dimensions is necessary to maintain the same frequency characteristics in the passband region. On the other hand, it is believed that, for the CPW structure of Fig. 8, the presence of CSRRs, etched on the coupled-line strips, 1The application of sub-wavelength resonators to planar circuits and antennas is patent pending.

2003

may lead to higher variations of the electrical parameters of the line (as compared to the ringless structure) and, hence, to further decrease in device dimensions. In order to gain further insight on dispersion, we have obtained the ratio of the phase constants obtained in a microstrip line with square-shaped SRRs (Fig. 3) and in the same line without SRRs for half the resonant frequency of the rings. The result, which has been (by using Agilent’s inferred from the simulated phase of Momentum) and coincides with the ratio between the phase . velocities at that frequency has been found to be This result is coherent with a 5% reduction in device dimensions for the microstrip bandpass filter loaded with rectangular SRRs, where the central frequency is approximately half the resonant frequency of the rings. This procedure has been also applied to the structure shown in Fig. 6. In this case, the ratio of phase constants for both the CSRR loaded and unloaded , which is in reasonable lines has been found to be agreement with a 10% reduction in filter dimensions (structure obtained for the cited of Fig. 8). Obviously, the values of transmission-line structures (Figs. 3 and 6) do not perfectly match the compactness factor for the filters of Figs. 7 and 8 because these filters are based on coupled-line stages. However, this qualitative analysis points out that certain dispersion may take place and must be considered for accurate filter designs. In practice, to determine the dimensions of the filters of Figs. 7 and 8, we have tuned each coupled-line section to obtain the at the central filter frequency desired electric lengths and the required coupling factors (which can be inferred from ) to achieve the specified bandwidth. To this end, Agilent’s Momentum software has been used, and the geometry of the coupled line sections corresponding to the conventional designs has been used to startup tuning, . For the structure of Fig. 9, this procedure has not been applied (i.e., device dimensions coincide with those of the ringless structure), but the passband has not been shifted by the presence of the rings. From the simulated and measured frequency responses of the prototype device filters shown here, it is very clear that the passband of the structures can be maintained unaltered and, if dispersion is present, rather than a disadvantage, this contributes to a certain level of device miniaturization. V. CONCLUSIONS In summary, a new approach for the rejection of undesired bands in microwave filters, based on coupled sub-wavelength resonators, has been proposed. This paper has shown that SRRs and their dual counterparts (i.e., CSRRs) properly coupled to CPWs or microstrip transmission lines provide an efficient way to inhibit signal propagation in the vicinity of their quasi-static resonant frequency. Whereas magnetic coupling between the line and rings leads to the filtering properties of SRR-based transmission lines, it has been shown that CSRRs need an electric field to be excited. From these arguments, the most suitable orientations of both SRRs and CSRRs in different transmission-line configurations have been discussed. It has been also demonstrated that the forbidden band of these sub-wavelength resonator based structures (which is intrinsically very narrow) can be substantially widened by tuning the particles to slightly

2004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

different frequencies (multiple tuning). Due to the deep rejection levels achieved within the gap, sub-wavelength resonators have been applied to suppress harmonic bands in conventional microwave filters. Specifically, parallel coupled-line bandpass filters and capacitively coupled resonator bandpass filters with improved out-of-band performance have been designed and fabricated. Measured rejection levels of the first spurious band of such filters 30 dB are indicative of the efficiency of the technique. Also remarkable is the invariability of the passband in spite of the presence of sub-wavelength resonators. In all cases, these have been distributed within the active filter region, avoiding the need to cascade additional stages. In some cases, the characteristics of the host transmission lines are modified due to the presence of the rings so that the phase velocity is reduced and, hence, device dimensions. In the opinion of the authors, the most breaking structure is the CPW coupled-line bandpass filter, where CSRR are etched in the strips, thus leaving the ground plane unaltered. Miniaturization (due to subwavelength operation of SRRs and CSRRs), the high levels of rejection, and the possibility to control gapwidth by fine tuning are key aspects that make this technique very promising for the elimination of undesired bands in microwave filters. Moreover, the technique can be applied to a wide variety of structures (including CPW and microstrip transmission lines) and filter types. For this reason, it is believed that the ideas presented in this paper can be of interest in practical applications of microwave filters.

ACKNOWLEDGMENT The authors thank the European Community and Omicron Circuits s.l. for their support.

REFERENCES [1] C. Person, A. Sheta, J. Ocupes, and S. Toutain, “Design of high performance band pass filters by using multilayer thick film technology,” in Proc. 24th Eur. Microwave Conf., vol. 1, Cannes, France, Sep. 1994, pp. 446–471. [2] J. T. Kuo and M. Jiang, “Suppression of spurious resonance for microstrip band pass filters via substrate suppression,” in Asia–Pacific Microwave Conf., Kyoto, Japan, 2002, pp. 497–500. [3] M. L. Roy, A. Perennec, S. Toutain, and L. C. Calvez, “Continously varying coupled transmission lines applied to design band pass filters,” Int. J. RF Microwave Computer-Aided Eng., vol. 12, pp. 288–295, May 2002. [4] J. T. Kuo and W. Hsu, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [5] E. Yablonovitch, “Photonic bandgap structures,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 10, pp. 283–295, Feb. 1993. [6] T. Lopetegi, M. A. G. Laso, J. Hernández, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip wiggly-line filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [7] V. Radisic, Y. Qian, and T. Itoh, “Broad-band power amplifier using dielectric photonic bandgap structures,” IEEE Microw. Guided Wave Lett., vol. 8, no. 1, pp. 13–15, Jan. 1998. [8] M. A. G. Laso, T. Lopetegi, M. J. Erro, D. Benito, M. J. Garde, and M. Sorolla, “Novel wide-band photonic bandgap microstrip structures,” Microwave Opt. Technol. Lett., vol. 24, pp. 357–360, Mar. 2000.

[9] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martín, J. Bonache, L. PérezCuevas, and M. Sorolla, “Microstrip wiggly line bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [10] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [11] F. Falcone, T. Lopetegi, J. D. Baena, R. Marqués, F. Martín, and M. Sorolla, “Effective negative-" stop-band microstrip lines based on complementary split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 280–282, Jun. 2004. [12] R. Marqués, J. D. Baena, J. Martel, F. Medina, F. Falcone, M. Sorolla, and F. Martín, “Novel small resonant electromagnetic particles for metamaterial and filter design,” in Proc. Int. Int. Electromagnetics in Advanced Applications Conf., Sep. 2003, pp. 439–443. [13] J. García-García, F. Martín, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marqués, “Spurious passband suppression in microstrip coupled line bandpass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004. [14] R. Marqués, F. Medina, and R. R.-E. Idrissi, “Role of bianisotropy in negative permeability and left handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 65, pp. 144 441–144 446, Apr. 2002. [15] J. Baena, R. Marqués, F. Medina, and J. Martel, “Artificial magnetic metamaterial design by using spiral resonators,” Phys. Rev. B, Condens. Matter, vol. 69, pp. 14 402–14 402, Jan. 2004. [16] F. Falcone, F. Martín, J. Bonache, M. A. G. Laso, J. García-García, J. D. Baena, R. Marqués, and M. Sorolla, “Stopband and band pass characteristics in coplanar waveguides coupled to spiral resonators,” Microwave Opt. Technol. Lett., vol. 42, pp. 386–388, Sep. 2004. [17] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, pp. 4184–4187, May 2000. [18] F. Falcone, F. Martin, J. Bonache, R. Marqués, and M. Sorolla, “Coplanar waveguide structures loaded with split ring resonators,” Microwave Opt. Technol. Lett., vol. 40, pp. 3–6, Jan. 2004. [19] F. Martín, F. Falcone, J. Bonache, R. Marqués, and M. Sorolla, “Miniaturized coplanar waveguide stopband filters based on multiple tuned split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 511–513, Dec. 2003. [20] R. Marqués, F. Mesa, J. Martel, and F. Medina, “Comparative analysis of edge and broadside couple split ring resonators for metamaterial design: Theory and experiment,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2572–2581, Oct. 2003. [21] D. M. Pozar, Microwave Engineering. New York: Addison-Wesley, 1993. [22] J. García-García, J. Bonache, F. Falcone, I. Gil, J. D. Baena, T. Lopetegi, M. A. G. Laso, F. Martín, R. Marqués, A. Marcotegui, and M. Sorolla, “Spurious pass band suppression in microwave filters by means of subwavelength resonant structures,” in 34th Eur. Microwave Conf. Dig., vol. II, Amsterdam, The Netherlands, Oct. 2004, pp. 577–580. [23] J. D. Baena, J. Bonache, F. Martín, R. Marqués, F. Falcone, T. Lopetegi, M. A. G. Laso, J. García, I. Gil, and M. Sorolla, “Equivalent-circuit models for split-ring resonators and complementary split-ring resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1451–1461, Apr. 2005.

Joan Garcia-Garcia was born in Barcelona, Spain, in 1971. He received the Physics degree and Ph.D. degree in electrical engineering from the Universitat Autònoma de Barcelona, Barcelona, Spain, in 1994 and 2001, respectively. He then became a Post-Doctoral Research Fellow with the Institute of Microwaves and Photonics, The University of Leeds, Leeds, U.K., working under the INTERACT European project. In 2002, he was a Post-Doctoral Research Fellow with the Universitat Autònoma de Barcelona, working under the Ramon y Cajal project of the Spanish Government. In November 2003, he become an Associate Professor of electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona.

GARCÍA-GARCÍA et al.: MICROWAVE FILTERS WITH IMPROVED STOPBAND BASED ON SUB-WAVELENGTH RESONATORS

Ferran Martín was born in Barakaldo (Vizcaya), Spain, in 1965. He received the B.S. degree in physics and Ph.D. degree from the Universitat Autònoma de Barcelona, Barcelona, Spain, in 1988 and 1992, respectively. Since 1994, he has been an Associate Professor of electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona. He has recently been involved in different research activities including modeling and simulation of electron devices for high-frequency applications, millimeterwave and terahertz generation systems, and the application of EBGs to microwave and millimeter-wave circuits. He is also currently very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas.

Francisco Falcone was born in Caracas, Venezuela, in 1974. He received the M.Sc. degree in telecommunication engineering from the Public University of Navarre, Navarre, Spain, in 1999, and is currently working toward the Ph.D. degree in telecommunication engineering from the Public University of Navarre. From 1999 to 2000, he was with the Microwave Implementation Department, Siemens-Italtel, where he was involved with the layout of the Amena mobile operator. Since 2000, he has been a Radio Network Engineer with Telefónica Móviles España. Since the beginning of 2003, he has also been an Associate Lecturer with the Electrical and Electronic Engineering Department, Public University of Navarre. His main research interests include electromagnetic-bandgap devices, periodic structures, and metamaterials.

Jordi Bonache was born in Barcelona, Spain, in 1976. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Barcelona, Spain, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. In 2000, he joined the High Energy Physics Institute of Barcelona (IFAE), where he was involved in the design and implementation of the control and monitoring system of the MAGIC telescope. In 2001, he joined the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, where he is currently an Assistant Professor. His research interests include active and passive microwave devices and metamaterials.

Juan Domingo Baena was born in El Puerto de Santa María, Cádiz, Spain, in August 1976. He received the Licenciado degree in physics from the Universidad de Sevilla, Seville, Spain, in 2001, and is currently working toward the Ph.D. degree at the Universidad de Sevilla. In 1999, he was a Software Programmer with Endesa (providing company of electricity in Spain). In September 2002, he joined the Departamento de Electrónica y Electromagnetismo, Universidad de Sevilla. His current research interests include analysis, design, and measurement of artificial media with exotic electromagnetic properties (metamaterials). Mr. Baena was the recipient of a Spanish Ministry of Science and Technology Scholarship.

2005

Ignacio Gil was born in Barcelona, Spain, in 1978. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Barcelona, Spain, in 2000 and 2003, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. He is also an Assistant Professor with the Universitat Autònoma de Barcelona. His research interests include active and passive microwave devices and metamaterials.

Esteve Amat was born in Barcelona, Spain, in 1977. He received the Electronic Engineering degree from the Universitat Autònoma of Barcelona, Barcelona, Spain, in 2004. He is currently an Assistant Professor of electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona. His main research interest is focused on the analysis of the degradation and breakdown of ultrathin SiO and high- dielectrics films.

Txema Lopetegi (S’99–M’03) was born in Pamplona, Navarre, Spain, in 1973. He received the M.Sc. and Ph.D. degrees in telecommunication engineering from the Public University of Navarre, Navarre, Spain, in 1997 and 2002, respectively. Since 1997, he has been with the Electrical and Electronic Engineering Department, Public University of Navarre, as an Academic Associate from 1997 to 1999, and as an Assistant Professor since 2000. During 2002 and 2003, he was a Post-Doctoral Researcher with the Payload Systems Division, European Space Research and Technology Center (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands. His current research interests include metamaterials and their applications in microwave and millimeter-wave technologies (electromagnetic-bandgap structures, left-handed media, and SRRs), as well as coupled-mode theory and synthesis techniques using inverse scattering. Dr. Lopetegi was the recipient of a 1999 and 2000 grant from the Spanish Ministry of Education to support the research of his doctoral thesis.

Miguel A. G. Laso (S’99–M’03) was born in Pamplona, Spain, in 1973. He received the M.Sc. and Ph.D. degrees in telecommunication engineering from the Public University of Navarre, Navarre, Spain, in 1997 and 2002, respectively. Since 2001, he has been an Assistant Lecturer with the Electrical and Electronic Engineering Department, Public University of Navarre. He has been involved in several projects funded by the Spanish Government and the European Union. He was a Post-Doctoral Researcher supported by the Spanish Ministry of Science and Technology with the Payload System Division, European Space Research and Technology Center (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved with satellite applications of electromagnetic crystals in the microwave range. His current interests include electromagnetic crystals, metamaterials, and periodic structures in planar microwave and millimeter-wave technologies and in the optical wavelength range. Dr. Laso was the recipient of a grant from the Spanish Ministry of Education to support the research of his doctoral thesis from 1998 to 2002.

2006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

José Antonio Marcotegui Iturmendi was born in Pamplona (Navarra), Spain, in 1966. In 1993, he was an Engineer of telecommunication with the Polytechnic University of Catalonia, Catalonia, Spain. In 1996, he was an Associate Professor with the Electric and Electronic Engineering Department, Navarra University Publica, Navarra, Spain. In 1996, he founded CONATEL s.l. Sancho Ramírez, Pamplona (Navarra), Spain, where he is Director of numerous projects. The company develops his activity in telecommunications. Since 2003, CONATEL s.l. Sancho Ramírez has been involved with the Eureka Telemac 2895 Project, developing devices of microwaves making use of SRRs. Since 1996, his research concerns the design of devices of microwave and numerical methods such as finite difference time domain (FDTD).

Mario Sorolla (S’82–M’83–SM’01) was born in Vinaròs, Spain, in 1958. He received the M.Sc. degree from the Polytechnic University of Catalonia, Catalonia, Spain, in 1984, and the Ph.D. degree from the Polytechnic University of Madrid, Madrid, Spain, in 1991, both in telecommunication engineering. From 1986 to 1990, he designed very high-power millimeter waveguides for plasma heating for the Euratom-Ciemat Spanish Nuclear Fusion Experiment. From 1987 to 1988, he was an Invited Scientist with the Institute of Plasma Research, Stuttgart University, Stuttgart, Germany. He has been involved with microwave integrated circuits (MICs) and monolithic microwave integrated circuits (MMICs) for satellite communications with Tagra, Les Franqueses del Vallés, Spain, and Mier Communications, Barcelona, Spain. From 1984 to 1986, he was an Assistant Lecturer with the Polytechnic University of Catalonia, Vilanova i la Geltrú, Spain. From 1991 to 1993, he was an Assistant Lecturer with the Ramon Llull University, Barcelona, Spain. From 1993 to 2002, he was an Assistant Professor with the Public University of Navarre, Navarre, Spain, where he is currently a Full Professor with the Electrical and Electronic Engineering Department. His research interest include high-power millimeter waveguide components and antennas, coupled-wave theory, quasi-optical systems in the millimeter and terahertz range, and applications of metamaterials and enhanced transmission phenomena to microwave circuits and antennas.

Ricardo Marqués (M’95) was born in San Fernando, Cádiz, Spain. He received the Ph.D. degree from the Universidad de Sevilla, Seville, Spain, in 1987. He is currently an Associate Professor with the Departamento de Electrónica y Electromagnetismo, Universidad de Sevilla. For many years, his main scientific activity has been in the computer-aided design of planar transmission lines and circuits at microwave frequencies with emphasis in the influence and applications of complex media such as anisotropic dielectrics, magnetized ferrites, and plasmas, as well as bi(iso/aniso)tropic materials. He is also interested in the electromagnetic analysis and characterization of discrete metamaterials, including bianisotropic and left-handed media (LHM). He has been a reviewer for scientific and technical journals and conferences. Prof. Marques has been and/or is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2007

L-Band LDMOS Power Amplifiers Based on an Inverse Class-F Architecture Fabien Lépine, Andreas Ådahl, and Herbert Zirath, Member, IEEE

TABLE I PA BENCHMARK FOR LDMOS

Abstract—Two inverse class-F power amplifiers (PAs) working at 1 and 1.8 GHz, respectively, have been developed. The PAs use an LDMOS transistor as an active element in order to generated high efficiency with high output power. The 1-GHz PA achieved a drain efficiency of 77.8% with 12.4 W of output power and the 1.8-GHz PA a drain efficiency of 60% with 13 W of output power. To our knowledge, these results represent the highest efficiency and output power for an inverse class-F PA based on a single LDMOS transistor working at these frequencies. Index Terms—Class E, class F, high efficiency, inverse class F, LDMOS, power amplifier (PA), quadrature phase-shift keying (QPSK).

I. INTRODUCTION

L

OW distortion and high efficiency are contradicting requirements for an RF power amplifier (PA). In many instances, designers must make a tradeoff between one of these parameters to achieve better performance on the other. Thus, class-AB PAs are often used in such systems where high spectral efficiency is needed. This operation mode gives good linearity for a reasonable value of efficiency. PAs with high-efficiency performance make it possible to decrease operational costs and increase the lifetime of devices. There are different techniques for increasing the efficiency, i.e., class D, class E, class F and inverse class F [1]–[4]. Table I shows a comparison of key performances such as output power and efficiency between class-E and class-F (inverse class F) amplifiers, based on LDMOS (LD denotes “laterally diffused”) transistors, described in the literature. A class-E amplifier is often straightforward to implement and its output network will be taken into account for the output capacitance of the transistor. The class F or inverse class F, on the other hand, can be used higher in frequency. The class-F architecture has been successfully demonstrated several times in the literature [6], [10]–[13]. Moreover, a class-F or an inverse class-F PA can be designed to achieve almost the same linearity performance as an ordinary class-AB PA, but with an improvement of the efficiency by 10%–15% [4], [14], [15]. The goal of this paper is to show that PAs with very high efficiency and high output power can be designed at -band due to the rapid advancement of LDMOS device technology in addition to a saturated amplifier with harmonic tunings. In this paper, two inverse class-F PAs using a Manuscript received September 30, 2004; revised December 14, 2004. The authors are with the Microwave Electronics Laboratory, Chalmers University of Technology, SE-412 96 Gothenburg, Sweden (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848830

commercially availably LDMOS transistor are described and characterized. The first PA is based on a lumped-component resonator, whereas the second is designed with a quarter-wavelength transmission line with a series-tuned tank. Both PAs were optimized for efficiency rather than linearity. These types of PA architectures could be directly inserted into a telecommunication system, which does not require a specific linearity performance. They could also be associated with a linearization technique, such as Doherty or amplifier bias command envelope elimination and restoration (EER) [4], in order to meet the specifications for wireless applications with complex modulated signals. II. PRINCIPLE OF CLASS F AND CLASS The class-F PA was introduced at the end of the 1950s. It is a simple and feasible way to increase the performances of PAs. The basis of this operation mode consists of loading the active device output with appropriate terminations at fundamental and harmonic frequencies to improve efficiency. Basically, openand short-circuit terminations at odd and even harmonics are presented at the output of the transistor to shape the drain waveforms. This is done with an output network including a load and harmonic resonators (Fig. 1). For a class-F PA, the drain voltage waveform includes one or more odd harmonics and approximates a square wave, while the current waveform includes even harmonics and approximates a half sine wave. Alternately, for the inverse class-F PA, the drain voltage can approximate a half sine wave and the current a square wave. In theory, the efficiency increases as more harmonics are tuned, approaching the ideal tuning of 100% for the case when all the harmonics are tuned (Fig. 2) [10].

0018-9480/$20.00 © 2005 IEEE

2008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4.

Fig. 1.

Cross section of an LDMOS transistor [18].

Basic circuit of inverse class-F PA.

Fig. 5. Schematic of the implemented PA at 1 GHz. Fig. 2. Efficiency performance of ideal class-F PA versus various numbers of harmonics.

fore, higher output power, can be achieved for a given voltage limitation imposed by the active device (knee voltage) compared to the tuned load operation. III. LDMOS TECHNOLOGY

Fig. 3. Relative voltage versus phase angle of an ideal second-order network.

The fundamental and second harmonic drain voltage components must be “in phase” in order to properly shape the voltage waveform in an inverse class-F PA. Thus, the waveform of the voltage is flatten half of the cycle and peaks in the remaining part. Therefore, the overlap between the voltage and current will be minimized, and the resulting efficiency is increased. A greater fundamental voltage component (see Fig. 3) and, there-

A wide range of semiconductor technologies are being applied to RF power transistor applications between 500 MHz–2.5 GHz. Silicon LDMOS technology has a strong position in basestation applications due to its benefits, which are: 1) improved efficiency; 2) linearity; 3) peak-power capability; and 4) cost-per-watt performance. The device structure of LDMOS is designed for high-voltage operation (long channel length) with low parasitic capacitance to enable high-frequency operation. The breakdown voltage of this transistor is increased by using a low doped and long n-drift region. The short channel region, called the p-base in Fig. 4, is formed by lateral diffusion of a p-type implantation that will enhance RF performance of the transistor [17]. The active device used in this study for both PAs is an LDMOS MRF282 from Freescale, Austin, TX, with a gate length of 0.8 m. Each die on the mask set contains six active areas, each active area contains 56 gate fingers, and each gate finger is 95- m long. Therefore, each die has a total gatewidth (size) of 31.9 mm. IV. DESIGN AND CHARACTERIZATION Harmonic-balance simulations were performed by using a commercial computer-aided design (CAD) tool (Advanced

LÉPINE et al.:

-BAND LDMOS PAs BASED ON INVERSE CLASS-F ARCHITECTURE

2009

TABLE II OPTIMIZED COMPONENT VALUES @ 1 GHz

Fig. 7. Schematic of the implemented PA at 1.8 GHz.

Fig. 6.

Efficiency and output power versus V d for V

= 2 V, P = 28 dBm.

Design System (ADS) from Agilent Technologies, Palo Alto, CA). The implemented PAs were analyzed and the load networks were optimized for highest drain efficiency and output power. Models for the capacitors and inductances were obtained from the manufacturer and included in the simulated circuits. The transistor model is based on the “Root” model and is available at Freescale’s website.1 The circuits were implemented on an FR4 substrate with a relative dielectric constant of 2.75 and a thickness of 0.8 mm, and tuneable capacitors were used in order to optimize the performance of the circuits during the measurements. The variables capacitors are from Johanson technologies. The inductors in the bias network are air core inductors from Coilcraft, Austin, TX. The substrate, passive components, and transistor were mounted on a heat sink. The size of the heat sink is 63 75 25 mm and the thermal resistance is equal to 3.7 C W @ 20 W. For both PAs, the active device is driven into saturation with a continuous-wave (CW) signal. The proper phasing of the second harmonic is achieved with the input nonlinearities of the device [12]. V. 1-GHz PA A. Circuit Analysis The 1-GHz PA is based on a lumped-component resonator, which includes two branches (see Fig. 5). 1[Online].

Available: http://www.freescale.com

Fig. 8. Simulated reflection coefficient (0) of the output network for the first to fourth harmonic.

Fig. 9.

PA based on a quarter-wavelength transmission line.

This architecture provides high impedance at the second harmonic, low impedance at the third harmonic, and achieves matching to a 50- load at the fundamental [16]–[20]. , By fixing both inductances at the same value the impedance presented by these two parallel branches and ) without the load of 50 (open circuit) ( is equal to (1)

2010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE III OPTIMIZED COMPONENT VALUES @ 1.8 GHz

For a specific frequency value corresponding to the parallel resonance (in this case, harmonic 2), a large impedance is presented at the LDMOS output. The resonance frequency is (2) The load impedance is transformed by the lumped-component resonator. The real part of can be described according to the following expression: Load (3)

Thus, an impedance transformation can be achieved at the and fundamental by a judicious choice of the values in according with the equation of the resonance frequency at the second harmonic. This transformation also makes it possible to reduce the peak voltage at the drain node. The imaginary part is tuned by adding a transmission line in series between the transistor and output network (see Fig. 5). The component values obtained from the optimization of the circuit in Fig. 5 are specified in Table II. B. Experimental Results The amplifier was characterized with respect to output power, drain efficiency, and power-added efficiency (PAE). The maximum drain efficiency was found to be 77.8% with an output power of 12.4 W. Fig. 6 describes the characteristic variations of efficiency and output power versus drain voltage. The best drain efficiency was found to be 79.1% for a drain voltage of 20 V and an output power of 7.6 W. versus matches the theoretical The measured V). quadratic dependence almost perfectly (up to

Fig. 10. Output power, gain, and drain efficiency versus frequency for V g = 3:5 V, V d = 26 V, and P in = 31 dBm.

the properties of the quarter-wavelength transmission line, the impedance, which is seen in the output of the transistor, is equal for any even harmonic and for any odd harmonic to (see in Fig. 8). Thus, an open circuit is presented at the output of the transistor for any even harmonic and a short circuit for . These considerations show that, any odd harmonic in theory, the voltage waveform includes even harmonics and approximates a half sine wave, while the current waveform includes odd harmonics and approximates a square wave. From the output waveforms, it is noticed that, in the ideal case, there is no overlapping between the output voltage and current waveform. This suggests that the maximum achievable drain efficiency of the PA is 100% and the output power is equal to [2] (4)

VI. 1.8-GHz PA A. Circuit Analysis The second PA (1.8 GHz) is based on a quarter-wavelength transmission-line topology, as seen in Fig. 7. The network operation can be described as follows. At fundamental frequency, the impedance seen by the device is , where is the characteristic impedance of the transmission line and is the impedance of the load and output matching circuit. For all harmonic components, the filter at the fundamental presents an open circuit at the output of . Due to the quarter-wavelength transmission line

The load voltage lags the drain voltage by 90 because of the phase shift in the quarter-wave transmission line. An output matching circuit is added to optimize the matching and to minimize the harmonic transmission to the load (see Figs. 7–9). The component values obtained from the optimization of the circuit in Fig. 7 are specified in Table III. B. Experimental Results The amplifier was characterized with respect to efficiency and output power.

LÉPINE et al.:

-BAND LDMOS PAs BASED ON INVERSE CLASS-F ARCHITECTURE

Fig. 11. Output power and drain efficiency versus drain voltage for 3:5 V and P in = 31 dBm.

Vg

2011

Fig. 13.

Reference spectrum (QPSK/output from pre-amplifier).

Fig. 14.

Output spectrum (QPSK).

Fig. 15.

Trajectory diagram and constellation (QPSK).

=

Fig. 12. Output power, gain, and drain efficiency versus input power for V g = 3:5 V and V d = 26 V.

The second PA, which is based on a quarter-wavelength transmission line with a series-tuned tank, achieved a drain efficiency of 13 W and an associated gain of 10 dB at a of 60% with frequency of 1.78 GHz (see Fig. 10). The gate is biased at 3.5 V. In Fig. 11, output power and efficiency versus drain voltage is shown. Fig. 12 describes the variations of output power, gain, and efficiency as a function of input power. This graph shows that the 1-dB gain compression for an input power of 1.55 W was obtained. The PA was measured with a constant modulation envelope. A quadrature phase-shift keying (QPSK) is generated by a vector signal generator (symbol rate of 100 ks/s, root Nyquist ). PA output spectra are shown in Figs. 13 filter with and 14, respectively, and the trajectory diagram for a QPSK modulation is shown in Fig. 15. The additional spectral energy produced is measured by comparing the power ratio produced in the intended frequency channel to the ratio of undesired power splattered into other frequencies.

An adjacent power channel ratio (ACPR) limit of 30 dBc was found at a specific offset of 100 kHz (see Fig. 14). VII. CONCLUSIONS In this paper, two PAs have been presented based on a commonly used basestation power transistor with an inverse class-F operation. Both PAs have shown excellent efficiency versus output power performances. One PA achieved a drain efficiency of 77.8% for an output power of 12.4 W at 1 GHz and the second achieved 60% for 13 W at 1.78 GHz. This drain efficiency is almost 25% higher compared to an ordinary class-AB PA based on the same transistor (see Table I). These results represent state-of-the-art at 1 and 1.8 GHz for a single-stage LDMOS based on high-efficiency amplifiers. The potential of the LDMOS as active element in class F-1 has been demonstrated.

2012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

ACKNOWLEDGMENT The authors acknowledge Freescale, Austin, TX, for providing data of their LDMOS transistors. REFERENCES [1] N. O. Sokal and A. D. Sokal, “Class E—A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SSC-10, no. 6, pp. 168–176, Jun. 1975. [2] M. Albulet, “Class F amplifiers,” in RF Power Amplifiers. New York: Noble, 2001, pp. 303–317. [3] F. H. Raab, “Idealized operation of the class E tuned power amplifier,” IEEE Trans. Circuits Syst., vol. CAS-24, no. 12, pp. 725–735, Dec. 1977. [4] , “Power amplifier and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [5] A. Ådahl and H. Zirath, “A 1 GHz class E LDMOS power amplifier,” presented at the Gigahertz Conf., Linköping, Sweden, Nov. 2003. [6] A. V. Grebennikov, “Circuit design technique for high efficiency class F amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2000, pp. 771–774. [7] A. V. Grebennikov and H. Jaeger, “Class E with parallel circuit—A new challenge for high-efficiency RF and microwave power amplifiers microwave,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2002, pp. 1627–1630. [8] W. N. Edelstein, C. Andricos, A. Moussessian, F. Wang, and D. B. Rutledge, “High-efficiency L-band transmit/receive module for synthetic aperture radar,” in IEEE Radar Conf., May 2003, pp. 238–243. [9] Freescale, Austin, TX, Data Sheet MRF282, Sep. 2004. [Online]. Available: http://www.freescale.com/files/rf_if/doc/data_sheet/MRF282.pdf. [10] F. H. Raab, “Class-F power amplifiers with reduced conduction angles,” IEEE Trans. Broadcast., vol. 44, no. 4, pp. 455–459, Dec. 1998. [11] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “On the class-F power amplifier design,” Int. J. RF Microwave Computer-Aided Eng., vol. 9, pp. 129–149, Mar. 1999. [12] , “High efficiency low-voltage power amplifier design by second harmonic manipulation,” Int. J. RF Microwave Computer-Aided Eng., vol. 10, pp. 19–32, Jan. 2000. [13] A. Inoue, T. Heima, A. Ohta, R. Hattori, and Y. Mitsui, “Analysis of class-F and inverse class-F amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2000, pp. 775–778. [14] T. B. Mader and E. W. Bryerton, “Switched-mode high-efficiency microwave power amplifiers in a free-space power-combiner array,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1391–1398, Oct. 1998. [15] M. Venkataramani, Efficiency Improvement of WCDMA Base Station Transmitter Using Class-F Power Amplifier. Blacksburg, VA: Virginia Polytech. Inst. State Univ., 2004. [16] F. Huin, “Etudes Des Formes d’ Ondes Permettant Une Optimization Des Performances De l’ Amplificateur De Puissance,” Ph.D. Thèse, Dept. Microwave, Univ. Limoges, Limoges, France, 2001. [17] F. Jian, L. Zhaoji, and Z. Bo, “Study for safe operating area of high voltage LDMOS,” in Int. Business of Electronic Product Reliability and Liability Conf., Apr. 2004, pp. 15–18. [18] G. Doudorov, “Evaluation of Si-LDMOS transistor for RF power amplifier in 2–6 GHz frequency range,” Dept. Elect. Eng., Linköping Univ., Linköping, Sweden, 2003.

[19] F. Lépine, A. Ådahl, and H. Zirath, “A high efficient power amplifier based on an inverse class-F architecture,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, 2004. [20] S. Mazumder, A. Azizi, and F. Gardiol, “Improvement of class-C transistor power amplifier by second-harmonic tuning,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 430–433, May 1979. [21] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “Class G approach for high efficiency PA design,” Int. J. RF and Microwave ComputerAided Eng., vol. 10, pp. 366–378, Nov. 2000.

Fabien Lépine was born in Soyaux, France, in 1973. He received the Dpl.Eng. degree from the Ecole Nationale Supérieure des Télécommunications de Bretagne, Brest, France, in 2004, and is currently working toward the Ph.D. degree in microelectronics at the Chalmers University of Technology, Gothenburg, Sweden. His fields of interest are design of high efficient PAs and techniques for achieving improved linearity of PAs.

Andreas Ådahl was born in Gothenburg, Sweden, in 1979. He received the M.Sc. degree in electrical engineering from the Chalmers University of Technology, Gothenburg, Sweden, in 2002, and is currently working toward the Ph.D. degree in microelectronics at the Chalmers University of Technology. His main research areas are monolithic microwave integrated circuits (MMICs) for space applications and high efficient PAs like class D, class E, and class F based on LDMOS and wide-bandgap devices.

Herbert Zirath (S’84–M’86) received the M.Sc. and Ph.D. degrees from the Chalmers University of Technology, Gothenburg, Sweden, in 1980 and 1986, respectively. He is currently a Professor of High Speed Electronics with the Department of Microtechnology and Nanoscience, Chalmers University of Technology. In 2001, he became the Head of the Microwave Electronics Laboratory (MEL). He currently leads a group of approximately 70 researchers in the area of high-frequency semiconductor devices and circuits. His main research interests include InP-high electron-mobility transistor (HEMT) devices and circuits, SiC- and GaN-based transistors for high-power applications, device modeling including noise and large-signal models for field-effect transistor (FET) and bipolar devices, and foundry related MMICs for millimeter-wave applications based on both III–V and silicon devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2013

Integrated Silicon Schottky Mixer Diodes With Cutoff Frequencies Above 1 THz Michael Morschbach, Andreas Müller, Claus Schöllhorn, Michael Oehme, Thomas Buck, and Erich Kasper

Abstract—In this paper, fully monolithic integrated Schottky diodes on a high-resistivity (HR) silicon substrate with cutoff frequencies above 1 THz are presented. As HR silicon substrate, a common float-zone substrate was used. The necessity of an optimized layer design will be discussed. As it will be shown, cutoff frequencies above 1 THz are possible even for large area diodes with an optimized layer design, which provides the so-called MOTT operation. The demands for the layer design to realize MOTT operation and the resulting advantages for the component will be discussed in detail. The used fabrication process, which combines two separate standard processes, is explained briefly. The results of the electrical measurements and the achieved cutoff frequency will be summarized. To demonstrate a monolithic integration, the presented Schottky diodes have been manufactured in a process wherein RF microelectromechanical systems switches have been successfully produced. As a key application, a subharmonic mixer, with a 24-GHz RF signal and a 12-GHz local-oscillator signal, will be discussed. Index Terms—Cutoff frequency, high-resistivity (HR) substrate, integrated, monolithic, MOTT operation, Schottky diode, short distance radar, silicon, 24-GHz mixer.

I. INTRODUCTION

O

VER THE last few years, a large increase of the operating frequency of applications in many different fields could be observed. For example, RF- and radar-based sensors are used in numerous fields. In the automotive sector, possible applications are distance control of a driving car or precaution sensors. One aspect for the operating frequency is that, with the increase of the frequency, the lateral dimensions of waveguides and circuits get smaller. Considering that large-scale integration is a key requirement for low-cost production, the integration combined with the miniaturization of the components will further decrease the production costs. With increasing packing density and integration level, the need for fully monolithic integrated systems and subsystems, as well as the need for higher operating frequencies, gain in importance for modern applications in communication and radar technology. As Schottky diodes are well known for their very high cutoff frequencies, circuits containing Schottky diodes with high operating frequencies have to be realized to enable applications with operating frequencies up to the lower terahertz range. In order to achieve a silicon-based integrated

Manuscript received September 30, 2004; revised February 20, 2005. This work was supported by the German Ministry for Education and Research under the GIGATECH Project. The authors are with the Institute of Semiconductor Engineering, University of Stuttgart, 70569 Stuttgart, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848831

Fig. 1. Cross section of the realized Schottky diode, after etching the mesa, passivation of the surface, and contacting with NiSi and interconnecting with aluminum. The used layer stack is also shown.

Schottky diode with cutoff frequencies above 1 THz, the advantage of MOTT operation [1] and [2] is utilized. In this paper, we outline that MOTT operation will provide cutoff frequencies above 1 THz, even for large area diodes, which allows a broad range of impedances to be used in the circuit design. First, results of a 24-GHz mixer [3], which have been realized with such Schottky diodes, are presented. By demonstrating with large area diodes that cutoff frequencies above 1 THz are possible by an improved layer design, a further increase of the cutoff frequency will be the consequence if the diode area is reduced. Therefore, applications with operating frequencies with some 100 GHz, or even in the lower terahertz range, seem to be possible with integrated systems. II. EPITAXIAL GROWTH AND FABRICATION The layers of the Schottky diode have been grown by molecular beam epitaxy (MBE). As substrate for the epitaxial layers, a high-resistivity (HR) float-zone (FZ) silicon substrate was used. cm. The thickThese FZ wafers have a resistivity of nesses and doping concentrations of the used layers are shown in Fig. 1. The investigated Schottky diodes are fabricated within a silicon-based monolithic integration process. In the used integration process, an integrated subharmonic mixer consisting of Schottky diodes and microelectromechanical systems (MEMS) switches is produced as a silicon monolithic millimeter-wave integrated circuit (SIMMWIC). The mixer and waveguide structure were fabricated in a front end of line (FEOL) process and the switches are added in a back end of line (BEOL) surface micromechanical process. To suppress negative influences of

0018-9480/$20.00 © 2005 IEEE

2014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the single processes on against each other, compromises have to be made. The used epitaxial growth is described in more detail in [4]. The fabrication process is described in more detail in [5] and [6]. III. MOTT-BARRIER OPERATION The MOTT-barrier operation or MOTT operation was described firstly by N. F. Mott and, therefore, named after him. Issues of Schottky and MOTT diode mixer operation for high frequencies were mainly discussed with cooled discrete devices from III/V material [7]–[14]. The recent advances with epitaxial techniques on HR silicon substrates will also allow to realize SIMMWIC circuits with Schottky mixers in MOTT operation. This is mainly caused by the ability to create abrupt transitions within nanometer dimensions between the 10 cm doped 10 cm Schottky buried layer (BL) and the low-doped layer (SL). A. MOTT Operation MOTT operation is defined as the operation mode in which the depletion region reaches through the whole low doped epitaxial layer, here the SL, even under forward bias [1], [2]. Therefore, the thickness of the SL, as well as the doping concentration, have to be adjusted so that, with applied operation voltage, the depletion region reaches through the SL. For almost intrinsic doping concentrations of the SL, the depletion region reaches through the entire SL. This results in the smallest possible capacitance and series resistance of the diode [16]. depends on the inverse product of As the cutoff frequency the series resistance and capacitance of the diode, both parameters have to be minimized. As will be shown, MOTT operation is the only operation mode possible to minimize both the capacitance and series resistance at the same time. To use the advantage of MOTT operation, the thickness and doping concentration of the SL have to be optimized. The condition that the depletion region reaches through the entire SL at the operation voltage can be calculated according to the width . of the depletion region and the Schottky barrier height Fig. 2 shows the calculated depletion width for a Schottky diode with a layer thickness of 250 nm using the well-known formula after [15]. For the calculation, a Schottky barrier height eV and a permittivity of silicon of were of assumed. In Table I, the parameters used for the calculation of Fig. 2 are summarized. With decreasing doping concentration, an increase of the depletion width can be seen up to the SL thickness. The dependence on the applied operation voltage can also be seen in Fig. 2. For positive voltages, only a smaller layer width for the same doping concentration can be depleted. Therefore, a smaller doping concentration is necessary to obtain MOTT operation for higher operation voltages. To obtain MOTT operation, the doping concentration of the so that the width of SL have to be equal to or lower than the depletion region is equal the thickness of SL or, even better, is stopped by the high doped BL (punch through factor 1). can be calculated if the width The doping concentration

Fig. 2. Width of the depletion region in dependence of the doping concentrations of the SL for different applied voltages, a constant Schottky barrier height of 8 = 0:6 eV, and a layer thickness of d = 250 nm are assumed. TABLE I PARAMETERS ASSUMED FOR FIG. 2

of the depletion region is equal the thickness of the SL. Based on the formula of the depletion width of a Schottky diode, the can be calculated as maximum doping concentration follows:

(1) One has to consider, for zero bias operation, that the diode voltage is defined in the forward direction by the rectification of the RF power. The dynamic range of MOTT operation is principally limited by the requirement not to surpass the built-in voltage. B. Series Resistance The series resistance of the monolithic integrated Schottky diode with symmetrical ground contacts can be calculated as follows: (2) and resistance of the interconThe contact resistance nection metal are defined by the circuit layout. The layer resisdepends on the doping concentration and thickness tance of the BL. Therefore, the resistance can be minimized by a high doping level of the BL, the thickness of the BL cannot be increased too much because of the critical thickness for epitaxial growth. The resistance of the low-doped epitaxial layer, here the of SL, can be minimized to zero according to (3), if the width the depletion region is equal or larger than the thickness of the

MORSCHBACH et al.: INTEGRATED SILICON SCHOTTKY MIXER DIODES

2015

SL. This is the case if MOTT operation is obtained. For MOTT is the minoperation, therefore, the series resistance imal possible series resistance of the diode. If no MOTT operation is obtained, the series resistance is much higher because corresponding to of the low specific resistance of the SL is the thickness of the SL and the low doping level. In (3), is the area of the Schottky contact (respectively, the area of the contact hole). For high current flow, the width of the depletion region is zero and the series resistance of the SL as follows: reaches the maximal value

TABLE II PARAMETERS OF REALIZED MIXER DIODES AT OPERATION VOLTAGE V = 0:0 V

(3) IV. INTEGRATED MIXER APPLICATION For MOTT operation, , . The series resistance of a diode can, therefore, be expressed as follows: (4) Herein, the series resistance in MOTT operation is the minimal possible series resistance of the diode and consists after (2) of the sum of the half contact resistance, half resistance of the BL, and resistance of the interconnect. C. Diode Capacitance The junction capacitance of a Schottky diode is inverse proportional to the width of the depletion region. The junction capacitance of the diode is proportional to the contact area, square root of the doping level of the SL, and inverse square root of the built in voltage as follows: (5) For MOTT diode operation when junction capacitance is obtained as follows:

, the minimum

(6) is the minimal possible capacitance The capacitance and depends on the thickness of the SL. The capacitance can be decreased by a larger thickness of the SL. A larger thickness of the SL demands a lower doping profile so that MOTT operation can be obtained. It is obvious that, for obtaining MOTT operation, the thickness and doping concentration of the SL have to be optimized. D. Cutoff Frequency The inverse of the transit time multiplied with yields the so-called cutoff frequency . The cutoff frequency can be increased by decreasing the capacitance and series resistance. As discussed earlier, MOTT operation is the only operation mode for which both the series resistance and capacitance are minimal. Therefore, the maximum possible cutoff frequency is obtained, and then the cutoff frequency is independent of bias as long as MOTT operation is achieved as follows: (7)

Silicon-based monolithic millimeter-wave integrated circuits are attractive candidates for future civil applications, e.g., in automotive radars from 24 to 80 GHz. In a first attempt, we have tested a 24-GHz subharmonic mixer to get a comparison between experiment and well-established modeling. Two major aspects of the mixer design are covered in detail. First, the diodes are characterized. The dc and RF parameters have to be extracted for creating an appropriate diode model and for the evaluation of any parasitic effects. Secondly, with a precise diode model available, the circuit design is started. It was decided to implement a subharmonic mixer that operates at the second harmonic of the LO signal (SHM 2 ), as proposed in [17], with a low IF, i.e., the so-called zero IF. The mixer is manufactured using coplanar waveguides on silicon, as presented in [4]. A. Diode Characterization and Modeling In order to obtain all relevant diode parameters, dc and RF measurements were accomplished. For this purpose, single Schottky diodes embedded in a coplanar environment were measured. A deembedding technique after [18] was used for the RF measurements. Table II sums up the measured parameters of a large area diode, as presented earlier in [19]. The MOTT mode of operation valid in these diodes up to 0.1 V forward voltage holds the capacitance and series resistance roughly constant. However, with higher forward voltages, the diodes switches to the normal Schottky mode behavior with strongly voltage-dependant capacitances and series resistances. It is important to implement a model that describes the rising series resistance depending on the applied voltage. The model is based upon the standard SPICE diode model with an extended series resistance behavior. For an ideal MOTT diode, the tranand the series resistance at high cursition between rent flow would be abrupt. However, in reality, the transition is not perfectly abrupt. According to the need of a continuous and fully differentiable characteristics for the computer-aided design (CAD) simulation, the following approximation formula instead of (4) was used to model the transition of the resistance: (8) includes the contact resistance and BL resistance, which is voltage independent. depicts the resistance that is contributed by the active SL when the space charge region collapses for voltages reaching and exceeding the built-in

2016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 3. Series resistance of the diode model for voltages between 0–0.5 V compared to measured values.

Fig. 4. Measured real part of the diode impedance versus simulated behavior of the implemented diode model.

voltage . is a parametric variable that is used to fit the approximation formula (8) to the exact formula (4). Fig. 3 shows the experimentally extracted series resistance for 0–0.5 V versus the implemented model characteristics. The values of the series resistance have been extracted out of RF measurements for different applied dc voltages. Fig. 3 shows some difference between the modeled characteristics and measured values. Nevertheless, it describes the increase of the series resistance sufficiently. Using this resistance model, the diode impedance can be calculated dependent on the applied voltage and frequency. As an example, Fig. 4 depicts the measured real part of the diode impedance in comparison to the simulated results of the MOTT model for a bias range from 0.1 to 0.3 V. For frequencies below 35 GHz, the measured and modeled performance differ in some way. This is due to the RF signal that is partially rectified and, therefore, shifts the diode’s bias point to higher values. This bias shift is higher for 30–40 GHz because of the used measurement sources. For the curve at 0.3-V bias, the deviation of the two curves can be explained by the higher series resistance of the diode model in this region (compare Fig. 3). Summarizing the measured and modeled characteristics of the diode, it can be stated that the implemented diode model with the mentioned necessary restrictions describes the transition from MOTT to Schottky mode behavior adequately.

Fig. 5. Schematic of the subharmonic mixer (SHM 2 ).

B. Subharmonic Mixer Design An essential advantage of subharmonic mixers using an antiparallel diode pair is that there is no interaction of the two fundamental signals, which can be expressed as in [20] for

odd

(9)

Moreover, the antiparallel diode pair is also suited for higher even-order subharmonic mixers. As an additional advantage, a mixer without external bias is anticipated. When the mixer design was started, the diode parameters were set to values extracted from earlier Schottky diode samples.

2

The mixer’s coplanar elements, above all the matching structures, were designed for this initial diode impedance level that strongly differs from the finally reached diode performance, as listed in Table II. The schematic of the subharmonic mixer is shown in Fig. 5. The open local oscillator (LO) quarter-wavelength stub provides the diodes with maximum power for the LO signal. The shorted RF half-wavelength stub assures the same for the RF signal. Additionally, both stubs mutually decouple the LO and RF port. The two high-resistive RF quarter-wavelength stubs in the IF branch decouple the IF port from the two high-frequency ports. The LO wavelength is double the RF wavelength. Due to this, all the LO quarter-wavelength stubs can be expressed as RF half-wavelength stubs and vice versa. The circuit was simulated using the harmonic-balance method in order to evaluate the conversion loss and the matching of the mixer. The simulation data are presented with the measurement results. For the simulation, the Advanced Design System (ADS) from Agilent Technologies, Palo Alto, CA, was used. V. MEASUREMENTS AND RESULTS OF A 24-GHz MIXER For realization of the design with a SIMMWIC circuit a frequency of 24 GHz (LO frequency: 12 GHz) was chosen because

MORSCHBACH et al.: INTEGRATED SILICON SCHOTTKY MIXER DIODES

2017

in the power range around 0 dBm, where the diodes are still driven in MOTT mode, the absolute value of the port matching is between 5–7 dB. This is finally the main reason for the mixer’s average conversion-loss performance. Although it can be stated that the RF-port matching is improving for higher LO power levels, the breakdown of the MOTT mode and, thus, the rise of the series resistance, even degrade the conversion-loss characteristics. VI. CONCLUSION

=

Fig. 6.

Conversion loss of the subharmonic mixer versus LO power at f

Fig. 7. power.

Absolute value of the RF port reflection factor versus applied LO

24:01 GHz.

of the broad usage in short-distance radar systems. The most important figure-of-merit for a mixer is the conversion loss. As the circuit is based on self-biasing diodes, it is important to determine the conversion loss in dependency of the applied LO power level that pumps the diodes. Fig. 6 shows the results. The simulation predicts a minimum conversion loss of 14.9 dB for an LO power of 4 dBm. The measured conversion loss is slightly shifted in comparison to the simulation. A real conversion loss of 15.2 dB is measured for a low-power level of 1.5 dBm and zero-bias operation. Both curves show the same characteristics across the overall power range. The minimum in conversion loss is defined by the limited range of MOTT operation of the realized diodes. Higher LO powers shift the operation mode into the Schottky regime. A further way to investigate the performance of the design is to determine the matching of the RF port. This directly has an effect on the conversion loss of the mixer. Fig. 7 shows the RF port matching versus the LO power. The measurement data deviate noticeably from the simulated curve for LO power levels much greater than 0 dBm. However,

As presented here, a cutoff frequency above 1 THz is possible, even for monolithic integrated large area silicon diodes, by using MOTT operation. Therefore, MOTT operation can be the key operation mode for SIMMWICs. As test circuit, an integrated 24-GHz subharmonic mixer, which was produced with an integrated Schottky (FEOL) and MEMS (BEOL) process, has been presented. To give a further understanding of the MOTT operation and its limits, the influence of the bias voltage on the resulting series resistance and for the diode capacitance has been discussed. To give a guidance for designing Schottky diodes and applications using MOTT operation, the range of the applied operation voltage in dependence on the depletion width has been discussed in detail. The fabricated diodes have been characterized by measuring dc and RF performance up to 50 GHz. The evaluation of the diode parameters is transferred into a model for the series resistance characteristics in the transition regime between MOTT and Schottky mode operation. Future research will concentrate on increasing the frequency range of MOTT mode operation to test the integrated diodes in millimeter-wave circuits. To demonstrate the functionality of the integrated process and of the Schottky diodes, a subharmonic mixer has been produced and investigated. The mixer topology uses an antiparallel diode pair that is pumped with the second harmonic of a 12-GHz LO signal and down converts an RF signal of 24.01 GHz to a low IF. A minimum conversion loss of 15.2 dB for a low LO power of 1.5 dBm and zero-bias operation is a good result for a MEMS-integrated subharmonic mixer with monolithic integrated Schottky diodes. As the matching circuits are not optimally designed for the implemented Schottky diodes, the performance of the mixer is limited for the given design. With a redesign tailored to the actual diode impedance, and to the reduced power conditions for MOTT operation, the performance, with regard to the conversion loss, can be improved. REFERENCES [1] S. M. Sze, Physics of Semiconductor Devices. New York: Wiley, 1969, ch. 8, sec. 7, pp. 414–414. [2] N. F. Mott, “Note on the contact between a metal and an insulator or semiconductor,” in Proc. Cambridge Philosophical Soc., vol. 34, Oct. 1938, pp. 568–572. [3] T. Buck, M. Reimann, M. Ulm, R. Mueller-Fiedler, J. Seidel, E. Kasper, C. Schöllhorn, and S. Haak, “Monolithic integration of RF-MEMS and semiconductor devices for the K -band,” in Proc. SPIE, vol. 4981, CA, Jan. 2003, pp. 131–138. [4] C. Schöllhorn, W. Zhao, M. Morschbach, and E. Kasper, “Attenuation mechanisms of aluminum millimeter-wave coplanar waveguides on silicon,” IEEE Electron Devices, vol. 50, no. 3, pp. 740–746, Mar. 2003.

2018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[5] M. Morschbach, C. Schöllhorn, M. Oehme, and E. Kasper, “RF-Schottky diodes with Ni silicide for mixer applications,” in Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting Dig., vol. 1, Apr. 9–11, 2003, pp. 122–125. [6] C. Schöllhorn, M. Morschbach, S. Haak, J. Seidel, and E. Kasper, “System integration von Mikrowellen Schottky-Mischern und MEMS-HF-Schaltern,” J. Telecommun., vol. 57, no. 3–4, pp. 45–50, Mar. 2003. [7] J. L. Hesler, W. R. Hall, T. W. Crowe, M. Weikle, B. S. Deaver, and R. F. Bradley, “Fixed-tuned submillimeter wavelength waveguide mixers using planar Schottky-barrier diodes,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 653–658, May 1997. [8] T. W. Crowe, T. Globus, D. L. Woolard, and J. L. Hesler, “Terahertz sources and detectors and their applications to biological sensing,” Philos. Trans. R. Soc. London A, Math. Phys. Sci., vol. 362, no. 1815, pp. 362–377, 2004. [9] N. J. Keen, K.-D. Mischerikow, G. A. Ediss, R. Engelhardt, E. Perchtold, and M. Vester, “Measurements with a Schottky-barrier waveguide mixer at 460 GHz,” Infrared Phys., vol. 25, no. 1/2, pp. 353–356, 1985. [10] P. H. Siegel and A. R. Kerr, “The measured and computed performance of a 140–220 GHz Schottky diode mixer,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 12, pp. 1579–1590, Dec. 1984. [11] N. J. Keen, K. D. Mischerikow, G. A. Ediss, and E. Perchtold, “Low-noise 460 GHz waveguide Schottky mixer radiometer for radioastronomy,” Electron. Lett., vol. 22, no. 7, pp. 353–355, Mar. 1986. [12] N. J. Keen, “Hot-electron noise limitations in submillimeter-wave Schottky-barrier mixer diodes,” Electron. Lett., vol. 21, no. 1, pp. 2–3, 1985. [13] M. V. Schneider, A. Y. Cho, E. Kollberg, and H. Zirath, “Characteristics of Schottky diodes with microcluster interface,” Appl. Phys. Lett., vol. 43, no. 6, pp. 558–560, Sep. 1983. [14] E. L. Kollberg, H. Zirath, and A. Jelenski, “Temperature-variable characteristics and noise in metal–semiconductor junctions,” IEEE Trans. Microw. Theory Tech., vol. 34, no. 9, pp. 913–922, Sep. 1986. [15] S. M. Sze, Physics of Semiconductor Devices. New York: Wiley, 1969, ch. 8, sec. 3, pp. 370–370. [16] M. M. Coll and M. F. Millea, “Advantages of MOTT barrier mixer diodes,” Proc. IEEE, vol. 61, pp. 499–504, 1973. [17] S. Raman and G. M. Rebeiz, “A 94 GHz unipolar subharmonic mixer,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 1996, pp. 385–388. [18] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in IEEE Bipolar Circuits and Technology Meeting, vol. 1, Minneapolis, MN, Sep. 1991, pp. 188–191. [19] M. Morschbach, C. Schöllhorn, M. Oehme, E. Kasper, A. Müller, and T. Buck, “Integrated Schottky mixer diodes with cut-off frequencies above 1 THz,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1133–1136. [20] S. A. Maas, Microwave Mixers. Norwood, MA: Artech House, 1986, ch. 7, sec. 3, pp. 245–248.

Michael Morschbach received the Dipl. Ing. degree in electrical engineering from the Institut für Halbleitertechnik, University of Stuttgart, Stuttgart, Germany, in 2002. Since 2002, he has been responsible for the dc and RF measurements and the characterization of measured devices. He is currently involved with the realization of silicon millimeter-wave integrated circuits (SIMMWICs). His main research interests are electrical analysis of Schottky and IMPATT diodes. He is also involved with short distance radar systems under government funded projects.

Andreas Müller received the Dipl. Ing. degree in electrical engineering from the University of Ulm, Ulm, Germany, in 2002. Since 2002, he has been involved with the design of SIMMWICs via corporate research and development with Robert Bosch GmbH, Gerlingen, Germany, in cooperation with the Institut für Halbleitertechnik, University of Stuttgart, Stuttgart, Germany. He is responsible for RF device characterization and circuit design. His main research interests are millimeter-wave mixers using Schottky diodes, passive components, and radar systems.

Claus Schöllhorn received the Dipl. Ing. degree in electrical engineering from the Institut für Halbleitertechnik, University of Stuttgart, Stuttgart, Germany, in 1994. From 1994 to 1995, he was responsible for surface analysis of silicon germanium quantum dots. From 1996 to 2004, he was involved with the realization of SIMMWICs. His main research interests are the technological realization and analysis of RF oscillators and mixers using IMPATT and Schottky diodes.

Michael Oehme was born in Jena, Germany, in 1972. He received the Dipl.-Phys. degree from the University of Jena, Jena, Germany, in 1997, and the Ph.D. degree from the University of Stuttgart, Stuttgart, Germany, in 2003. His doctoral dissertation concerned determination of doping concentrations. From 1997 to 2003 he was a Research Assistant with the Institute of Semiconductor Engineering, University of Stuttgart, Germany, where he was involved in the growth of semiconductor components with MBE. He is currently involved with the growth of thin-film layers and virtual substrates with the Institute of Semiconductor Engineering.

Thomas Buck, photograph and biography not available at time of publication.

Erich Kasper received the Ph.D. degree in physics from the University of Graz, Graz, Austria, in 1971. His doctoral dissertation concerned electrical properties of dislocations in silicon. He was active as a Scientist with the research laboratories of Telefunken, AEG and Daimler-Benz. His main research concerned solid-state analysis by X-ray topography and electron microscopy, material synthesis by MBE, and semiconductor device preparation for microwave applications. Beginning in 1987, he was responsible for novel silicon devices and technology with Daimler-Benz Research, Ulm, Germany, with a main emphasis on SiGe/Si-based heterostructures for fast transistors (HBTs, MODFETs) and opto-electronic tranceivers (ultrathin superlattices). Since 1993, he has been with the University of Stuttgart, Stuttgart, Germany, as Professor of electrotechnics and Head of the Institute of Semiconductor Engineering. His main interest is directed to silicon-based nanoelectronics, integration of millimeter-wave circuits, and SiGe/Si quantum-well devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2019

Fast Optimization and Sensitivity Analysis of Nonintuitive Planar Structures Delia Cormos, Renaud Loison, and Raphaël Gillard, Member, IEEE

Abstract—In this paper, the new multistructure fast computation technique based on the method of moments (MoM) is applied to the optimization of printed structures with a genetic algorithm. Simultaneous analysis of different structures with the new technique leads to important time savings in comparison to an equivalent direct MoM implementation. Several structures with nonintuitive shapes are fabricated and tested. Numerical and technological sensitivities for the different realized structures are compared. The bandwidth optimization of an antenna fed by electromagnetic coupling with a microstrip line is also presented. Excellent agreement between numerical and measured results is observed. Index Terms—Fast electromagnetic (EM) optimization, method of moments (MoM), microstrip antennas. Fig. 1.

I. INTRODUCTION

A

MONG existing numerical techniques, the method of moments (MoM) [1] stands for one of the most frequently used for printed structures. Although accurate and efficient for small-scale electromagnetic (EM) problems, the MoM can be very time consuming with the increase of the electrical size of circuits. Simulation time can also become prohibitive in an optimization process where several iterations are performed [2]. The new multistructure method of moments (MSMoM) has been presented for one-dimensional (1-D) structures in [3] as an efficient way to speed up the MoM when successive simulations are involved. Note that the MSMoM itself is not a fast solver, as it does not reduce the computation time for a single simulation. The original idea of the MSMoM is to simultaneously analyze several structures, whose metallic parts are slightly different, using a single simulation. This concept avoids a large amount of recomputation and, therefore, permits drastic reduction of the computation time when tuning a structure to be optimized. The MSMoM has been implemented for two-dimensional (2-D) structures and successfully applied to the design of a classical patch antenna [4]. This paper has two main objectives. The first objective consists of evaluating the MSMoM efficiency in an optimization process. The combination of the MSMoM with a genetic algorithm (GA) produces nonintuitive antenna designs, as obtained in [5] with a classical MoM. This leads to the second objective of this paper, which consists of analyzing the design sensitivities of the resulting antennas and defining some guidelines for their modeling and fabrication. Manuscript received September 30, 2004; revised December 12, 2004. The authors are with the Rennes Institute of Electronics and Telecommunications, National Institute of Applied Sciences, 35043 Rennes, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848833

Extraction of DSs from an MS.

The general principles of the MSMoM are presented in Section II. In Section III, the MSMoM is applied to the GA optimization of microstrip antennas. Its performance are compared to those of a classical MoM. Section IV presents the numerical and technological sensitivities of the optimized prototypes. Section V presents the bandwidth optimization of an antenna with the MSMoM, and Section VI draws some conclusions. II. MSMoM FORMULATION In the MSMoM approach, a mother structure (MS) with a large metallic part discretized in elementary cells is first considered (Fig. 1). It defines a linear system whose resolution yields the electric current densities flowing on the MS. Daughter structures (DSs) are then extracted from the MS by suppressing elementary cells, i.e., by vanishing the currents on these cells. As demonstrated in [3], this can be achieved without solving any new linear system. Indeed, all the information required to study the DS is already present in the MS analysis. In practice, currents on any DS are deduced rigorously from the original currents flowing on the MS using a simple analytical post-processing. This post-processing only requires additional excitation vectors for each expected DS in the original MS linear system. The MS is called structure 0, the first DS (one removed cell) is called structure 1, the second DS (two removed cells) is called structure 2, and so on. different DSs, the original system to be solved is then For given by (1) where tures),

is the actual excitation vector (the same for all struc, , is an excitation vector with zero elements,

0018-9480/$20.00 © 2005 IEEE

2020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

except the element corresponding to the current to be vanished, is the current vector on structure in response to the and . excitation vector For simplicity, consider the case of a single DS with only one suppressed cell (we note it cell ). It may, for example, corresponds to in Fig. 1. The current on the can simply (the current on be derived by analytical manipulations from the MS) without any additional matrix resolution as follows: (2) In (2), column

of

is computed as (3)

Note that the current vector (3) is available since it was calculated with the original system (1). different DSs are involved, a For the general case, when recursive approach is performed, as described in [3]. This technique can also be described by viewing each cell as a port. A short-circuited port corresponds to a metallized cell, while an open port is equivalent to a suppressed cell. The MSMoM is particularly suitable for an iterative optimization procedure in which an initial structure is progressively updated until it satisfies specified goals. Practically, the MS must be defined as the union of all possible DSs in the optimization procedure (i.e., the structure including all possible substructures). Doing so, any updated version of the structure to be optimized can be regarded as a potential DS. As a result, its analysis does not require any new complete EM calculation and the total simulation time is largely reduced. III. MSMoM EFFICIENCY GAs [6] have been largely used for the optimization of microstrip circuits [7]–[9] and antennas [10], [11]. Here, we demonstrate the benefit that can be taken from the combination of a GA and the MSMoM. The choice of a GA is motivated by its performance and adaptability to the nature of our problem (discrete and multiple search space). Fig. 2 presents the considered rectangular patch to be optimized. In this paper, bandwidth enlargement is the main issue, but other constraints could be included in the optimization process with no loss of generality. The patch antenna is printed and on a dielectric substrate with a dielectric constant a height mm. For the optimization procedure, the MS represents the union of all possible DSs. For this case, it corresponds to the largest possible metallic structure (full metallized area). The optimization procedure then consists of deleting cells in an area mm and mm bounded by (Fig. 2) until the return loss for the studied antenna fits a given goal. In this case, the goal consists of minimizing the voltage standing-wave ratio (VSWR) for the two extreme frequency points 9, 9.3 GHz of the required bandwidth. All antenna external dimensions are fixed. Only the shape of the metallization in the area varies. Note that an asymmetrical MoM meshing ( mm and mm) is used as the currents density is mainly

Fig. 2. Studied antenna.

Fig. 3.

Typical DS and associated chromosome.

longitudinal. Also, only one cell is considered transversally on the line because the shape of the basis function includes edge singularity effects. Any analyzed structure corresponds to a potential DS. Fig. 3 presents a possible DS and the associated chromosome. Note that only half of the variation area is codified in the GA chromosome, as the antenna symmetry is forced in order to guarantee an polarized radiated field above the antenna. With the chosen meshing, the GA chromosome length (i.e., number of genes) is 36. The number of possible antennas is then 2 (68 719 476 736). In practice, only 8372 chromosomes (i.e., antennas) are evaluated by the GA before reaching the optimal antenna. The CPU times required by the MSMoM and MoM to perform the whole optimization are compared in Table I. It shows that using the MSMoM permits a large reduction of the total simulation time (the MSMoM time represents approximately 13% of the MoM simulation time for all antennas). With the MoM, each studied antenna requires the resolution of a new linear system. The system order varies from 390 for the smallest antenna to 534 for the largest one. In fact, 16 744 (8372 chromosomes 2 frequency points) different simulations whose CPU time varies from 0.7 s to 1.45 s have to be performed. For calculating the total CPU time for the MoM, only the lowest time is considered (0.7 16 744). It should be noticed that this gives an advantage to the classical MoM. With the MSMoM, only the MS linear system with 144 additional excitation vectors has to be solved for two frequency points (4.64 s). The MSMoM post-processing additional time to deduce all cases is only 1512 s.

CORMOS et al.: FAST OPTIMIZATION AND SENSITIVITY ANALYSIS OF NONINTUITIVE PLANAR STRUCTURES

2021

TABLE I COMPUTATIONAL TIMES FOR THE WHOLE OPTIMIZATION. (a) MSMOM. (b) MOM

Fig. 4. Geometry of the optimal patch antenna.

The presented example involves a uniform meshing on the patch antenna. However, the MSMoM also permits to use a nonuniform grid. For example, we can split the MS in two parts. A fine grid could be used in the area where cells can be suppressed and also on all permanent edges. This permits to represent all the edge singularities with a constant accuracy without increasing the mesh density for the whole structure. A coarse grid could be applied in the fixed area, the one that is common to all DSs. Just note that a uniform mesh sometimes permits to have quicker simulations (even when associated with a large number of cells). This is due to the numeric invariance that appears in the MoM matrix (Toeplitz matrix), resulting in important time savings when computing such matrices. As an illustration, for the previous antenna (smallest one), a commercial MoM code (Momentum, Agilent Technologies, Palo Alto, CA)1 with nonuniform edge meshing and a typical grid size requires approximately 6 s for one frequency point instead of 0.7 s for our code. It has been shown in [4] that the MSMoM is more powerful when the number of analyzed structures is rather important and when the MS is not much larger than the studied antennas. Therefore, as for the previous antenna, the optimization area should not be very large. Indeed, if the MS is too big, its resolution might be beyond the capability of the computer. Moreover, the resolution of a big MS with all the additional solutions could be penalizing by comparison with a conventional MoM. The antenna geometry associated to the optimal chromosome is shown in Fig. 4. It has been shown in [12] that such an antenna can exhibit a much larger bandwidth than a classical patch antenna (fed with an inset microstrip line). However, its perturbed shape [13] results in numerous discontinuities, which are expected to create complex coupling effects and field singularities. As a consequence, it is expected that such an antenna will be difficult to model accurately and to fabricate, as demonstrated in Section IV. IV. DESIGN SENSITIVITIES A. Sensitivity Measurement In Sections IV-A–D, the sensitivity of the GA optimized antenna will be studied from both the numerical and technological viewpoints. 1[Online].

Available: http://www.agilent.com

Fig. 5.

Geometry of the classical patch antenna with identical notches.

The robustness of the results will be evaluated for two quantities. The first one is the VSWR over the [8.8; 9.6] GHz frequency range. It gives a global overview of the antenna behavior. . It The second one is the achieved bandwidth gives a more specific insight into the practical performance. The robustness of the results will be defined in comparison with a reference case (referred with index “ref”). For the VSWR, we define an average difference as

(4) where is the number of frequency points for which the VSWR is evaluated. For the bandwidth, we define a relative difference as follows: (5) The robustness of the results of the GA optimized antenna will also be compared to that of a classical antenna. The discretized shape of the classical patch antenna fed with an inset microstrip line is shown in Fig. 5, the substrate and elementary cells are identical to those defined in Fig. 2. B. Numerical Sensitivity Here, the numerical sensitivity of the GA optimized antenna is evaluated. To do this, the numerical convergence is studied as a function of the mesh density for both the GA optimized antenna and classical antenna. For this study, both antennas are analyzed using three different mesh sizes. The coarse mesh is defined by and , where is the guided wavelength on a 15.4-mm-wide microstrip line at 9.1 GHz. The fine mesh is obtained by dividing coarse 1 by two in the two directions. The very fine mesh is obtained by performing the same operation on the fine one. It should be noted that the coarse mesh was used in the optimization procedure detailed in Section III.

2022

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Mesh size effect. (a) Classical antenna. (b) GA optimized antenna. Fig. 7. Etching effect. (a) Classical antenna. (b) GA optimized antenna. TABLE II NUMERICAL CONVERGENCE AND PRACTICAL PERFORMANCE

Fig. 6 presents the computed VSWR using the three different meshes for both the classical antenna and the GA optimized antenna. For this study, the reference case corresponds to the very fine mesh case. The results in terms of numerical convergence and practical performance [ (%)] are compared in Table II for both the classical antenna (Cla.) and GA optimized antenna (GA Opt.). These results show that the GA optimized antenna is more sensitive to the mesh density than the classical antenna. For instance, the differences between coarse and very fine meshes are more than twice as large for the GA optimized antenna compared to the classical antenna. As a consequence, for such antennas with unconventional shapes, the mesh size should be reduced in order to account for the numerous discontinuities.

C. Technological Sensitivity Here, the technological sensitivity of the GA optimized antenna is studied. To do this, the GA optimized and classical antennas are fabricated using three different processes. The first case is obtained using a “normal” etching process. For the second one, the etching time for metallization is shorter so that a 55- m under-etching is achieved. For the third case (the reference case), the etching time for metallization is longer so that a 35- m over-etching is achieved. It is chosen as a reference case, as its results are the closest to the simulated ones. The measured results for both the classical antenna and GA optimized antenna are presented in Fig. 7. between the two extreme The average difference etching configurations and the practical performance [ (%)] are resumed in Table III. For the GA optimized antenna, as shown in Fig. 7(b), there are important differences between the two extreme etching configurations. Indeed, for the case 55- m under-etching, the antenna is not matched anymore (therefore, is not defined in Table III). These results show that the GA optimized antenna is more sensitive to the realization process than the classical antenna.

CORMOS et al.: FAST OPTIMIZATION AND SENSITIVITY ANALYSIS OF NONINTUITIVE PLANAR STRUCTURES

2023

TABLE III AVERAGE DIFFERENCES AND PRACTICAL PERFORMANCE

Fig. 8. Corners etching for the realized prototypes. (a) 55-m under-etching. (b) Normal etching. (c) 35-m over-etching.

Fig. 9. Geometry of the new optimized antenna.

Fig. 10.

Fig. 11.

Geometry of the simple patch antenna. (a) Top view. (b) Side view.

Fig. 12.

Simulated and measured VSWR.

Etching effect for the new optimized antenna. TABLE IV AVERAGE DIFFERENCES AND PRACTICAL PERFORMANCE

In Section IV-D, it is demonstrated that the high technological sensitivity of the nonintuitive antenna mainly results from these metallic corner contacts. D. New Optimization Conditions

It must be noticed that the nonintuitive antenna differs from the conventional one by its numerous discontinuities, but also by the presence of metallic corner contacts (see Fig. 4). As shown in Fig. 8, the three tested etching configurations lead to important differences when corner contacts are encountered. Indeed, for the 55- m under-etching case and normal etching case, the etching lead to a more or less important electrical contact between the two metallic parts, while the 35- m over-etching case isolates them.

In order to show the influence of the metallic corner contacts, a new optimization process is considered. The fitness function is modified in order to penalize structures having corner contacts. The geometry of the new optimized antenna is presented in Fig. 9. Fig. 10 presents the VSWR for the three etching configurations. Table IV summarizes the average difference and practical performance [ (%)] for the new optimized antenna (New Opt.).

2024

Fig. 13.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

MS for the antenna fed by EM coupling with a microstrip-line feed.

Fig. 15.

Fig. 14. Optimal patch antenna. (a) General geometry. (b) Simulated and measured VSWR.

The differences have been largely reduced compared to the previous GA optimized antenna (with corner contacts). They now have the same order of magnitude than those obtained for the classical antenna. As a consequence, the rejection of structures with corner contacts improves the robustness of the performance in regards to the technological process. V. APPLICATION—BANDWIDTH OPTIMIZATION Here, we consider another example for which the GA optimized structure exhibits really improved practical performance

Simulated radiation patterns at 8 GHz. (a) E -plane. (b) H -plane.

compared to a more classical antenna. For an efficient optimization, we strictly follow the rules that have been established (fine mesh and no metallic corners). The starting point is a simple electromagnetically coupled rectangular patch antenna (Fig. 11) with 360-MHz (4.5%) bandwidth (Fig. 12). It defines the MS that will initiate the optimization procedure (Fig. 13). As in Section III, it consists of mm in order deleting cells in an area bounded by to minimize the VSWR for the two extreme frequency points 7.8, 8.4 GHz of the required bandwidth. As previously, the antenna symmetry is forced. For the mm MSMoM analysis of each antenna, a fine mesh ( mm) is used, as recommended in Section IV. and In order to reduce the GA chromosomes length (i.e., number of genes), 2 2 cells blocks are coded with only one gene, as illustrated in Fig. 13. With the chosen representation, the GA chromosome length is 30. The number of possible antennas is then 2 (1 073 741 824). The GA evaluates 2878 chromosomes (i.e., antennas) to find the optimal antenna. The antenna geometry associated with the optimal chromosome is shown in Fig. 14(a). This antenna presents a 11.5%

CORMOS et al.: FAST OPTIMIZATION AND SENSITIVITY ANALYSIS OF NONINTUITIVE PLANAR STRUCTURES

(930 MHz) bandwidth for a . Fig. 14(b) shows the VSWR over the 7.6–8.6 GHz frequency band. Thus, the bandwidth is 570 MHz larger (approximately 158%) compared to the classical patch antenna (360 MHz). The agreement between theory and measurements is excellent, which confirms that the absence of corners improves robustness. Fig. 15 shows antennas radiation patterns. As the symmetry is preserved, the unconventional design of the optimized antenna does not affect the -plane crosspolar. For the -plane copolar [see Fig. 15(a)], only small differences (1 dB) are observed. For the -plane [see Fig. 15(b)], although the crosspolar for the optimized antenna is 13 dB higher than for the classical antenna, 30 dB . it still stands very low VI. CONCLUSION In this paper, the application of the new MSMoM technique to design patch antennas has been presented. The MSMoM offers exactly the same accuracy as the classical MoM. When combined with a GA, it permits a significant reduction of the computational time required to optimize complex geometries. A design sensitivity study has outlined that special care must be paid to the design and fabrication of planar structures with unconventional geometries. A dense grid is required to correctly account for the numerous field singularities. The design must also prevent metallic corner contacts. This paper has demonstrated that the integration of these two rules in the optimization process results in structures with excellent performance and improved robustness. The bandwidth optimization of an antenna fed by EM coupling with a microstrip-line feed has been presented as an application of the new optimization procedure. An excellent agreement between numerical and measured results has been observed. We are now looking forward to applying the new technique combined with a GA to the optimization of large and complex structures such as printed arrays. REFERENCES [1] R. F. Harrington, Field Computation by Moment Method, ser. Elect. Sci.. New York: Macmillan, 1968. [2] J. M. Johnson and Y. Rahmat-Samii, “Evolutionary designs of integrated antennas using genetic algorithms and method of moments (GA/MoM),” in Electromagnetic Optimization by Genetic Algorithms, ser. Microwave Opt. Eng.. New York: Wiley, 1999, ch. 9, pp. 249–278. [3] D. Cormos, R. Loison, and R. Gillard, “A multistructure method of moment for EM optimization,” Microwave Opt. Technol. Lett., vol. 40, no. 2, pp. 114–117, Jan. 2004. [4] , “Design of patch antennas using a 2-D multistructure method of moments (MSMoM),” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 969–972. [5] L. Atalan, M. I. Aksun, K. Leblebicioglu, and M. T. Birand, “Use of computationally efficient method of moments in the optimization of printed antennas,” IEEE Trans. Antennas Propag., vol. 47, no. 4, pp. 725–732, Apr. 1999. [6] D. E. Goldberg, Genetic Algorithms in Search, Optimization, and Machine Learning. Reading, MA: Adison-Wesley, 1989. [7] Y. A. Hussein and S. M. El-Ghazaly, “Modeling and optimization of microwave devices and circuits using genetic algorithms,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 329–335, Jan. 2004.

2025

[8] S. Chakravarty, R. Mittra, and N. R. Williams, “On the application of the microgenetic algorithm to the design of a broad-band microwave absorbers comprising frequency-selective surfaces embedded in multilayered dielectric media,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1050–1059, Jun. 2001. [9] T. Nishino and T. Itoh, “Evolutionary generation of microwave line-segment circuits by genetic algorithms,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2048–2055, Sep. 2002. [10] F. J. Villegas, T. Cwik, Y. Rahmat-Samii, and M. Manteghi, “A parallel electromagnetic genetic-algorithm optimization (EGO) application for patch antenna design,” IEEE Trans. Antennas Propag., vol. 52, no. 9, pp. 2424–2435, Sep. 2004. [11] H. Choo and H. Ling, “Design of multiband microstrip antennas using a genetic algorithm,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 345–347, Sep. 2002. [12] D. Cormos, R. Loison, and R. Gillard, “A fast optimization of patch antennas using the new 2-D multistructure method of moments (MSMoM),” Proc. J. Int. Nice sur les Antennes, pp. 356–357, Nov. 2004. [13] D. Pissoort, H. Rogier, F. Olyslager, and D. De Zutter, “Design of a planar broad-band antennas using a genetic algorithm and the MoM,” in Proc. IEEE AP-S Int. Symp. Dig., vol. 2, Jun. 2002, pp. 334–337.

Delia Cormos was born on May 19, 1978, in Cugir, Romania. She received the Diplôme d’Ingénieur degree from the Institute of Computer Science and Communication (IFSIC), Rennes, France, in 2002, and is currently working toward the Ph.D. degree at the Institute of Electronics and Telecommunications of Rennes (IETR), Rennes, France. Her current research interests concern fast computational methods applied to the optimization of microwave circuits and antennas.

Renaud Loison was born on January 16, 1974, in Saint-Brieuc, France. He received the Diplôme d’Ingénieur and Ph.D. degrees from the National Institute of Applied Sciences (INSA), Rennes, France, in 1996 and 2000, respectively. In 2000, he joined the Institute of Electronics and Telecommunications of Rennes (IETR), Rennes, France, as an Associate Professor. His current research interests concern numerical methods applied to the computer-aided design (CAD) and optimization of microwave circuits and antennas. He is also involved with antenna design for future radio-communication systems.

Raphaël Gillard (M’04) received the Ph.D. degree in electronics from the National Institute of Applied Sciences (INSA), Rennes, France, in 1992. His thesis concerned modeling of active integrated antennas using the method of moment. He was a Research Engineer with the Ingéniérie Pour Signaux et Systèmes (IPSIS), Cesson-Sevigné, France, where he developed MoM software for the simulation of millimeter-wave circuits. In 1993, he joined INSA as an Assistant Professor. He contributed to the development of a finite-difference time-domain (FDTD) code and to the experimentation of wavelet-based fast solvers in both the time and frequency domains. Since 2001, he has been a Professor with the Antenna and Microwave Group, Institute of Electronics and Telecommunications of Rennes (IETR), Rennes, France. His research interests concern computational electromagnetics, dielectric-resonator antennas, and reflectarrays.

2026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

High Performances of Shielded LTCC Vertical Transitions From DC up to 50 GHz Rosine Valois, Dominique Baillargeat, Member, IEEE, Serge Verdeyme, Member, IEEE, Markku Lahti, and Tuomo Jaakola

Abstract—This paper reports on research on two generic shielded vertical transitions in low-temperature cofired ceramic technology. These interconnections are simulated and optimized by three-dimensional elecctromagnetic simulations. The first circuit, a coplanar waveguide (CPW) or microstrip-to-stripline transition, presents great experimental performances from dc up to 50 GHz, and the second, a CPW-to-waveguide transition, is defined for -band applications. Index Terms—Interconnections, low-temperature ceramic (LTCC), waveguides, wide band.

cofired

I. INTRODUCTION

T

HE development of low-cost modules for millimeter-wave applications sets many challenges for packaging and interconnections. One of the most promising solutions to realize such modules is the low-temperature cofired ceramic (LTCC) technology. This process allows the conception of highly integrated three-dimensional (3-D) modules with a great flexibility for the designers. In the literature, we could find a growing interest for the LTCC multilayer package, and particularly for transmissionline structures. Some interconnections are presented in earlier papers [1]–[5]. These papers deal with vertical transitions; these interconnections allow to route an RF signal from the top surface to an inner layer or to the bottom surface and, therefore, allow the integration of passive and active components such as millimeter-wave monolithic integrated circuits (MMICs) mounted on top. Within the framework of the European project Low-Cost Millimeter-Wave T/R Module for Telecommunication Applications (LOTTO), our paper first reports on a generic wide-band topology such as an LTCC vertical microstrip (MS) or coplanar waveguide (CPW) to stripline (SL) transition [6]. Our objective differentiates ourselves from earlier papers [1]–[5]: it is to design transitions with a return loss below 10 dB from dc up to 50 GHz and with a very simple topology to allow its use in many applications. Thus, we have focused our attention on the Manuscript received September 30, 2004; revised December 15, 2004. This work was supported in part by the Packaging and Interconnection Development for European Applications under the European Project Low-Cost MillimeterWave T/R Module for Telecommuncations Applications. R. Valois, D. Baillargeat, and S. Verdeyme are with the Institut de Recherche en Communications Optiques et Microondes–Unité Mixte de Recherche Centre National de la Recherche Scientifique 6615, University of Limoges, 87060 Limoges, France (e-mail: [email protected]; [email protected]; [email protected]). M. Lahti and T. Jaakola are with VTT Electronics, 90571 Oulu, Finland (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848832

packaging of the modules and on keeping a good adaptation. We will present the differences made by our work compared with the other papers. Secondly, we have modified this first interconnection, and worked on a CPW-to-SL-to-waveguide transition. In this case, the transition is defined for -band applications. Some waveguide transitions are presented in [7] and [8]. Our studies are distinct from these papers due to the excitation waveguide method. As we will show in this paper, a short-circuited SL allows waveguide excitation. This paper is organized as follow. First we describe the LTCC process on Ferro A6-S developed by VTT Electronics, Oulu, Finland. Some theoretical studies carried out at the Institut de Recherche en Communications Optiques et Microondes (IRCOM) Laboratory, Limoges, France, are then described. The transitions are designed and optimized applying 3-D electromagnetic (EM) simulation tools. Parametric studies are presented and discussed. Finally, the measurement results of the manufactured test structures are then presented, and as will be shown, they present good performances. II. LTCC PROCESS The conductor lines were screen-printed on a Ferro A6-S tape system using a CN33-398 Ag conductor and CN33-343 Ag via fill paste. The MS line, presented in Fig. 1, was printed using a 400-mesh screen, and SLs and all ground layers were printed with a 325-mesh screen. Typical widths of the MS and SL were 500 and 150 m, respectively. The diameter of via-holes was 150 m. The layers were laminated at a pressure of 3000 psi and temperature of 70 C for 10 min. The peak temperature of the co-firing process was 850 C and the duration was approximately 16 h. III. EM SIMULATION TOOLS The design of the transitions is simulated and optimized by our in-house EM software. This software, based on the finiteelement method coupled with a Padé approximation [9], solves Maxwell equations in the frequency domain. It can be applied to the study of complex 3-D structures composed of linear and isotropic or anisotropic media. This analysis method has a great accuracy and presents an attractive advantage in terms of computation time: in brief, instead of performing one EM computation at each frequency point, only a single EM computation is necessary to analyze the electrical parameters in the whole frequency band. Consequently, the electric behavior of the module can be determined faster.

0018-9480/$20.00 © 2005 IEEE

VALOIS et al.: HIGH PERFORMANCES OF SHIELDED LTCC VERTICAL TRANSITIONS FROM DC UP TO 50 GHz

Fig. 4. Top view. (a) MS–SL–MS (b) CPW–SL–CPW transitions. Fig. 1.

Fig. 2.

2027

transitions

with

probe

pads.

MS line.

(a) MS-to-SL transition. (b) Zoom-in on the parameters to optimize.

Fig. 3. MS-to-SL transition with the ground plane invisible in order to see the vias. Vias A: vias around the circular aperture. Vias B: vias near the SL.

IV. VERTICAL TRANSITION A. Description of the Test Structures Our study reports on a vertical MS-to-SL transition. Figs. 2 and 3 depict this interconnection. The LTCC packages are designed and optimized with EM simulations, using eight-layer Ferro A6-S tape systems. This substrate has a relative dielectric constant equal to 5.9 and a fired layer thickness of 99 m. A metallization layer, located on the fifth LTCC layer from the bottom surface, is used as ground plane for the MS and SL. A circular aperture through this ground plane allows connecting MS and SL signal lines by a center via. The diameter of the aperture (parameter in Fig. 2(b), m) is optimized according to the diameter of the signal via in order to obtain an optimum coaxial effect. In this case, the diameter of the via-hole was 150 m, which permits the reduction of the dimensions of the whole aperture.

As we can see in Figs. 2 and 3, some additional vias are introduced in the structure. The locations of the vias are optimized by EM simulations taking into account EM-field distributions. These vias first permit the connection of the middle ground plane to the bottom. Some of them are located around the hole through the metallization layer (vias on Fig. 3) and then reinforce the coaxial effect by confining EM energy around the signal via. Some other vias are used to shield the SL (vias on Fig. 3). The use of the vias also allows avoiding the excitation of parasitic modes that can appear at high frequencies due to the dimensions of the whole structure that is comparable to the wavelength. This distinguishes our study from [1]–[5] and allows us to widen the frequency band. Furthermore, as shown in Fig. 2, the MS width is not the same all along the length. The SL and MS characteristic impedances are 50 . Due to the aperture through the MS ground plane, we widened the MS (parameter in Fig. 2) above this aperture in order to keep a good adaptation. The MS width and length in Fig. 2 are also parameters that are optimized by EM simulations. This study allows also us to wide the frequency band compared with the other papers. Moreover, from the interconnection presented in Fig. 2, two other configurations, illustrated in Fig. 4, are studied, i.e., (a) back-to-back MS–SL–MS transitions with probe pads and (b) back-to-back CPW–SL–CPW transitions. In (b), where almost all the surface of the LTCC module is metallized, many parasitic modes appear between the top and inner metallization layers and, thus, in order to avoid their excitation, other vias are added between these two layers. B. EM Simulations and Optimizations The whole transition is optimized by EM simulations. Fig. 5 presents simulated results of the back-to-back structure with MS–SL–MS transitions, and CPW–SL–CPW transitions. We can see that parasitic modes, due to the enclosure dimensions, appear after 46 GHz in both cases. Fig. 6 shows the importance of two parameters presented in Figs. 2 and 3 for the optimization of the transition behavior. These parameters are the MS width (see Fig. 2) and the vias on both sides of the SL (see Fig. 3). We have chosen to present only parametric results concerning the MS–SL–MS transition. The optimized response, presented by curve 1 in Fig. 5, is taken as reference curve 1 in Fig. 6. Concerning the parameter , we have established that it must be higher than parameter (see Fig. 2), and we show on curve 2 in Fig. 6 that for a 20% decrease of the parameter from its optimized value, the transition response is really degraded. Curve 3 considers the transition without vias . We can notice that parasitic modes as shown appear at lower frequencies when we omit vias by -field distributions in Fig. 6. Of course, these parasitic

2028

Fig. 5. Simulated losses).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[

S -parameters ]

of the back-to-back structure (without

Fig. 7. Measured [S ]-parameters of the: (a) back-to-back structure. (b) MS–SL–MS transitions with probe pads. (c) CPW–SL–CPW transitions.

Fig. 6. Simulated [S ]-parameters of the back-to-back structure, and E -field distribution at two frequencies where parasitic modes appear on curve 3.

modes have a negative impact on the electric performances of the transition. C. Measurements The LTCC modules are realized by VTT Electronics using the technology process described in Section II. The transitions are tested using a cascade probe station and an HP 8510 C vector network analyzer. Fig. 7 presents the measured -parameters. In Fig. 7(a) and (b), we present MS–SL–MS transitions with probe pads [see Fig. 4(a)], and in Fig. 7(c), we present CPW–SL–CPW transitions [see Fig. 4(b)]. The transitions shown in Fig. 7(a) and (b) are not very different: (b) presents the results for the dimensions of the transition described in Fig. 2 and, in (a), the MS length (parameter in Fig. 2) is 200 m shorter, and the distance between the vias near the SL (vias in Fig. 3) is slightly longer than in (b). Globally, according to these different results, the return loss is below 10 dB from dc to 50 GHz. In Fig. 7(a) and (b), the measured insertion losses are better than 2 dB up to 35 GHz and better than 3 dB up to 49 GHz. In Fig. 7(c), the measured insertion loss is lower than 1 dB up to 44 GHz and 2 dB up to 47 GHz.

D. Comparison Between Simulations and Measurements Figs. 5 and 7 present simulated and measured -parameters. In MS–SL–MS transitions, parasitic modes appear at a slightly higher frequency in the measured results than in the simulated ones. One explanation could be that the experimental dielectric constant of the LTCC substrate decreases with the frequency (this phenomenon is not taken into account by EM simulations), and also could be caused by the dispersion due to the technology. We can also notice that for CPW–SL–CPW transitions, insertion loss is 1 dB better than for the MS–SL–MS transitions. It can be explained by a slight mismatch caused by the pads for the MS–SL–MS, and for the CPW–SL–CPW transition, the EM-field distributions imposed by the CPW is more consistent with the coaxial effect compared to those imposed by the MS. E. Measurements With an Other LTCC Substrate We have also tested this transition with another LTCC substrate: Dupont 951 A2. This substrate has a relative permittivity of 7.8 and a fired layer thickness of 130 m. The topology of the transition previously describes is unchanged; dimensions are adjusted only according to the characteristics of the substrate. -parameters of Fig. 8 presents the measured MS-to-SL-to-MS transition with probe pads in Dupont 951 A2. We could see that the return losses are around 12 dB from dc is better than up to 43 GHz. The transmission parameter 2 dB up to 34 GHz, and 3 dB up to 42 GHz.

VALOIS et al.: HIGH PERFORMANCES OF SHIELDED LTCC VERTICAL TRANSITIONS FROM DC UP TO 50 GHz

2029

Fig. 8. Measured [S ]-parameters of the back-to-back MS-to-SL-to-MS transition with probe pads in Dupont 951 A2.

Fig. 10.

Cross-sectional view of the back-to-back SL-to-waveguide transition.

Fig. 11.

E -field distribution.

Fig. 9. Top view of the back-to-back SL-to-waveguide transition (the CPW is not represented).

Thus, in these conditions, measurements show that the LTCC substrate Ferro A6-S allows to obtain better performances for a widest band that the LTCC substrate Dupont 951 A2. V. WAVEGUIDE TRANSITION A. Description of the Test Structures Using the MS-to-SL transition previously described, we have studied a new interconnection shown in Figs. 9 and 10: a CPW-to-SL-to-waveguide transition. This new transition is defined for -band applications. Our objective is to obtain a transition with a satisfying electrical behavior in order to design a 40-GHz narrow bandpass waveguide filter with the SL used as waveguide excitation. This waveguide filter could be easily bounded on a substrate carrier for planar integration or easily connected to other components (MMICs, filter, etc.) inside the same LTCC block to conceive highly integrated modules for future applications. This package is also designed with 3-D EM simulations. The CPW-to-SL transition is unchanged (topology and dimensions are the same). The SL then permits to excite the funof the waveguide, as shown damental propagating mode in Figs. 9 and 10. As we can see in Fig. 10, the waveguide is defined between the middle and bottom ground planes. Several vias (vias in Fig. 9) placed between these two ground planes allow defining the width of the waveguide. The distance between these vias is

minimum, taking into account the restrictions of the manufacat the upper frequency turing. This distance is smaller than and, hence, the electrical behavior of the vias is comparable to the electric behavior of a perfect electric wall, as shown in Fig. 11. The optimization of the topology and the waveguide realization are also easy compared with [7]. In Fig. 10, we could see on the SL extremity a via called “via .” It is connected to the bottom ground in order to short circuit the SL and, thus, to create an EM loop, used as waveguide excitation. As we can see in Fig. 12, the -field distribution is maximum around the vias. B. Theoretical Analyses by EM Simulations According to the design rules, the whole transition is designed by EM simulations. Fig. 13 presents simulated results of the back-to-back CPW-to-SL-to-waveguide transition. We can see that the cutoff frequency of the waveguide is approximately 32 GHz, and the return loss is below 10 dB from approximately 34 to 45 GHz. This interconnection will be used to design a narrow bandpass filter at 40 GHz. Thus, the theoretical behavior shown in Fig. 13 is sufficient. In Fig. 14, we show that the SL length in the waveguide is an important parameter. The optimized response presented in

2030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

S

Fig. 15. Simulated [ ]-parameters of the back-to-back CPW-to-SL-towaveguide transition with a different position of the via .

Fig. 12.

D

H -field distribution. S

Fig. 16. Measured [ ]-parameters of the back-to-back CPW-to-SL-towaveguide transition in order to see the cutoff frequency of the waveguide.

S

Fig. 13. Simulated [ ]-parameters of the back-to-back CPW-to-SL-towaveguide transition (without losses).

S

Fig. 14. Simulated [ ]-parameters of the back-to-back CPW-to-SL-towaveguide transition with different SL lengths.

Fig. 13 is taken as reference curve 1 in Fig. 14. Curves 2 and 3 represent the responses for two other SL lengths: on curve 2, the SL is 150 m shorter than for optimized response, and on curve 3, it is 150 m longer. The waveguide length is constant for the three cases. As we can see in Fig. 14, for a slightly different SL length in the waveguide, the transition response is degraded in the frequencies around 40 GHz. The position of the via on the extremity of the SL is another important parameter, as shown in Fig. 15. The optimized

S

Fig. 17. Measured [ ]-parameters of the back-to-back CPW-to-SL-towaveguide transition. The waveguide in the (b) is 5 mm longer than waveguide in (a).

response presented in Fig. 12 is taken as reference curve 1 in Fig. 15. Curve 2 represents the response for another position of the via : via is moved away 300 m of the SL extremity. The SL length and waveguide are unchanged. We can see that, for this position, the response is degraded. C. Measurements The LTCC modules are realized by VTT Electronics by applying the process described in Section II. The modules are then

VALOIS et al.: HIGH PERFORMANCES OF SHIELDED LTCC VERTICAL TRANSITIONS FROM DC UP TO 50 GHz

tested using a cascade probe station and an HP 8510C vector network analyzer. -parameters of the Figs. 16 and 17 present the measured back-to-back CPW-to-SL-to waveguide transition. As shown in Fig. 16, the cutoff frequency of the waveguide is approximately 30 GHz, and the behavior below this frequency is identical to a classical waveguide. We could also notice that there are no parasitic modes. In Fig. 17, the results are presented for two different lengths of the waveguide: in Fig. 17(b), the waveguide is 5 mm longer than the waveguide in Fig. 17(a). The CPW-to-SL transition is unchanged in these two cases, the only difference is due to the waveguide losses. We can notice that, at 40 GHz, the insertion loss is around, in Fig. 17(a), 0.9 dB, and in Fig. 17(b), 1.7 dB. Thus, the estimated losses per length unit of the waveguide are 0.16 dB/mm around 40 GHz; these performances can be sufficient for filtering application. In Fig. 17(a), the return loss is below 10 dB from approximately 33 to 41 GHz, and in Fig. 17(b), from approximately 34 to 46 GHz. Thus, the waveguide presents excellent performances in the -band.

VI. CONCLUSION Two generic shielded LTCC vertical transitions realized on Ferro A6-S have been presented. The first consists of a vertical MS or CPW-to-SL transition. We have shown that this interconnection could offer great performances from dc up to 50 GHz. The second consists of a CPW-to-SL-to-waveguide transition. This interconnection has presented great performances around 40 GHz and is, therefore, suitable to design 3-D LTCC -band components such as filters.

ACKNOWLEDGMENT The authors acknowledge the partnership between the Institut de Recherche en Communications Optiques et Microondes (IRCOM) and VTT Electronics, which was made possible thanks to the European project LOTTO. The authors further acknowledge Institut du développement et des Ressources en Informatique scientifique (IDRIS), Orsay, France, for providing with computing tools.

2031

[5] A. Panther, C. Glaser, M. G. Stubbs, and J. S. Wight, “Vertical transitions in low temperature co-fired ceramics for LMDS applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, May 2001, pp. 1907–1910. [6] R. Valois, D. Baillargeat, S. Verdeyme, M. Lahti, and T. Jaakola, “High performances of shielded LTCC transitions from DC up to 50 GHz,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 11–15, 2004, pp. 537–539. [7] H. Uchimura, T. Takenoshita, and M. Fujii, “Development of a laminated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2438–2443, Dec. 1998. [8] Y. Huang, K. Wu, and M. Ehlert, “An integrated LTCC laminated waveguide-to-microstrip line T-junction,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 8, pp. 338–339, Aug. 2003. [9] B. Thon, D. Bariant, S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, P. Guillon, F. Thevenon, M. Rochette, J. Puech, L. Lapierre, and J. Sombrin, “Coupled Padé approximation-finite element method applied to microwave devise design,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2002, pp. 1889–1892.

Rosine Valois was born in Limoges, France, in May 1980. She received the Master’s degree in high-frequency and optical telecommunication from the University of Limoges, Limoges, France, in 2002, and is currently working toward the Ph.D. degree at the University of Limoges. She is currently with the Microwave Circuits and Devices Team of the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Her research interests are dedicated to millimeter-wave packaging as filters and interconnections based on LTCC technology.

Dominique Baillargeat (M’04) was born in Le Blanc, France, in 1967. He received the Ph.D. degree from the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges, Limoges, France, in 1995. From 1995 to 2005, he was an Associate Professor with the Microwave Circuits and Devices Team, IRCOM Laboratory. He is currently a Professor with IRCOM. His fields of research concern the development of methods of design for microwave devices. These methods include computer-aided design (CAD) techniques based on hybrid approach coupling EM, circuits and thermal analysis, synthesis and EM optimization techniques, etc. She is mainly dedicated to the packaging of millimeter-wave and opto-electronics modules and to the design of millimeter original filters based on new topologies, concepts (electromagnetic bandgap (EBG), etc.) and/or technologies (silicon, LTCC, etc.).

REFERENCES [1] F. J. Schmückle, A. Jentzsch, W. Heinrich, J. Butz, and M. Spinnler, “LTCC as MCM substrate: Design of strip-line structures and flip-chip interconnects,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2001, pp. 1903–1906. [2] J. Heyen, A. Gordiyenko, P. Heide, and A. F. Jacob, “Vertical feedthroughs for millimeter-wave LTCC modules,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 6–10, 2003, pp. 411–414. [3] A. Ziroff, M. Nalezinski, and W. Menzel, “A novel approach for packaging using a PBG structure for shielding and package mode suppression,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 6–10, 2003, pp. 419–422. [4] W. Simon, R. Kulke, A. Wien, I. Wolff, S. Baker, R. Powell, and M. Harrison, “Design of passive components for -band communication modules in LTCC environment,” in IMAPS Symp., Oct. 1999, pp. 183–188.

K

Serge Verdeyme (M’99) was born in Meilhards, France, in June 1963. He received the Doctorat degree from the University of Limoges, Limoges, France, in 1989. He is currently Professor with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges, and Head of the Microwave Circuits and Devices Team. His main area of interest concerns the design and optimization of microwave devices.

2032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Markku Lahti received the Master’s degree from the University of Oulu, Oulu, Finland, in 1993. His research with the Microelectronis Laboratory, University of Oulu, was the development of printing plates for the gravure offset printing. Following this, he was a Research Scientist, until in 2001, when he joined VTT Electronics, Oulu, Finland. His current main interests are the manufacturing, interconnection, and packaging issues related to multiplayer ceramics boards.

Tuomo Jaakola received the Master’s degree in technical physics and Licenciate of Technology (Lic. Tech.) degree in electrical engineering from the University of Oulu, Oulu, Finland, in 1980 and 2002, respectively. Upon graduation, he was a Research Scientist with the Microelectronics Laboratory, University of Oulu. His main interests were within the field of electronic ceramic fabrication processes. In 1987, he joined VTT Electronics, Oulu, Finland, where he is currently a Senior Research Scientist. His current interests are in the field of advanced printed circuit boards, LTCC boards, bare chip interconnection methods, and reliability of electronic assemblies.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2033

An Si Schottky Diode Demultiplexer Circuit for High Bit-Rate Optical Receivers Jung Han Choi, Member, IEEE, Gerhard R. Olbrich, Member, IEEE, and Peter Russer, Fellow, IEEE

Abstract—We demonstrate an Si Schottky diode sampling demultiplexer circuit for an optical receiver. A system model and the operation principle of the sampling demultiplexer are described. The Si Schottky diode sampling circuit has been realized in conductor-backed coplanar waveguide technology and fabricated in thin-film technology. The sampling circuit module was measured using a 43-Gb/s nonreturn-to-zero signal. In addition, for the purpose of reducing the intersymbol interference, a linear tapped delay-line equalizer circuit has been designed and simulated. The weight of the taps has been calculated employing a zero-forcing algorithm. Index Terms—Coplanar waveguide (CPW), demultiplexer, 80 Gb/s, flip-chip, intersymbol interference (ISI), linear equalizer, 160 Gb/s, optical receiver, root-diode model, sampling, Si Schottky diode.

I. INTRODUCTION ROAD-BAND fiber-optic communication systems allow to realize high-speed Internet services and broad-band digital networks. Since an increasing amount of information is required to be transmitted through a fiber, the data transmission technology has evolved in twofold: increasing data rates in time domain [e.g., electrical time-division multiplexing (ETDM) and optical time-division multiplexing (OTDM)] and in frequency domain [e.g., wavelength-division multiplexing (WDM)]. Thus far, for the transmission experiments, 43 Gb/s has been the highest bit rate processed in electronic transmitters and receivers [7]–[9]. A limiting factor arises from the cutoff frequency of electronic circuitry. In order to overcome this problem, various semiconductor devices and electronic circuits have been developed. In Table I, we summarize reported records on multiplexer and demultiplexer circuits for each device technology. Recently, an SiGe heterojunction bipolar transistor (HBT) having 350-GHz cutoff frequency has been announced [10]. That is expected to play a major role in 80- and 160-Gb/s digital logic circuit implementations over InP device technology. The potential of Si CMOS devices also grows up rapidly. It gets much attraction for the alternative due to its high yield, mass production, and high integration density. For future development of 160-Gb/s digital circuits, faster electronic switching devices and novel circuit concepts are required. In this paper, we demonstrate a demultiplexer unit using Si Schottky diodes, which is based on a sampling technique [11],

B

Manuscript received October 1, 2004; revised February 23, 2005. This work was supported by Siemens AG and by the Bundesministerium für Bildung und Forschung under Contract 01 BP 156. The authors are with the Institute for High-Frequency Engineering, Technische Universität München, 80333 Munich, Germany (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848820

TABLE I REPORTED RECORDS FOR MULTIPLEXER AND DEMULTIPLEXER

[12]. Si Schottky diodes already have achieved a cutoff frequency greater than 1 THz [13]. Therefore, 80- and 160-Gb/s Si Schottky diode sampling demultiplexers may become feasible in the future. Furthermore, in broad-band optical communication links, optical signals may be preamplified using optical amplifiers [e.g., erbium-doped fiber amplifier (EDFA), Raman amplifier (RA)] prior to detection by a high-speed and high-power photodetector (PD). Thus, the electric signal is of sufficiently high amplitude to be directly processed in the demultiplexer. Therefore, the Si Schottky diode demultiplexer circuit can be directly connected with the high-speed and high-power PD. The sampling demultiplexer is an analog circuit, and the decision circuit follows the sampling demultiplexer. We have shown that 43-Gb/s demultiplexing can be done using the sampling circuit with an equalizer. For the demonstration of the sampling-circuit-based demultiplexer, in Section II, we explain a system model and the operation principle of the circuit for the optical receiver with an optical preamplifier. In Section III, the Si Schottky diode modeling, the flip-chip bonding simulation, and the coplanar waveguide (CPW) design are illustrated. In Section IV, the sampling circuit design and the measurement result are discussed. An equalizer circuit design and its simulation results are also presented. II. SYSTEM MODEL AND OPERATION PRINCIPLE A. System Model Thanks to the development of the optical amplifier in optical communication links, the transmission distance is considerably increased. In the receiver front-end, an EDFA is employed to amplify an optical signal before the signal arrives at the highspeed and high-power PD. A system block diagram is illustrated in Fig. 1. In the system modeling viewpoint, the EDFA can be represented equivalently with a frequency-dependent gain and noise figure . The relation is given by

0018-9480/$20.00 © 2005 IEEE

(1)

2034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. System block diagram for an optical receiver with an optical preamplifier with sampling circuits.

where is the optical frequency, is the Planck constant (6.626 10 J s), and the single-sided amplified spontaneous emission (ASE) noise power spectral density, . It equals with an effective spontaneous to emission factor [14]. If the optical power gain of the , then the noise figure approaches to EDFA is . The preamplified optical signal passes through an optical bandpass filter. This filter reduces the noise component, which is generated during the optical signal preamplification in the EDFA. For modeling purposes, we use a fiber Bragg grating (FBG) filter and its transfer function is expressed by [14]

PD), the interdependency between two shall be decreased [17]. Thus, we assume in this model the waveguide-type PD having the fifth-order Bessel–Thompson transfer function [18] with the bandwidth of 55 GHz. This filter has a linear phase characteristics. The Laplace transform of the fifth-order Bessel–Thompson filter is given by [15]

(6) where

is the gain and (7)

(2)

is an th-degree Bessel polynomial with coefficients

where

(8) (3)

is the detuning from the Bragg wavelength pling coefficient is

and the cou-

for , and is the 3-dB cutoff frequency of the filter (in radians per second) [19]. The photocurrent is expressed by (9)

(4) where

is the responsivity of the PD (in amperes per watt),

is the confinement factor where is the group index, and of the fiber. The optical field intensity after the optical bandpass filter is modeled as a normalized complex optical field as (5) denotes the complex envelope of the deterwhere stands for the ASE noise whose ministic signal and characteristic is the stationary circularly symmetric complex Gaussian process [15]. The preamplified optical signal after the optical bandpass filter is incident on the high-speed and high-power PD. When the high optical signals arrive at the PD, holes are piled up due to the low mobility compared with electrons and decrease the electrical field, the so-called electrical screening effect [16], [17]. This increases the charge transit time in a p-i-n-type PD. Therefore, there is a tradeoff between the optical power and bandwidth. However, in waveguide-type PDs (e.g., waveguide PD, traveling-wave PD, velocity-matched

(10) with

, and

(11) where denotes the real part of a complex number and the symbol represents a complex conjugate. B. Operation Principle A demultiplexing unit is composed of a resistive power divider, two Si Schottky diode sampling circuits, two low-pass filters, and two equalizers. The resistive power divider has a 6-dB insertion loss and splits the input signal. The following Si Schottky diode sampling circuits then perform the sampling.

CHOI et al.: Si SCHOTTKY DIODE DEMULTIPLEXER CIRCUIT

2035

Fig. 2. (a) 86-Gb/s RZ optical signal eye waveform incident on the photodiode having the bandwidth of 55 GHz. (b) 86-Gb/s electrical signal eye diagram output after the high-power photodiode.

A sampling instant in each sampling circuit depends on the two oscillator signal amplitudes. They are out-of-phase of each other so that the sampling action takes place sequentially. In order to reduce the deterministic intersymbol interferences (ISIs), equalizers follow each low-pass filter. In the system model, a clock oscillator signal is assumed to be synchronous with the incoming input signal. For the purpose of the description about the sampling-circuit-based demultiplexer, we use a time-limited Nyquist rolloff with the rolloff factor and exclude noise signal quantities. If the optical field is defined within the time interval , it can be given by

Fig. 3. Output eye waveform after a low-pass filter following the sampling circuit.

with the load impedance of the PD. The sampling circuit output signals can be expressed as

for

for

(15)

or otherwise (12)

where denotes the optical energy for a 1 bit, and is the effective pulse duration, which varies for the nonreturn-to-zero (NRZ) and return-to-zero (RZ) signals [18]. We simulate the eye diagram using a 2 1 pseudorandom binary sequence (PRBS) for a 86-Gb/s RZ signal before and after the PD. It is shown in Fig. 2. The input signal to the demultiplexer can be expressed as

where

(16) is the is the impulse train of the sampling circuit, and pulsewidth of the impulse response. The output signals are processed with the low-pass filter to make a demultiplexed waveform. Therefore, the demultiplexed output signal is with a low-pass filter, written as a convolution of as given by

(13)

is the bit duration, where sembles of a random variable , and

represents all possible enwith an extinction ratio

(14)

(17) is the low-pass filter transfer function with where the bandwidth of 20 GHz. A simulation result is presented in Fig. 3. It shows a pulsewidth of 23.3 ps and 43-Gb/s NRZ-like waveform.

2036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. (a) DC and (b) C–V characteristic curves comparing the root-diode model of the Si Schottky diode with the measurement data.

III. DEVICE MODELING AND FLIP-CHIP SIMULATION A. Si Schottky Diode Modeling Concerning the Schottky diode model, a large-signal nonquasi-static model is considered for high-frequency circuit operation. The nonquasi-static device modeling is desirable when the operating frequency approaches the upper limit of validity for quasi-static models. In this study, the Root-diode model is chosen. This diode model is fundamentally a nonquasi-static and charge-conservative model [20], and is widely used for the three-terminal device modeling. Due to its superior characteristics, i.e., fabrication-independent modeling, less time consumption compared to other device models, and very precise device description regardless of the operating frequency, this model is frequently preferred in very high-speed device modeling. In general, the total current at each node is the summation of conduction current and displacement current written by [21] (18) is the diode conduction current, , and is the node charge. Using a charge recan be expressed using the laxation time approach, following equation: where

(19) where represents the steady-state diode charge. First of all, we measured the RF port series resistances using a short pattern on an impedance standard substrate (ISS). Extracted resistance values were removed from the measurement data. The modeling procedure also includes the extraction of the parasitic series inductance of the diode. In addition, open pad parasitics were deembedded from the measurement data, hence, the intrinsic root diode model was acquired. The I–V and C–V characteristic curves are given in Fig. 4(a) and (b), respectively. They show a good agreement between the measurement and modeling data in the whole measurement range.

Fig. 5. (a) Cross-sectional view of the flip-chip bonding and its equivalent circuit. (b) An Si Schottky diode SEM photograph.

allows to increase system performance, and simultaneously reduce cost compared with monolithic implementations. Even though flip-chip bonding parasitics are not sufficiently large enough to deteriorate the signal integrity in the low-frequency range or at some specific frequencies, they are to be extensively studied in case of the broad-band and baseband signal case. They have a frequency-dependent behavior, therefore, causing signal distortion depending on the frequency component, and also producing resonance phenomena in the frequency region of interest. We illustrate a cross-sectional view of the actual flip-chip bonded structure in Fig. 5(a) in order to show the origin of equivalent-circuit components. It is noted that this diagram does not account for unwanted substrate modes, i.e., parallel-plate modes. The used chip has two Schottky diodes connected in series, and has six pads with one bump each, as shown in Fig. 5(b). The chip size is 500 m 230 m, and each bump is made up of AuSn with the height of approximately 35 m. In order to set up the flip-chip bonding equivalent-circuit model, a finite-element method (FEM)-based three-dimensional electromagnetic (EM) simulator is utilized. In [22], the equivalent-circuit model of the flip-chip interconnection is described for the numerical analysis [see Fig. 5(a)]. It is composed of two capacitances, one inductance, and three conductances in parallel with each component. Those conductances represent losses in the bonding structure. In this study, those losses are neglected, hence, only two capacitances and one inductance are considered in the equivalent-circuit modeling. The -parameter matrix is established using the equivalent circuit model given by

B. Flip-Chip Equivalent-Circuit Modeling In a thin-film fabrication technology, flip-chip bonding is a significant mounting method to connect passive and active components on the same substrate. This interconnection bonding

(20)

CHOI et al.: Si SCHOTTKY DIODE DEMULTIPLEXER CIRCUIT

2037

Fig. 6. Flip-chip simulation results for: (a) capacitances and (b) inductance varying the frequency up to 40 GHz for a bump height of 5 m.

Fig. 7. S -parameter comparison between measured (–) and simulated (–) results for the forward bias of 0.3 V.

Therefore, three parameters in the equivalent circuit shall be calculated using the following relations:

(21) We carried out -parameter simulations for the flip-chip interconnection structure. In the simulation structure, we considered the CPW, which is intended for the measurement. After simulating the structure, we deembedded the CPW line length from the -parameter simulation result. They were then normalized with respect to 50 and converted into the -parameter. In Fig. 6, simulation results are given for frequencies up to 40 GHz. For circuit-design purposes, the flip-chip bonding simulation values are averaged. The following values are obtained: fF; • fF; • pH. • Verification was done comparing measurement data with simulation results. Both the Si Schottky root-diode model and the flip-chip equivalent-circuit model are incorporated into the circuit simulator. A simulation was done for the forward-bias condition of 0.3 V. A good agreement between the measured data and the simulation result was obtained and it assured the exact modeling of the Si Schottky diodes and the flip-chip interconnection (Fig. 7). C. Conductor-Backed CPW With Via-Holes In order to fabricate a sampling circuit, a conductor-backed CPW with via-holes was designed and fabricated using a alumina substrate with 10-mil (254 m) thickness. It was measured up to 100 GHz. The placement of the via-holes in the conductor-backed CPW could effectively suppress the parallelplate mode [23], [24]. When the finite width ground planes on the CPW have open boundaries, these two ground planes are treated as two patches with a signal feeding line between them. These patches are coupled with a signal line and have resonant frequencies. At the resonant frequencies, the field distribution of the electric field ( -direction in Fig. 8) has a maximum at

Fig. 8. Geometrical view of the conductor-backed CPW and dimensions.

the periphery of the ground planes. Placing the via-holes at the -field maximum points and allocating them along the ground edge of the conductor-backed CPW as close as possible to the feeding line, the transmission characteristics of the coplanar lines can be improved considerably. A systematic study about the use of via-holes in conductor-backed CPWs can be referred to [23]. The geometrical view of the conductor-backed CPW with via-holes is shown in Fig. 8, and its relevant dimensions are given. An on-wafer measurement was primarily considered using the network analyzer (HP 8510C). For a precise calibration, a short, open, load, and thru (SOLT) method is employed using an ISS. Three separate frequency bands (i.e., 45 MHz–50 GHz, 50–75 GHz, and 75–100 GHz) are measured and their results are depicted altogether (Fig. 9). The fabricated conductor-backed CPW with via-holes shows the 3-dB cutoff frequency of around 72 GHz, and the reflection coefficient is below 20 dB until 71 GHz. We also investigated the group-delay characteristic (22) is the phase response of the CPW. The group delay where -parameter. We compared is calculated using the measured the extracted result with the interpolation value, as shown in Fig. 10. The interpolation was done for the frequency band from 3 to 50 GHz with couples of points. It shows a constant group delay until 23 GHz. At 43 GHz, the group velocity deviates from the constant delay line approximately 6.1 ps. We characterized

2038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 11.

Si Schottky diode sampling circuit.

Fig. 9. Conductor-backed CPW with via-holes is measured up to 100 GHz. S (–) and S (---) are measured for three different bands (45 MHz–50 GHz, 50–75 GHz, and 75–100 GHz).

Fig. 12. Sampling circuit impulse responses are simulated using a nonlinear circuit simulator. The impulse responses are obtained including (hybrid) and excluding (MMIC) the flip-chip parasitic components.

Fig. 10. Group delay (–) is calculated using S , and the calculation result is interpolated (---).

charge stored in the diode’s junction capacitance [25] as given by (24)

the group velocity for a 43-Gb/s NRZ signal with the following equation:

The relation

yields

(23) (25) and the data GHz, ps for a 20-mm-length conductor-backed CPW with via-holes, and ps. IV. CIRCUIT DESIGN AND MEASUREMENT Fig. 11 shows the Si Schottky diode sampling circuit. The diode switching operation is mainly dependent on the external oscillator signal. Once the bridge diodes are turned on, the input signal can go through the circuit toward the output port. Therefore, the diode small-signal current can be described by two components, i.e., one is the product of the diode junction voltage with the diode conductance and the other is the small-signal

This formula can be interpreted that the diode current comes from three factors, i.e., the contribution of the large-signal excitation of a clock signal, the time-varying small-signal input, and its time derivative quantity. The output current is calculated using Kirchhoff’s current law at the output port. We investigate the circuit performance comparing with monolithic microwave integrated circuit (MMIC) simulation results. It is obvious from Fig. 12 that flip-chip parasitics change the intrinsic impulse response considerably. A rise- and fall-time increase in the hybrid circuit and a trailing edge is more irregularly oscillating and seldom die out completely as simulation sweeping time

CHOI et al.: Si SCHOTTKY DIODE DEMULTIPLEXER CIRCUIT

2039

V. ELECTRICAL EQUALIZER DESIGN AND SIMULATION If we assume the zero-mean Gaussian noise in (11), then the incident optical signal to the high-power PD is expressed by (26) Thus, the demultiplexer output can be written as

(27) with (28) Here, we assume that the photodiode , sampling circuit , and low-pass filter are linear time-invariant (LTI) systems. To express the output signal in the discrete-time and domain, we sample the output signal in time intervals samples. Thus, the demultiplexer output is written as gather

(29) We can also write the above equation in matrix form as follows: Fig. 13. (a) 43-Gb/s NRZ signal is applied to the sampling circuit. (b) The sampling circuit output is measured in the time domain. The sampling instant is illustrated with the threshold line.

(30) increases. The full width at half maximum (FWHM) value is measured. It increases from 6 to 18 ps in the hybrid circuit when the hold capacitance is 0.2 pF. Using the developed root-diode model and flip-chip equivalent circuit, the 43-Gb/s sampling circuit with Si Schottky diodes was successfully designed and fabricated employing the hybrid technology. The Si Schottky diode was flip-chip bonded at 300 C to the backside grounded alumina substrate. Mechanical bonding force was applied for 5–6 s. An Anritsu pulse pattern generator (MP1763B) and a 43-Gb/s multiplexer made at Siemens AG were used to generate a 43-Gb/s PRBS pattern. The output waveform of the sampling circuit was measured using a Tektronix 8000 digital oscilloscope, and is illustrated in Fig. 13(b). In order to synchronize the input signal with the oscillator signal, two variable delay lines are attached to the oscillator input port of the sampling circuit. The oscillator signal is kept to be constant around 2 dBm. Setting up the threshold line, the bit information can be obtained comparing the threshold with the measured data output. The arrows in Fig. 13(b) display the sampling instants with an interval of 47.1 ps, which corresponds to twice the input data rate. Thus, the sampling circuit performs the 1 : 2 demultiplexing function. The output voltage ringing phenomena was observed. It is attributed to the capacitive behavior of the connector and the interactions between the chips. In addition, as demonstrated in the simulation part, that is due to the bit pattern dependency of the output waveform. This ringing phenomenon might be considerably reduced using the proposed transversal filter and will be described in Section V.

where , and signal is given by

,

, , . After equalization, the output

(31) is the transfer function of the equalizer circuit and . The symbol means the Hermitian matrix. is given by The th element of where

(32) where

and . For the purpose of the equalizer design in Fig. 1, we employ the zero-forcing algorithm. The zero-forcing filter is defined as an equalizer to eliminate the ISI component in (32). It is also called the peak distortion criterion because it minimizes the

2040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

peak distortion defined by the ISI. Assuming the infinite number of filter coefficients, the th output signal is expressed by (33) Therefore, if the following condition is satisfied: (34) where

..

.

(35)

we can obtain the ISI-free signal after the equalizer. In order to apply this algorithm to the equalizer circuit design, we consider . It means that the output is assumed the simple case for to be affected by one past and one future bit as

Fig. 14.

Proposed equalizer block diagram.

Fig. 15.

Eye waveform is simulated using the designed tapped delay-line filter.

(36) Expressing the above equation in the -domain, the transfer function is given by (37) Applying (37) into (34), we obtain the transversal tapped delayline filter coefficients as (38) Therefore, we can conclude that the equalizer transfer function is written by (39) Comparing the equalizer transfer function with Fig. 14, and assuming the symmetry between two channels, the coefficients can be chosen as and

where

represents the number of significant bits, and and are optimum sampling instants for the adjacent bit and the sampling data, respectively. By the circuit simulation, we 15.56 dB . A simfind out the coefficients ulation was performed for the MMIC condition. A result is depicted in Fig. 15. We successfully obtained a clean eye diagram using the proposed linear tapped delay-line filter.

(40) VI. CONCLUSION

In determining the coefficients and , first of all, the ISI quansymtity should be considered. We use bols to see how much ISI affect the adjacent bit. A single “1” bit is applied to a sampling circuit. We then observe the ISI-affected waveform of the adjacent bits. The following equation is used to decide the coefficients:

(41)

An Si Schottky diode demultiplexer circuit has been modeled, designed, fabricated, and investigated experimentally. For the modeling of the planar circuit, we used the root-diode model of the Si Schottky diode and simulated the flip-chip interconnection using a three-dimensional EM simulator. Using the root-diode model and flip-chip equivalent circuit, we designed, fabricated, and measured a sampling circuit for a 43-Gb/s NRZ input signal. The output signal was measured in the time domain. In order to minimize the signal distortion (i.e., ISI), we designed a linear equalizer circuit applying the zero-forcing algorithm. The equalizer was simulated with the sampling circuit. The simulation result showed a good eye diagram.

CHOI et al.: Si SCHOTTKY DIODE DEMULTIPLEXER CIRCUIT

The advantage of the demultiplexer concept described in this paper is that it does not require high-speed active three-terminal devices [e.g., HBT, high electron-mobility transistor (HEMT)]. The demultiplexer is passive. As the nonlinear elements, Si Schottky diodes, are used. The only active circuit required in this concept is the clock oscillator, which only needs to provide a clock signal at half the bit rate. If the clock oscillator is realized as a push–push oscillator [26], the transistors need to generate oscillation at a frequency corresponding to only a quarter of the bit rate. Therefore, this concept opens the door for future Si-based monolithically integrated demultiplexer for bit rates up to 160 Gb/s. This work is a preliminary step for the implementation. Using the matured Si technology, the high-speed digital circuit can be constructed by an analog circuit using two terminal devices, namely, Si Schottky diodes. This method is expected to reduce the bottleneck in the electronic part of optical communication links. Many issues during circuit design and test, such as power consumption, yield, and reliability, can be solved and never-reached high-speed circuits might be implemented in this way.

ACKNOWLEDGMENT The authors would like to thank Infineon Technologies AG, Munich, Germany, for the supply of the Si Schottky diodes. The authors are also grateful to C.-J. Weiske, K. Kotten, and Dr. R. Derksen, all of Siemens AG, Munich, Germany, for their support of the measurement and fruitful discussions. The authors also wish to acknowledge Dr. M. Schlechtweg and Dr. H. Walcher, both of the Fraunhofer Institute for Applied Solid-State Physics (IAF), Freiburg, Germany, for the flip-chip bonding experiment.

REFERENCES [1] M. Meghelli, “A 108 Gb/s 4 : 1 multiplexer in 0.13 m SiGe-bipolar technology,” in IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb. 2004, pp. 236–237. [2] A. Felder, M. Möller, M. Wurzer, M. Rest, T. F. Meister, and H.-M. Rein, “60 Gbit/s regenerating demultiplexer in SiGe bipolar technology,” Electron. Lett., vol. 33, no. 23, pp. 1984–1986, Nov. 1997. [3] M. Meghelli, A. V. Rylyakov, and L. Shan, “50-Gb/s SiGe BiCMOS 4 : 1 multiplexer and 1 : 4 demultiplexer for serial communication systems,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1790–1794, Dec. 2002. [4] D. Kehrer, H.-D. Wohlmuth, H. Knapp, M. Wurzer, and A. L. Scholtz, “40-Gb/s 2 : 1 multiplexer and 1 : 2 demultiplexer in 120-nm standard CMOS,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1830–1837, Nov. 2003. [5] Y. Suzuki, Y. Amamiya, Z. Yamazaki, S. Wada, H. Uchida, C. Kurioka, S. Tanaka, and H. Hida, “110 Gb/s multiplexing and demultiplexing IC’s,” in IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb. 2004, pp. 232–233. [6] M. Schlechtweg, A. Leuther, A. Tessmann, C. Schwörer, H. Massler, W. Reinert, M. Lang, U. Nowontny, O. Kappeler, M. Walther, and R. Lösch, “Millimeter-wave and mixed-signal integrated circuits based on advanced metamorphic HEMT technology,” in Proc. 16th Int. Indium Phosphide Related Materials Conf., Kagoshima, Japan, May 2004, pp. 609–614.

2041

[7] P. M. Krummrich, E. Gottwald, N. E. Hecker, C.-J. Weiske, A. Schöpflin, A. Färbert, and K. Kotten, “40 Gbit/s ETDM for multi terabit/s long haul WDM transmission,” IEICE Trans. Commun., vol. E85-B, no. 2, pp. 366–373, Feb. 2002. [8] C. Rasmussen, T. Fjelde, J. Bennike, F. Liu, S. Dey, B. Mikkelsen, P. Mamyshev, P. Serbe, P. van der Wagt, Y. Akasaka, D. Harris, D. Gapontsev, V. Ivshin, and P. Reeves-Hall, “DWDM 40G transmission over trans-pacific distance (10000 km) using CSRZ-DPSK enhaced FEC, and all-Raman-amplified 100-km ultrawave fiber spans,” J. Lightw. Technol., vol. 22, no. 1, pp. 203–207, Jan. 2004. [9] J. H. Sinsky, A. Adamiecki, A. Gnauck, C. A. Burrus, Jr., J. Leuthold, O. Wohlgemuth, S. Chandrasekhar, and A. Umbach, “RZ-DPSK transmission using a 42.7-Gb/s integrated balanced optical front end with record sensitivity,” J. Lightw. Technol., vol. 22, no. 1, pp. 180–185, Jan. 2004. [10] G. Freeman, J.-S. Rieh, B. Jagannathan, Z. Yang, F. Guarin, and A. Joseph, “Device scaling and application trends for over 200 GHz SiGe HBTs,” in Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting, Garmisch, Germany, Apr. 2003, pp. 6–9. [11] J. H. Choi, G. R. Olbrich, and P. Russer, “A sampler-based ETDM optical receiver using Si Schottky diodes,” presented at the Eur. Microwave Conf., Armsterdam, The Netherlands, Oct. 2004. [12] R. Pullela, U. Bhattacharya, S. T. Allen, and M. J. W. Rodwell, “Multiplexer/demultiplexer IC technology for 100 Gb/s fiber-optic transmission,” IEEE J. Solid-State Circuits, vol. 31, no. 5, pp. 740–743, May 1996. [13] P. Russer, “Si and SiGe millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-46, no. 5, pp. 590–603, May 1998. [14] G. P. Agrawal, Fiber Optic Communication Systems. New York: Wiley, 1997. [15] P. J. Winzer and A. Klamár, “Sensitivity enhancement of optical receivers by impulsive coding,” J. Lightw. Technol., vol. 17, no. 2, pp. 171–177, Feb. 1999. [16] Y. Miyamoto, M. Yoneyama, K. Hagimoto, T. Ishibashi, and N. Shimizu, “40 Gbit/s high sensitivity optical receiver with uni-travelling-carrier photodiode acting as decision IC driver,” Electron. Lett., vol. 34, no. 2, pp. 214–215, Jan. 1998. [17] S. Malyshev and A. Chizh, “State of the art high-speed photodetectors for microwave photonics application,” in Proc. 15th Int. MIKON Conf. Dig., Warsaw, Poland, May 2004, pp. 765–775. [18] P. J. Winzer, M. Pfennigbauer, M. M. Strasser, and W. R. Leeb, “Optimum filter bandwidths for optically preamplified NRZ receivers,” J. Lightw. Technol., vol. 19, no. 9, pp. 1263–1273, Sep. 2001. [19] D. E. Johnson, J. R. Johnson, and H. P. Moore, A Handbook of Active Filters. Englewood Cliffs, NJ: Prentice-Hall, 1980. [20] M. C. Foisy, P. E. Jeroma, and G. H. Martin, “Large-signal relaxationtime model for HEMT’s and MESFETs,” in IEEE MTT-S Int. Microwave Symp. Dig., Albuquerque, NM, Jun. 1992, pp. 251–254. [21] R. R. Daniels, A. T. Yang, and J. P. Harrang, “A universal large/smallsignal 3-terminal FET model using a nonquasi-static charge-based approach,” IEEE. Trans. Electron Devices, vol. 40, no. 10, pp. 1723–1729, Oct. 1993. [22] H. H. M. Ghouz and E. El-Sharawy, “An accurate equivalent circuit model of flip chip and via interconnects,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2543–2554, Dec. 1996. [23] W. H. Haydl, “On the used of vias in conductor-backed coplanar circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1571–1577, Jun. 2002. [24] M. Yu, R. Vahldieck, and J. Huang, “Comparing coax launcher and wafer probe excitation for 10 mil conductor-backed CPW with via holes and air bridges,” in IEEE MTT-S Int. Microwave Symp. Dig., Atlanta, GA, Jun. 1993, pp. 705–708. [25] D. F. Williams and K. A. Remley, “Analytic sampling-circuit model,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1013–1019, Jun. 2001. [26] F. X. Sinnesbichler and G. R. Olbrich, “Low phase noise 58 GHz SiGe HBT push–push oscillator with simultaneous 29 GHz output,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 35–38.

2042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Jung Han Choi (S’98–M’04) received the B.S. and M.S. degrees in electrical engineering from Sogang University, Seoul, Korea, in 1999 and 2001, respectively, and the Dr.-Ing. degree from the Technische Universität München, Munich, Germany in 2004. Since 2001, he has been a Research Scientist with the Institute for High-Frequency Engineering, Technische Universität München. His research interest includes semiconductor device design, fabrication, modeling, and optical receiver circuit design. Dr. Choi was the recipient of the 2003 Electrical and Electronic Engineering for Communication (EEEfCOM) Innovation Prize.

Gerhard R. Olbrich (M’85) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Technische Universität München, Munich, Germany, in 1974 and 1979, respectively. From 1975 to 1985, he was Assistant Professor with the Institute of Microwave Engineering and later with the Institute for High-Frequency Engineering, Technische Universität München. During this time, he was involved with problems of microwave S -parameter and frequency measurements, as well as planar hybrid circuits using thin-film technology up to 90 GHz. From 1986 to 1989, he was President of Work Microwave GmbH, Holzkirchen, Germany, during which time he was mainly engaged in the development of low phase-noise microwave oscillators. Since 1990, he has been with the Institute for High-Frequency Engineering, Technische Universität München, where he is currently Director of Research (Akademischer Direktor). His current research interests reach up to 110 GHz and lie in the fields of RF and microwave measurement techniques, active and passive device modeling, planar hybrid, and monolithic integrated circuits, as well as low phase-noise oscillators. Dr. Olbrich has served as a member of paper Review Boards and Steering Committees of several international conferences. He is also a member of the German Informationstechnische Gesellschaft Verean Deutscher Elektrotechniker (VDE) (ITG).

Peter Russer (SM’81–F’94) received the Dipl.-Ing. and Dr. techn. degrees in electrical engineering from the Technische Universität Wien, Vienna, Austria, in 1967 and 1971, respectively. From 1968 to 1971, he was an Assistant Professor with the Technische Universität Wien. In 1971, he joined the Research Institute of AEG-Telefunken, Ulm, Germany, where he was involved with fiber-optic communication, broad-band solid-state electronic circuits, statistical noise analysis of microwave circuits, laser modulation, and fiber-optic gyroscopes. Since 1981, he has been a Full Professor and Head of the Institute for High-Frequency Engineering, Technische Universität München (TUM), Munich, Germany. From October 1997 to September 1999, he was the Dean of the Department of Electrical Engineering and Information Technology, TUM. In 1990, he was a Visiting Professor with the University of Ottawa. In 1993, he was a Visiting Professor with the University of Victoria. From October 1992 to March 1995, he was Director of the Ferdinand-Braun-Institut für Höchstfrequenztechnik, Berlin, Germany. He has authored or coauthored over 500 scientific papers in refereed journals and conference proceedings. He has developed a variety of courses in RF techniques, microwaves, quantum electronics, and optical communications. He is the Program Director of the international graduate program Master of Science in Microwave Engineering at TUM. Over the years, he has graduated over 400 students of which more than 50 have received their Ph.D. degree. His current research interests are EM fields, antennas, integrated microwave and millimeter-wave circuits, statistical noise analysis of microwave circuits, and methods for computer-aided design of microwave circuits. He is a member of the Editorial Board of several international journals, including Electromagnetics and the International Journal of Numerical Modeling. Dr. Russer is a member of the German Informationstechnische Gesellschaft (ITG), the German Physical Society, and the Austrian Physical Society. He has served as a member of the Technical Program Committees and Steering Committees of various international conferences (IEEE Microwave Theory and Techniques Society (IEEE MTT-S), European Microwave Conference). He was guest editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. HE was chairman of the German Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) IEEE Joint Chapter from 1986 to 1989. Since 2000, he has been an elected member of the Senate Commission for Sonderforschungsbereiche of the Deutsche Forschungsgemeinschaft (German Research Council). From 1999 to 2000, he was vice chair and, from 2002 to 2005, he has been chair of the International Commission D of the Union Radio-Scientifique Internationale (URSI). He was the recipient of the 1979 Nachrichtentechnische Gesellschaft (NTG) Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2043

An Unbiased Integrated Microstrip Circulator Based on Magnetic Nanowired Substrate Aimad Saib, Student Member, IEEE, Michaël Darques, Luc Piraux, Danielle Vanhoenacker-Janvier, Senior Member, IEEE, and Isabelle Huynen, Member, IEEE

Abstract—A very compact planar fully integrated circulator operating at millimeter wavelength has been designed using a magnetic substrate combining a polymer membrane with an array of ferromagnetic nanowires. The original feature of this substrate, called magnetic nanowired substrate (MNWS), relies on the fact that the circulation effect is obtained without requiring any biasing dc magnetic field. This leads to a significant reduction of device dimensions since no magnetic field source is needed, and a realistic ability for integration with monolithic microwave integrated circuits. The circulator design is performed by an efficient analytical model including a self design of the impedance matching network. This model also allows a physical understanding of the circulation mechanism through the access to the electromagnetic field patterns inside the circulator substrate. Based on the excellent agreement between the theoretical and experimental results, the model is used to predict the improvement of circulator performances resulting from a reduction of dielectric and conductor losses. Insertion losses lower than 2 dB with an isolation higher than 45 dB are expected for MNWS circulators with a low-loss substrate and thick metallic layers. Index Terms—Circulator, ferromagnetic nanowires, integrated, microstrip, nonreciprocal.

I. INTRODUCTION

C

IRCULATORS are the most widely used microwave components that rely on magnetic materials. In transmitting and receiving (T/R) modules, circulators ensure an essential isolation between different parts of the circuit. They have been a subject of extensive theoretical and experimental development for over 40 years [1]–[5]. Recently, as wireless communication systems are flourishing and the operation frequencies are progressively increasing, there is a strong demand for circulators and isolators at millimeter wavelengths. The circulation function is made possible by the nonreciprocal behavior of ferrites and certain other materials such as hexaferrites [6]. However, traditional ferrite circulators/isolators are disadvantageous in monolithic microwave integrated circuit (MMIC) T/R mod5 kOe to work ules since they require a strong bias field Manuscript received September 30, 2004; revised December 3, 2004. This work was supported by the European Community Sixth Framework Programme under Contract NMP-CT-2004-505955, by the Belgian Science Policy under the Interuniversity Attraction Pole Program PAI (5/1/1), and by the National Fund of Scientific Research, Belgium. A. Saib, D. Vanhoenacker-Janvier, and I. Huynen are with the Microwave Laboratory, Université Catholique de Louvain, B-1348 Louvain-la-Neuve, Belgium (e-mail: [email protected]). M. Darques and L. Piraux are with the Laboratore de Physico-Chimie et Physique des Matériaux, Université Catholique de Louvain, B-1348 Louvain-laNeuve, Belgium (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848818

at millimeter wavelengths [5]. Remanent state circulators show the circulation behavior without a static biasing magnetic field, which considerably reduces the device dimensions and facilitates its integration with MMIC circuits. A circulator, working -band without a bias field, using strontium M-type hexat aferrite (SrFe O , SrM) ceramic has been presented in [6]. Nevertheless, the high dielectric losses of the hexaferrite necessarily required a hybrid integration with alumina and silicon. In this paper, a new design of a planar fully integrated circulator operating without the biasing magnetic field will be presented. It is based on the use of a composite magnetic material, called magnetic nanowired substrate (MNWS), combining a polymer membrane with ferromagnetic nanowires [7], [8]. This nanowired composite presents nonreciprocal properties similar to those observed with ferrites, but without the need for a dc-biasing field. This technology also enables a full integration of the nonreciprocal material in the planar geometry of the device, as will be shown in Section II. The design is based on a model combining the permeability tensor describing the magnetic properties of ferromagnetic nanowires in remanent state [7] with an analytical formalism derived from that of Bosma [2] for stripline circulators. It allows a complete modeling of microstrip circulators including a self design of the impedance matching network, as well as the visualization of electromagnetic field patterns inside the circulator substrate. The development of this analytical model is primary for the design of nonreciprocal planar devices because most electromagnetic simulators are not able to take into account ferrite/magnetic substrates. II. TOPOLOGY OF THE MNWS CIRCULATOR The composite substrate used for the design and fabrication of our planar circulator consists of an array of parallel ferromagnetic nanowires electrodeposited into a porous polycarbonate (PC) membrane with a metallic ground plane (Fig. 1) following the method reported in [9] and [10]. The circulator topology is obtained by an electrodeposition of ferromagnetic nanowires below the metallic disk only, as illustrated in Fig. 1. This is realized by covering the porous dielectric membrane before electrodeposition with a mask containing a circular hole so that wire growth is possible only in the circular area. Hence, the composite nanowired substrate in that circular area forms a topology similar to the ferrite disk used in hybrid strip-line circulators [2]. A main advantage over them is that our composite substrate is easily integrated in the dielectric membrane, making the technology fully planar, and not hybrid. Also, as the lower

0018-9480/$20.00 © 2005 IEEE

2044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. Schematic three-dimensional view of the MNWS circulator showing the ground plane and microstrip pattern deposited on the substrate: only the area under disk is nanowired composite, the remaining part is bulk PC membrane.

metallic layer is grounded to obtain an electrode for the electrodeposition, a planar microstrip topology is preferred, and advantageously replaces the stripline for integrated applications. The microstrip metallic pattern, consisting of a disk with three microstrip access lines connected at equal distance around the edge of the disk, and forming the three ports of the device, is then deposited using a mask placed on the polymer membrane containing the nanowired area.

Fig. 2. Dependence of

n

=

kR on the ratio = for several modes orders

f03; 02; 01; 0; 1; 2; 3g. The solid lines represent the first pair of

solutions and the dashed lines represent the second pair of solutions for the first resonant mode. The inset represents a schematic view of the rotating modes. ( ) corresponds to n < 0 and (+) corresponds to n > 0.

0

III. THEORETICAL MODEL Based on Bosma’s theory [2], [11], an analytical model for designing circulators based on MNWS will be presented. This model takes into account the planar microstrip geometry of the circulator, electromagnetic properties of the MNWS in the remanent state, as well as several parameters such as the filling factor (porosity or concentration of nanowires), conductor losses of metallic layers, dielectric losses of the PC membrane, and the impedance matching network, which should be connected to the ports of the circulator. A. Solution of the Wave Equation The cylindrical symmetry of the circulator leads to a homogeneous Helmholtz equation in terms of the electric-field com[2]. From boundary conditions, it is known that the ponent tangential component of the magnetic field at each connection must be equal to the magnetic field in the correis sponding microstrip access line. As a consequence, constant over the microstrip width and is zero elsewhere (Fig. 1)

(1) elsewhere Hence, a Green’s function can be introduced such that (2) where

is given in [2].

B. Circulation Mechanism and Field Distribution 1) Circulator Resonances: For the investigation of the circulation mechanism, only the resonances of the circulator disc configuration with a magnetic wall at the edge have to be considered. Those resonances occur if the denominator of the is zero series involved in the Green’s function as follows: (3) where is the Bessel function of the first kind, may be any positive or negative integer and denotes the order of the resonant modes, is an intrinsic wavenumber defined by , with being the angular frequency, being the permittivity of the magnetic substrate, being an effective scalar permeability characterizing the wave propagation under the circulator disc, and where and are, respectively, the diagonal and off-diagonal components of the MNWS permeability tensor (given in [7] and [8]). The solutions of (3) have been computed for several resonant mode orders . Fig. 2 shows the dependence on the ratio for those solutions. For each value of of , there exists multiple values, which satisfy the resonance equation (3). We restricted the solutions presented in Fig. 2 to values, except for the orders those obtained with the smallest 1 for which the second solutions are given in dashed lines. , the resIf the medium below the disc is isotropic, onance conditions of the clockwise and counterclockwise rotating modes (inset of Fig. 2) are identical . Hence, those resonances are degenerate: they occur at the (Fig. 2). When the substrate same frequency for both and

SAIB et al.: UNBIASED INTEGRATED MICROSTRIP CIRCULATOR BASED ON MNWS

anisotropy increases, , each degenerate pair splits, giving different solutions of (3). For a fixed disc radius and magnetic properties ( and ), these two resonances have different frequencies. At the circulation frequency, which is situated between these two resonant frequencies, the two rotating modes (inset of Fig. 2) must travel at different velocities for the circulator action to occur. This might be possible due to the anisotropic permeability of the magnetic material. For couand counterclockwise pling from ports 1 to 2, the clockwise modes must arrive in phase at port 2: the wave must wave travels to reach port 2. travel twice the distance the For port 3 to be decoupled, the two wave components arriving at this port must differ in phase by an odd multiple of . When these two phase conditions are satisfied, we have a perfect cirnot only characterizes the nonrecipculation. The factor rocal behavior of the magnetic substrate, but also the difference between the two resonance frequencies of the counter-rotating modes. For the circulator prototype that will be presented in Section IV, the circulation is situated as indicated by the symbol in Fig. 2. 2) Electric- and Magnetic-Field Distributions: The expression of the electric field is derived from (2) where the Green’s is integrated over the disc circulator cirfunction cumference, and the magnetic field at the edge is given by (1). After calculation, the following expression of the electric intensity in any point of the circulator disc is obtained:

(4) , , and are given in the Appendix. The radial where and azimuthal components of the magnetic field are then derived using Maxwell’s equations [2] from (5) (6) The expressions of , , , and are available in the Appendix. To give a better picture of the circulation mechanism, the electromagnetic-field intensities over the magnetic disc have been computed in the case of the fabricated Co-MNWS circulator, which is presented in Section IV. This circulator has a significant circulation effect at 26 GHz corresponding to the second-order resonant modes (Fig. 2 ). Using the previous expressions, the electromagnetic field patterns have been calculated at this frequency (26 GHz) for two cases: with and without ferromagnetic nanowires in the circulator disc. Fig. 3 shows the magnitude and phase of the electric field and magnetic field at circulation frequency of 26 GHz (Fig. 2: , ). In order to maximize the accuracy of the computations, 30 terms of the series involved in the electromagnetic field expressions have been retained. The electric

2045

Fig. 3. Electric [magnitude (a) and phase (b)] and magnetic [magnitude (c) and phase (d)] fields intensities at the second-order 26-GHz circulation frequency of the Co-MNWS circulator. The magnitudes are given in arbitrary dimensions and the phases are in radians.

field distribution presents two maximums, oppositely directed ( -out of phase), at the input (1) and output (2) ports, and a zero at the isolated port (3). The magnetic field shows two maximums, in phase with the azimuthal directions, at the input and output ports, and a zero at the isolated port. Both the electric and magnetic fields result in a pattern for which Poynting vectors are significant at the input and output ports and are oppositely directed: a power flow occurs, which transports the energy from the input port toward the output port. At the isolated port, this Poynting vector vanishes as the electromagnetic fields are identically zero. If the magnetic material is removed from the circulator disc, at the same frequency of 26 GHz, the electromagnetic fields become distributed, as shown in Fig. 4. The electric-field pattern of Fig. 3(a) looks like it is simply rotated by an angle of 15 , resulting in a maximum at the input port and smaller, but identical magnitudes at the output and isolated ports. The magnetic field shows a maximum at the input port and low levels at the two other ports. As a consequence, the electromagnetic power injected in the input port is equally divided between the output and isolated ports: the nonreciprocity is lost and the circulator becomes reciprocal. C. Scattering Matrix and Matching Network Let us consider and , respectively, the voltage and current at the connections between microstrip access lines and cirand , , and culator disc, with . They can be calculated approximately as follows: (7) (8)

2046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. Electric [magnitude (a) and phase (b)] and magnetic [magnitude (c) and phase (d)] fields intensities at the second-order 26-GHz circulation frequency of the circulator without nanowires.

with , the width of the microstrip lines at the connections, and , the substrate thickness. By virtue of (1) and (2), the impedance matrix components of the three-port circulator are then given by (9) where and . at the connection of the input port The input impedance can be obtained from the impedance matrix subjected to the circulation condition: the transmission occurs from ports 1 to 2, port 3 being isolated. Under this condition, the three-ports circulator is reduced to two-ports (10) If the circulator is considered as perfectly symmetrical, the following matching condition holds: (11) This simply means that when the impedance seen at port 1 is connected to port 2, a perfect matching occurs provided that the is zero. After some calculations and taking imaginary part of due to the symmetry, the following into account expression is found: (12) The solution that has to be kept is the one leading to a positive real part since the circulator is a passive device. In order to minimize the insertion losses of the circulator, this input impedance must be matched to the reference impedance 50 .

Fig. 5. Measured (black curves) and simulated (gray curves) transmission coefficient from ports 1 to 2 (solid lines) and from ports 1 to 3 (dashed lines), and reflection coefficient at port 1 (dashed–dotted lines). The inset presents a top view of the measured circulator prototype fabricated using Co-MNWS with a saturation magnetization of M = 1:7 kOe.

This is achieved by quarter-wavelength microstrip sections acting as impedance transformers. These matching sections should be connected between the three ports of the circulator and the 50- access lines to have simultaneous matching. The scattering matrix of the three ports circulator at the input of the impedance matching network is given by the classical -tomatrix conversion formula [12] and reference plane translation from the connections. IV. EXPERIMENTAL VALIDATION Fig. 5 compares the circulation performances predicted by our analytical model to those measured without applying any dc magnetic field on the prototype in the inset with the following properties. mm. • Disc diameter • Quarter-wave transformer: width mm and length mm. mm and length mm. • Access line: width %. • Porosity • Using Co-MNWS. A strong circulation effect is observed in measurements and is perfectly predicted by our model at 26 GHz (Fig. 5): the transfrom ports 1 to 2 is maximum at this mission coefficient from ports 1 to 3 is frequency, while the transmission level 28 dB lower, showing that port 3 is isolated. A similar behavior, not shown here, is measured when port 2 is fed (transmission from ports 2 to 3, port 1 being isolated), and when port 3 is fed (transmission from ports 3 to 1, port 2 being isolated). This . Howproves that circulation occurs in the sense ever, it has to be pointed out that the maximum transmission level is very low: it is explained by the fact that the first prototype is not optimized for matching and dielectric losses. The bulk PC membrane available for the fabrication of the nanowired com. posite has a high dielectric loss tangent equal to

SAIB et al.: UNBIASED INTEGRATED MICROSTRIP CIRCULATOR BASED ON MNWS

2047

Fig. 6. Several improvements of the circulator performances obtained using the analytical model. (a) Simulated (gray curves) and measured (black curves) performances of the circulator of Fig. 5(c), which is not matched and where all losses are taken into account. (b) Simulated scattering parameters when the circulator is matched, (c) when the dielectric losses are reduced, and (d) when the dielectric and conductor losses are minimized. The matching is adjusted accordingly in the last two cases.

V. IMPROVED DESIGNS Here, several improved designs of the circulator of Fig. 5 are proposed using the efficient analytical model presented earlier. Fig. 6 shows the predicted performances expected from different designs. Fig. 6(a) presents the measured (black curves) and simulated (gray curves) scattering parameters of the fabricated prototype whose input impedances are not matched to 50 , and where all losses are present. Fig. 6(b) shows the simulated scattering parameters for a matched circulator with all losses. Fig. 6(c) and (d) present, respectively, the expected performances when the dielectric losses of the PC membrane and the conductor losses of the microstrip metallic layers are reduced, the matching sections being adjusted accordingly. In Fig. 6(a), the reflection coefficient around the circulation frequency is higher than 7 dB, which means that the circulator is not matched. When this later becomes matched [see Fig. 6(b)], the reflection is considerably reduced 13 dB , while the isolation between the input and the isolated ports is reduced too (12 dB instead of 28 dB obtained for the mismatched circulator). This shows that, in the presence of high losses, the matching cannot be performed without degradation of the circulation performances. Indeed, the isolation recovers significantly 30 dB and the insertion losses decrease 4 dB , when the dielectric losses are reduced by a factor of 20 to be close to the classical microwave low-loss substrates [see Fig. 6(c)]. The fact that the high isolation is lost when the dielectric losses are present is attributed to the com-

plex input impedance of the circulator with a high imaginary part resulting from the high losses. The quarter-wave matching transformers that are used here are based on the assumption of a real input impedance, which is not valid at all in the presence of losses. When the conductor losses are removed and the matching sections adjusted accordingly [see Fig. 6(d)], a very high isolation is reached 45 dB with weak insertion losses 2 dB . Works are in progress to find technological solutions such as the use of alumina or polyimide instead of PC porous membranes, aiming to reduce the losses. VI. CONCLUSION An efficient analytical model for designing microwave circulators based on MNWS has been developed after an understanding of the circulation mechanism. A first microwave circulator based on such a material has been designed using the analytical model, which has been successfully validated by measurements. The circulator topology is planar, fully integrated, and shows a circulation effect without any dc-biasing magnetic field. This leads to a significant reduction of device dimensions since no static magnetic field source is needed. Based on the very good agreement between theory and experiment, the model has been used to predict performances improvement resulting from a modification of technological parameters such as dielectric losses of the polymer and conductor losses of metallic layers. An isolation higher than 45 dB

2048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

and insertion losses close to 2 dB are expected for a magnetic nanowired composite circulator using a low-loss substrate and thick metallic layers. APPENDIX

(13) (14)

(15)

(16) (17) (18)

[8] A. Saib, M. Darques, L. Piraux, D. Vanhoenacker-Janvier, and I. Huynen, “Design of a unbiased microwave circulator using a magnetic nanowired substrate,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 12–14, 2004, pp. 1353–1356. [9] L. Piraux, S. Dubois, and S. Demoustier-Champagne, “Template synthesis of nanoscale materials using the membrane porosity,” Nucl. Instrum. Methods Phys. Res. B, Beam Interact. Mater. At., vol. B 131, pp. 357–363, 1997. [10] G. Goglio, S. Pignard, A. Radulescu, L. Piraux, I. Huynen, D. Vanhoenacker-Janvier, and A. V. Vorst, “Microwave properties of metallic nanowires,” App. Phys. Lett., vol. 75, pp. 1769–1771, Sep. 1999. [11] H. Bosma, “On the principle of stripline circulation,” Proc. Inst. Elect. Eng., vol. 109B, pp. 137–146, Jan. 1962. [12] A. Dobrowolski, Introduction to Computer Methods for Microwave Circuts Analysis and Design, 1st ed. Boston, MA: Artech House, 1991.

Aimad Saib (S’04) was born in Fez, Morocco, in 1978. He received the Electrical Engineer degree from Université Ibnou Zohr, Agadir, Morocco, in 1999, the Master of Science degree in propagation telecommunications and remote sensing from the Université de Nice-Sophia Antipolis, Nice, France, in 2000, and the Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 2004. Since 2000, he has been a Research Assistant with the Microwave Laboratory (EMIC), UCL. His research activity concerns characterization and modeling of magnetic nanomaterials and metamaterials for planar passive and nonreciprocal devices at centimeter and millimeter wavelength for telecommunication applications.

(19) (20) (21)

ACKNOWLEDGMENT The authors are indebted to R. Legras and E. Ferain for providing the various polymer templates used in this study. The views expressed are those of the authors and the European Community is not liable for any use that may be made of the information contained herein. REFERENCES [1] B. Lax and K. J. Button, Microwave Ferrites and Ferrimagnetics, 1st ed. New York: McGraw-Hill, 1962. [2] H. Bosma, “On stripline Y-circulation at UHF,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 1, pp. 61–72, Jan. 1964. [3] R. H. Knerr, “An annotated bibliography of microwave circulators and isolators: 1968–1975,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 10, pp. 818–825, Oct. 1975. [4] D. K. Linkhart, Microwave Circulator Design, 1st ed. Norwood, MA, USA: Artech House, 1989. [5] J. D. Adam, L. E. Davis, G. F. Dionne, E. F. Schloemann, and S. N. Stitzer, “Ferrite devices and materials,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 721–737, Mar. 2002. [6] S. A. Oliver, P. Shi, W. Hu, H. How, S. W. McKnight, N. E. McGruer, P. M. Zavracky, and C. Vittoria, “Integrated self-biased hexaferrite microstrip circulators for millimeter-wavelength applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 385–387, Feb. 2001. [7] A. Saib, D. Vanhoenacker-Janvier, I. Huynen, M. Darques, and L. Piraux, “Unbiased microwave circulator based on ferromagnetic nanowires arrays of tunable magnetization state,” J. Phys. D, Appl. Phys., 2004, to be published.

Michaël Darques was born in Toulouse, France, in 1977. He received the Master degree in nanosciences from the Université Paul Sabatier, Toulouse, France, in 2001, and is currently working toward the Ph.D. degree in applied sciences at the Université Catholique de Louvain (UCL), Louvain la Neuve, Belgium. Since 2001, he has been with the Laboratore de Physico-Chimie et Physique des Matériaux, UCL. His main research activities concern the microwave properties of electrodeposited nanowires and, more precisely, the correlation between the structural and magnetic properties of cobalt nanowires.

Luc Piraux received the Ph.D. degree from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1987. From 1989 to 2001, he was Research Associate of the National Fund for Scientific Research (FNRS), Belgium. Since 2001, he has been the Head of the Unité de Physico-Chimie et de Physique des Matériaux, Materials Science Department, UCL. His research activity deals with basic experimental research in the field of nanostructured materials and low-dimensional systems including the investigation of both their physical properties and their synthesis with the aim of generating new structural arrangements tailored to specific desired properties. Since 1994, he and his group have extensively used the template method to fabricate and study the properties of magnetic and superconducting nanowires. These properties include giant magnetoresistance effects, magnetization processes in single magnetic nanowires, one-dimensional superconductivity, quantum electrical transport, spin dynamics, field emission, etc. He has authored or coauthored over 150 refereed publications. He holds three patents. He was involved in several European Community (EC) research projects and has managed numerous national projects. Dr. Piraux he has been a member of the Groupe des Sciences Physiques du FNRS of the Commission Chimie-Physique, Etat Solide (FNRS) since 1997, a member of the Commission Vaste-Stoffysica of the Fonds door Wetenschappelijk Onderzoek (FWO) since 1996, and a member of the Fonds pour la Formationà la Recherche dans l’Industrie et l’Agriculture (FRIA) since 2000.

SAIB et al.: UNBIASED INTEGRATED MICROSTRIP CIRCULATOR BASED ON MNWS

Danielle Vanhoenacker-Janvier (M’88–SM’90) received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1978 and 1987, respectively. She is currently with the UCL, where she has been an Assistant (1979–1987), Senior Scientist (1987–1994), Associate Professor (1994–2000), and Professor (since 2000) with the Microwave Laboratory. Since 2001, she has been Head of the Microwave Laboratory. She has been involved in the study of atmospheric effects on propagation above 10 GHz for over 25 years and she is currently interested in the analysis and modeling of the mobile propagation channel and the evaluation of its impact on communication systems. In 1989, she extended her research activity to microwave circuits. She is involved in the analysis, design, and measurement of microwave planar passive and active circuits with a special interest, since 1994, in microwave integrated circuits on silicon-on-insulator (SOI). She has authored over 120 technical papers and coauthored one book. Dr. Vanhoenacker-Janvier is reviewer for various international conferences and IEEE and Institution of Electrical Engineers (IEE), U.K., journals. She is also a member of Evaluation Committees for grants and projects at Innovatie door Wetenschap en Technologie (IWT) since 1997, and at Fonds door Wetenschappelijk Onderzoek (FWO) and Fonds pour la formation a la Recherche dans l’Industrie et l’Agriculture (FRIA) since 2001.

2049

Isabelle Huynen (S’90–A’95–M’96) was born in Brussels, Belgium, in 1965. She received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1989 and 1994, respectively. In 1989, she joined the Microwave Laboratory, UCL, where she is currently a Research Associate of the National Fund for Scientific Research (FNRS), Belgium, and a Part-Time Associate Professor. Her main research deals with electromagnetic theory and measurement techniques applied to materials, devices, and circuits at microwave, millimeter-wave, and optical wavelengths. She has particular interest in the development of microwave and millimeter-wave devices based on nanoscaled materials and topologies in view of synthesizing wide-band hybrid and integrated opto-electronic circuits for telecommunications applications. Dr. Huynen is a member of the Belgian Society of Telecommunication and Electronic Engineers (SITEL) and the Royal Society of Belgian Electricians (SRBE/KVBE).

2050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Very Low-Noise Differential Radiometer at 30 GHz for the PLANCK LFI Beatriz Aja, Student Member, IEEE, Eduardo Artal, Member, IEEE, Luisa de la Fuente, Member, IEEE, Juan Pablo Pascual, Member, IEEE, Angel Mediavilla, Neil Roddis, Danielle Kettle, W. Frank Winder, Lluis Pradell i Cara, and Pedro de Paco

Abstract—The PLANCK mission of the European Space Agency is devoted to produce sky maps of the cosmic microwave background radiation. The low-frequency instrument is a wide-band cryogenic microwave radiometer array operating at 30, 44, and 70 GHz. The design, test techniques, and performance of the complete differential radiometer at 30 GHz are presented. This elegant breadboard 30-GHz radiometer is composed of a front-end module (FEM) assembled at the Jodrell Bank Observatory, Cheshire, U.K., and a back-end module assembled at the Universidad de Cantabria, Cantabria, Spain, and Telecomunicació, Universitat Politécnica de Catalunya, Barcelona, Spain. The system noise temperature was excellent, mainly due to the very low noise performance of the FEM amplifiers, which achieved an average noise temperature of 9.4 K. Index Terms—Cosmic microwave background (CMB), cryogenic, low-noise amplifier (LNA), microwave radiometer, PLANCK low-frequency instrument (LFI).

I. INTRODUCTION

P

LANCK is the third-generation space cosmic microwave background (CMB) temperature anisotropy mission, following the cosmic microwave background explorer (COBE) and Wilkinson microwave anisotropy probe (WMAP). PLANCK will provide wide-frequency coverage with two instruments, i.e., the bolometer-based high-frequency instrument (HFI) and the radiometer-based low-frequency instrument (LFI), having outstanding angular resolution and sensitivity [1]. The LFI contains 22 differential radiometers covering three frequency bands with ultralow-noise amplifiers based on cryogenic indium phosphide (InP) high electron-mobility transistors (HEMTs). There are four radiometers at 30 GHz, six at 44 GHz, and 12 at Manuscript received September 30, 2004; revised December 17, 2004 and January 12, 2005. This work was supported by the Physic Particles and Radioastronomy Committee, U.K., and by the Spanish Ministerio de Ciencia y Tecnología under Space National Program ESP2002-04141-C03-02/03. B. Aja, E. Artal, L. de la Fuente, J. P. Pascual, and A. Mediavilla are with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, Escuela Técnica Superior de Ingenieros de Telecomunicación, 39005 Santander, Spain (e-mail: beatriz@ dicom.unican.es). N. Roddis, D. Kettle, and W. F. Winder are with the Jodrell Bank Observatory, The University of Manchester, Cheshire SK11 9DL, U.K. (e-mail: [email protected]). L. Pradell i Cara is with the Departamento de Teoría del Senyal i Comunicacions, Escola Técnica Superior d’Enginyeria de Telecomunicació, Universitat Politécnica de Catalunya, 08034 Barcelona, Spain (e-mail: [email protected]). P. de Paco was with the Departamento de Teoría del Senyal i Comunicacions, Escola Técnica Superior d’Enginyeria de Telecomunicació, Universitat Politécnica de Catalunya, 08034 Barcelona, Spain. He is now with the Departament de Telecomunicació i Enginyeria de Sistemas, Universidad Autonoma de Barcelona, 08193 Barcelona, Spain. Digital Object Identifier 10.1109/TMTT.2005.848815

Fig. 1.

Schematic of an LFI radiometer.

70 GHz [2], [3], all of them with a 20% effective bandwidth. Fig. 1 shows a schematic of an LFI radiometer chain, which comprises two receivers. A feed horn [4], an orthomode transducer (OMT), a front-end module (FEM), and a back-end module (BEM) constitute an LFI radiometer. The feed horn is in the focal plane and the OMT separates the incoming radiation into two perpendicular linearly polarized components that propagate independently through the two parallel branches. High performance of these passive components has crucial importance in high-sensitivity CMB experiments. The two main units, i.e., the FEM and BEM, are connected via 1-m-long waveguides. The FEM contains the most sensitive part of the receiver, where pseudocorrelation is implemented. It operates at 20 K to lower the system noise and to have better sensitivity. This temperature is provided by a closed-cycle hydrogen sorption cryocooler [5], which will be capable of proving 1.2 W of cooling power at 20 K. This stringent requirement on low power consumption caused the decision to split the radiometer into a cold FEM and a warm BEM at 300 K. The BEM provides further amplification, defines the band, and detects the signal. Section II describes the overall architecture and operating principles of the radiometer. Sections III and IV give details of the design and performance characterization of the FEM and BEM. Section V deals with the overall performance characterization of the radiometer. Finally, conclusions are drawn in Section VI. II. RADIOMETER ARCHITECTURE A. Overview The LFI receivers are coherent direct detection radiometers. The detected voltage results from the noise power without using intermediate frequencies. High sensitivity is due to low-noise temperatures and wide bandwidths of the amplifiers. On the

0018-9480/$20.00 © 2005 IEEE

AJA et al.: VERY LOW-NOISE DIFFERENTIAL RADIOMETER AT 30 GHz FOR PLANCK LFI

Fig. 2.

2051

Half LFI radiometer schematic.

other hand, large bandwidths require a high degree of gain stability of the entire amplifier chain comprising the radiometer. Gain and noise temperature fluctuations of the amplifiers themselves can produce instabilities that reduce the sensitivity. The , achieved by a radiometer of effective bandsensitivity, width and noise temperature for an integration time is given by (1) [6] as follows:

The outputs are switched data signals alternately proportional to the sky and reference load temperatures modulated at the frequency of the phase switching. These output signals are integrated and digitized. The expression of the FEM output signals for each frequency according to Fig. 2 are given by (3) and (4) as in plane follows:

(1) where is the mean magnitude of the fractional gain flucspectrum frequency [7], [8] tuation with an approximate occurring during the integration interval and would vanish for an ideal radiometer system. The effective bandwidth of the radiometer is given by (2) as follows:

(3)

(2) where is the frequency-dependent power response of the radiometers. B. Pseudocorrelation Radiometer The pseudocorrelation radiometer [9]–[12] allows continuous comparison and differencing between two independent observations, therefore, the sensitivity or the minimum detectable signal compared to the Dicke radiometer is improved by a factor of scheme. Fig. 2 shows a detail of a half LFI radiometer. The other half radiometer has an identical schematic. The sky and reference load are coupled to a low-noise amplifier (LNA), in parallel chains, in the FEM via a 180 hybrid coupler. Each signal then propagates through a phase switch. There are two phase switches to keep symmetry, but only one of them applies a phase shift that changes between 0 –180 at a frequency rate of 4096 Hz. A second 180 hybrid coupler in the FEM recombines the signals and delivers a power proportional to each input load in a different BEM branch. Rapid switching ensures that gain fluctuations in the BEM do not significantly affect the overall radiometer gain stability. In the BEM, signals are amplified, filtered by a bandpass filter, and detected with a square-law detector. Finally, a low-noise dc amplifier increases the detected signal to the data acquisition electronic module.

(4) where and are the signals from the sky and reference load, respectively, and are the hybrids’ insertion , , , and are the LNA gains and noise losses, and , and are the in the FEM with phase shifts and are the phases of phase-switch insertion losses, and the phase switches. and , according to the nomenclature The BEM outputs in Fig. 2, are video voltage signals obtained by integration along the frequency band with (5) and (6), and these voltages take into account the square-law response of the diode detector (5) (6) and are the dc amplifier gains, and and where are the sensitivities of the detectors. , , , and are the LNA gains and noise with phases and .

2052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

, , , and are the waveguides and band, and pass filter insertion loss, and their phases are , , respectively. and , the FEM outputs expressions, are (3) and (4), respectively. The most important effects are described in the previous analysis to show how each subsystem relates to the overall radiometer performance. Nonidealities in the components comprising the radiometer lead to effects not included in the above expressions. In order to simplify the equations’ insertion losses, gains, noise, and sensitivities are considered to be flat over the band and identical for both branches. The product of gains and insertion losses in the FEM and BEM is denoted according to (7) as follows:

,

(8) (9)

B. LNAs

, , and

. The phase will be 0 and applies a phase shift that changes between 0 –180 , therefore, the output voltage at each branch, considering uncorrelated noise, is given by (8)–(11), respectively. Case 1) and equal to 0

Case 2)

equal to 0 and

A. Hybrid Couplers Each half FEM has a balanced structure based on two matched magic-T hybrids. The first one provides half of the input power from input loads to each branch. The second one acts as a combiner and delivers a power proportional to each input load to a different BEM branch. Both hybrids have coaxial line probe transitions to WR-28. They have been designed using electromagnetic modeling software and they have been machined from aluminum alloy and gold-plated. The contribution to the system noise of the input hybrid was negligible due to the effect of its waveguide design and the low operating temperature. To integrate the FEM, two identical blocks with two hybrids were built as a single body ready to house the LNAs and phase switches.

(7) where

TABLE I RADIOMETER PERFORMANCE SPECIFICATIONS

equal to 180 (10) (11)

, , and . and The output of the radiometer is then the difference of obtained by post-processing. In Section V-E-II, the differential output voltage using temperatures is presented. An advanced elegant breadboard (EBB) at 30 GHz of the radiometer [13] has been constructed to verify the performance of the system. This prototype radiometer chain has provided knowledge about design and fabrication of critical components, radiometer characterization, systematic errors, and nonidealities [14]. The 30-GHz radiometer design goals and requirements are summarized in Table I. The total microwave gain of each chain in the radiometer was selected in order to have 30 dBm as the input power to each diode detector. where

III. FEM A half FEM was built as the EBB comprising one input hybrid coupler, two LNAs, two phase switches, and one output hybrid coupler.

LNAs are key components in millimeter-wave radar and radiometer system applications. The amplifiers, especially developed for the 30-GHz radiometer receivers, have shown the best noise performance among the reported InP-based LNAs at 20 K. The designed LNAs have four stages. They have been developed in millimeter-wave microwave integrated-circuit (MIC) technology using InP HEMTs manufactured by NGST (formerly TRW), Redondo Beach, CA. The design has been carried out with available models for all the components used in the amplifiers. From models at room temperature, their performance at cryogenic temperatures have been taken into account, with stability being a critical parameter. The LNA transistors and matching networks were placed in a narrow width channel in order to avoid oscillations related to waveguide moding. Transistors are connected to microstrip of 2.2 lines made on a Cuflon 0.003-in dielectric constant bond wires connecting the bias with gold bonding wires. lines act like an RF choke. The resistors and capacitors presented in the bias circuitry network are used to assure amplifier stability at low frequencies. Within the band, their effect can be wire, but outside, they neglected due to the presence of the act as stabilizing elements. The noise temperature requirement of these LNAs was lower than ever previously achieved with a multistage transistor amplifier. They have provided very low noise performance with very low power consumption at cryogenic temperature. The LNA gain was approximately 34.7 dB and pairs of LNAs were

AJA et al.: VERY LOW-NOISE DIFFERENTIAL RADIOMETER AT 30 GHz FOR PLANCK LFI

Fig. 3. Measured LNA gain and noise temperature at 15 K.

2053

Fig. 5. Phase shift and insertion loss of the phase switch.

Fig. 6. Part of the EBB FEM showing four-stage LNA- and HEMT-based phase switch. Fig. 4. HEMT-based phase switches, comprising a microstrip hybrid, active devices, and bias circuitry.

matched in gain and phase. The average noise temperature over the 6-GHz bandwidth was 9.4 K at 15 K. These results are among the best reported [15], [16]. The gain in decibels and the noise temperature in kelvin over the operating bandwidth of one LNA is depicted in Fig. 3. The bias settings for front-end LNAs were set for 20-K operation. The performance was optimized to obtain maximum gain and minimum noise figure. In both amplifiers, all the drain voltages were 0.6 V and the power consumption were calculated to be 10.6 and 10.8 mW. C. Phase Switch The phase-switch design has been the subject of an international patent [17] and it has been used on all LFI radiometers. One phase switch [18] is placed in each FEM branch. It connects the LNA outputs to the output hybrid and it introduces a phase shift of 180 in the signal in one state with relation to the other. This circuit has been specially designed for PLANCK LFI at all frequencies. The phase switches used in this EBB FEM assembly were designed with GaAs HEMTs as active elements, leading to a very low power dissipation of a few microwatts. The microstrip lines were made on a Cuflon 0.003-in dielectric of 2.2. Two HEMT-based phase switches were asconstant sembled and tested at room temperature in a jig shown in Fig. 4. The electronic bias consists of a decoupling.

Phase shift and insertion loss at room temperature are depicted in Fig. 5 showing a very flat response along the operating bandwidth with a peak-to-peak variation of 5 over 10 GHz and 1.5 over a 6-GHz-band circuit formed by capacitors and resistors. The results have shown very wide-band performance for this design of a 180 phase shifter. The insertion loss was 4–6 dB over a 13-GHz band, but it is thought to be partly attributable to the test jig in which the switches were mounted. The only drawback of the phase switch was the relatively high insertion loss 6 dB , but its placement after the LNA means it does not have much effect on the system noise temperature. An improved phase switch designed on an InP monolithic microwave integrated circuit (MMIC) chip version was manufactured on the HBT InP wafer process at NGST. These chips were tested warm and cold and showed to not only have better performance than the other versions, but to offer significant simplification in construction and integration into the FEM. Test results at 30 GHz were excellent, phase response of 180 1 , insertion loss lower than 2.5 dB, and return loss better then 10 dB across the operating bandwidth. D. FEM Branch An LNA- and HEMT-based phase switch have been assembled in split blocks. Fig. 6 shows one four-stage LNA followed by the phase switch. Two identical blocks were integrated with the hybrids, being part of the FEM body, to build a receiver. An external view of a half FEM is shown in Fig. 7, depicting the two hybrid input waveguides (WR-28) and mounting lugs.

2054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 9.

FEM gain versus frequency for various phase-switch states.

Fig. 7. Exterior of a half FEM, showing input hybrid ports and mounting lugs.

Fig. 8.

Setup schematic to test output power.

E. FEM Test and Performance Firstly, the half FEM was tested at room temperature to indicate whether the FEM is working properly. It does not reveal subtleties of performance, but indicates that the amplifiers and phase switches are still operating with the correct bias conditions. The sky target in these tests was a WR28 waveguide load, and the reference load was a prototype of the 4-K reference load, both at room temperature. The half FEM was then cooled to 20 K and it was tested. The FEM output power across the band was plotted using a double-sideband mixer, signal generator, and noise-figure meter according to the test setup shown in Fig. 8. The output power versus frequency in one output of the FEM with the four combinations of the phase-switch state is shown in Fig. 9. The waveguide terminations inside the cryostat were at 100 K as a hot load, and at 17.3 K as a cold load. Both traces in Fig. 9 with higher output power belong to the cases 180 and 0 or 0 and 180 phase-switch states and these are related to the 100-K load temperature. When both phase switches have the same state, the output power is lower and related to 17.3 K. The results for the other output in the FEM were very similar, but the same levels of output power are obtained with opposite phase-switch states. IV. BEM The BEM, where signals are amplified, filtered, and detected has RF inputs and dc outputs. The half BEM is composed of two identical branches and each one comprises two LNAs, one bandpass filter, a diode detector, and a dc amplifier. The BEM gain is specified to be 30 dB in order to have the detector diode working

Fig. 10.

LNA noise figure and gain at room temperature.

in its linear region. Two identical MMIC LNAs have been cascaded in order to provide the necessary gain. A WR-28 waveguide-to-microstrip transition was designed using a steppedridge waveguide and it is the first functional element of the BEM. This transition has been chosen for its broad bandwidth, low insertion loss, and repeatable performance. A. LNA The LNA is on MMIC technology. The operating frequency range of the amplifier is greater than the required bandwidth of the BEM. The selected MMIC amplifier was the model HMC263 from Hittitem, Chelmsford, MA. It is a high-gain broad-band four-stage monolithic LNA, which covers the frequency range of 24–36 GHz, and its size is 3.29 mm . The chip utilizes a GaAs pseudomorphic high electron-mobility transistor (pHEMT) process offering a noise figure and a gain against frequency from a single bias supply of 3 V @ 37 mA, as depicted in Fig. 10. B. Bandpass Filter A bandpass filter was used to define an effective bandwidth of 20% and to reject undesired radiation out of the band of interest. Low bandpass losses, more than 10 dB out-of-band losses, and small size were considered the main objectives. A microstrip coupled-line topology was chosen because it provides inherently bandpass characteristics. A three-resonator filter has been designed using the design method from the classic prototype filter tables proposed in [19] and a design methodology has

AJA et al.: VERY LOW-NOISE DIFFERENTIAL RADIOMETER AT 30 GHz FOR PLANCK LFI

Fig. 11.

2055

30-GHz bandpass filter on Duroid 6002.

Fig. 13. 30-GHz diode detector. From the left-hand side: matching network including 100- resistor, diode connected to radial stub, and 100 k at the output.

Fig. 12.

Insertion and return losses of the bandpass filter.

been developed to achieve predictable frequency response in microstrip filters using a commercial computer-aided design (CAD) software. After a careful evaluation of the validity of the CAD models, comparing simulated and accurately measured results, the design was restricted to microstrip elements that can be well characterized [20]. The selection of the substrate becomes critical due to the gaps and widths of microstrip lines because it sets the line-etching precision required and the minimum losses achievable. The filter in Fig. 11 has been fabricated on a Duroid 6002 substrate with of 2.94. 0.254-mm thickness and dielectric constant Fig. 12 shows the filter response when it is measured with coplanar-to-microstrip transitions on a coplanar probe station. The insertion losses were lower than 1.5 dB in the whole band, and the return losses were better than 12 dB. C. Detector After the signal is amplified and filtered, a square-law detector is used to convert the signal from the sky or from the reference load to dc voltage. The detector is composed of a hybrid reactive/passive matching network and a low-barrier Schottky diode. A beam-lead zero-bias diode, model HSCH-9161, was selected. A large- and small-signal diode model have been developed to optimize the design. The matching network design requires additional lossy components to meet the system requirements. It uses a 100- -thick film resistor and its nonideal behavior at the millimeter frequency band was included in the simulations of the matching network. A radial stub is used to provide RF ground to the diode. The virtual ground was also used to extract the dc output voltage and a dc return was provided by the 100- resistor ground connection. A 100-k load resistor was used as video impedance to extract the detected voltage. The detector circuit was implemented on an alumina dielectric sub; thickness mm). This detector, shown strate (

030 dBm.

Fig. 14.

Detector input return loss for an input power of

Fig. 15.

Detector output voltage versus input power at 30 GHz.

in Fig. 13, has been characterized individually on a coplanar probe station with coplanar-to-microstrip transitions. The input return loss measured with 30-dBm input power using a vectorial network analyzer (HP8510C) is depicted in Fig. 14. The rectification efficiency or output voltage sensitivity versus input power was measured at three frequencies across the band 27, 30, and 33 GHz. Detector sensitivity curve at 30 GHz has been included in Fig. 15, showing linear performance around 30 dBm of input power and a rectification efficiency around 1000 mV/mW. Dynamic range for quadratic response covers from 40 to 15 dBm. D. DC Amplifier In order to provide sufficient detected signal to the data acquisition electronic module, a low-noise dc amplifier has been designed. A schematic of the dc amplifier is shown in Fig. 16.

2056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 18.

RF to dc responses of the half BEM.

Fig. 19.

Test setup.

Fig. 20.

Radiometer calibration curves.

Fig. 16. DC amplifier.

Fig. 17.

Branch of the BEM.

The first stage has an OP27 precision operational amplifier that combines low offset and drift characteristics with low noise, making it ideal for precision instrumentation applications and accurate amplification of a low-level signal. A second balanced stage, implemented with a IC-OP200, provides a balanced and bipolar output. DC amplifier total power consumption with a high-impedance load is approximately 37 mW. E. Branch of the BEM In order to have the EBB, two identical branches were built, and one of them is shown in Fig. 17. From the left- to right-hand side in the branch, there are two MMIC LNAs interconnected by microstrip transmission lines on an Al O substrate, 0.254-mm thick, a relative dielectric constant of 9.9, the bandpass filter using microstrip coupled lines on the PTFE-based substrate, and the microstrip Schottky diode detector. The EBB BEM has two identical branches with a WR-28 waveguide input and dc output connector. F. BEM Test and Performance Tests of the half BEM have been done at room temperature. Fig. 18 shows the detected voltage of the two branches, sweeping the frequency with a signal generator from 22 to 40 GHz when a constant power level above the white noise power is applied. The input power was 60 dBm, which is similar to the noise power output from the FEM.

configuration of the radiometer to perform some of the measpectrum and effective bandwidth were surements. Test of carried out with a test setup slightly different than that shown Section V-A. The FEM with the input hybrid, LNAs, phase switches, and output hybrid are cooled to approximately 20 K in the cryostat. Noise inputs come from two WR-28 terminations, both cooled, but one is temperature controlled so that accurate temperature differentials can be set between the input terminations for calibration and test purposes. A. Radiometer Operation

V. RADIOMETER PERFORMANCE AND RESULTS The FEM and BEM were connected with a 1–m-long waveguide. Tests of linearity, system noise temperature, leakage, knee frequency were carried gain, effective bandwidth, and out when the FEM was operating at 20 K and the BEM was operating at 300 K. The block diagram in Fig. 19 shows the

1) Linearity, Calibration Curve: In order to calculate the radiometer constant, a calibration curve was calculated. The temperature of the hot load was varied. Corresponding voltages at the video amplifier output were measured by taking 1-s runs of the switched radiometer at each new temperature, and calculating the mean of the resulting time series. Fig. 20 shows a

AJA et al.: VERY LOW-NOISE DIFFERENTIAL RADIOMETER AT 30 GHz FOR PLANCK LFI

graph of voltage (V) versus temperature increment at various temperatures for both radiometer channels. The straight line indicates the linearity of the detectors. Using the equation of the line, the radiometer constant can be deterK/V and K/V mined as for each BEM channel. The calibration constant can also be determined by increasing the temperature during the recording of a 5–min switched data run. The beginning and end levels of the resulting ramp in the value for the incretime series yield an immediate ment. The two values obtained for the differential calibration constant were 16.3 K/V for BEM channel 1 and 17.3 K/V for BEM channel 2.

2057

TABLE II

Y -FACTORS, LEAKAGE, AND NOISE TEMPERATURE

TABLE III SYSTEM NOISE TEMPERATURE

B. Leakage and System Noise Temperature Leakage is a measure of the detected energy from the sky into the detected output from the reference termination and vice versa. It is required to be less than 10%. It is minimized by accurately matching the phase and amplitude responses of the LNA and phase-switch pairs. In order to measure the leakage and system noise temperature, the detected outputs in each switched state were compared. A multimeter was used to measure the detected voltages from the BEM for the four phase-switch settings. The test involved measuring the detected outputs in each switched state with both input terminations at minimum temperature, then heating one of the terminations and re-measuring the detected voltages to determine the -factor. In an ideal system, two phase-switch settings 0 , 0 and 180 , 180 would connect hot temperature input to the output and the cold temperature load termination would be connected via phase-switch settings 0 , 180 and 180 , 0 . However, there is leakage between the states. To measure the leakage and noise temperature of the indiwas raised and, in the vidual branches, the temperature of . Therefore, process, a small increment was applied to there are two hot temperatures and two cold temperatures that are applied to the radiometer. The output detected voltages proportional to the input temperatures with all the phase-switch settings are measured to obtain four -factors, two per branch, as in (12) and (13) as follows: (12) (13) where and are the output voltages in pair 0 or 180 of phase-switch settings, and are the output voltages in 0 ,180 or 180 , 0 . The -factors for one branch allow us to calculate the system noise temperature and the leakage substituting in (14) and (15) as follows: (14) (15)

is the system noise temperature, is the highest where is the lowest in pair 0 or temperature of hot load, and , are the temperature of cold load in pair 180 , 0 ,180 or 180 , 0 , and is the leakage. Two pairs of phase-switch state (0 , 0 and 180 ,180 , and 0 , 180 and 180 , 0 ) share the same leakage due to the amplitude and phase mismatch. Therefore, these numbers can be used to calculate two similar noise temperatures and leakage values per branch. These results are shown in Table II. Output detected voltage measurements were also performed to estimate the system noise temperature at three different temperatures and the results with their accuracy are presented in Table III. System noise temperature and leakage were measured in two different ways and the second method used a noise figure meter setup without diode detectors in the BEM. Power readings were taken with 100-MHz steps and averaged across the band. The , , hot and cold waveguide termination temperatures ( and ) that appear in Table II were used. This method is based on power measurements through the -factors, as in (14) and (15). System equivalent noise temperature and leakage versus frequency are depicted in Fig. 21. The noise temperature is believed to be accurate to approximately 2 K. Results of this swept-frequency method agree well with the total power results, shown in Tables II and III. C. Data-Acquisition System A data-acquisition system was used to take data over a period of time in order to obtain the output noise spectra. Results of efoutput spectrum were obtained using fective bandwidth and this setup. Fig. 22 shows a schematic diagram of the acquisition system for the 30-GHz EBB. The FEM under test is placed in a cryogenically cooled environment and kept at 20 K. It is connected to the BEM that has two inputs and two outputs, which relate to a single polarization of the FEM, by two 1-m-long waveguides. A Lakeshore proportional integral derivative (PID) temperature controller is used to control the FEM and waveguide termination temperatures, as well as providing temperature monitoring. The phase-switch drive signal is sent to driver hardware in the FEM bias supply box so that each phase switch can be switched or set to either

2058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 23. Schematic diagram of the RC networks built into the external distribution board.

The low-pass filter 3-dB bandwidth lows in (17): dB

Fig. 21.

Leakage and noise temperature versus frequency.

Fig. 22.

Scheme of the test setup for data-acquisition system.

dB is defined as fol-

(17)

where is the postdetection equivalent bandwidth. The designed low-pass filter has a 4.8-kHz 3-dB bandwidth and a 7.5-kHz postdetection equivalent bandwidth. The halfpower bandwidth determines the acquisition sample rate when the filter response is sharp. In the case of the simple RC filter used, the more appropriate sample rate is that related to the postdetection equivalent bandwidth since, beyond 3-dB bandwidth, there is power and the optimum sample rate is that which covers the highest frequency entering to the acquisition system. Therefore, this implies a sample rate of around 15 kHz. The switching frequency of the switches was set to 280 Hz and the sampling rate to 16.8 kHz, being a multiple of the phaseswitch rate, and then re-sampled. For testing purposes, a switch rate of 280 Hz, lower than the baseline of 4096 Hz, was chosen to limit the sampling rate while providing enough samples of each switch state in the data streams to avoid losing accuracy.

the ON or OFF state. Independent drive can be supplied to each of the two phase switches. Each BEM has two outputs, the positive and negative sides of a balanced output, which is fed to the distribution board. The acquisition system was controlled by Labview software. Two acquisition cards were mounted on the PC bus, one for the analog-to-digital converters (ADCs) and the other for the digital-to-analog converters (DACs). The acquisition and phase-switch waveforms were synchronized. The hardware connections were made on separate external distribution boards. A low-pass filter comprised of a resistor and capacitor was used as an integrator. Fig. 23 shows the simple RC network that was built into the distribution board for the ADC inputs. It can be seen that channel 1 (CH1) and channel 2 (CH2) are fed into the distribution board as differential inputs and each is presented with a series resistor of 150 and a parallel capacitor of 0.22 F. The outputs are fed into the differential ADC inputs of the acquisition card. (16) This built RC filter has an equivalent integration time of twice the theoretical RC time response and it is equal to 66 s as follows: (16)

D. Effective Bandwidth The effective bandwidth [6] of both branches was calculated from the total power radiometer expression (18) as follows: (18) is the sensitivity of the radiometer, is its equivwhere alent noise temperature, is the effective bandwidth, is the integration time, is the rms value of output voltage noise, and is the dc output detected voltage. Measurements of the dc output detected voltage and the rms value of the output voltage noise were taken in all four switched states for both branches, respectively. The appropriate sample rate was used in order to calculate the effective bandwidth with the acquisition system shown in Fig. 22 and with the integrator in Fig. 23. Unswitched data over a period of time were taken in order to know the white noise level of the output noise spectrum. The effective bandwidths for both “high” states, or the states where the output voltage corresponds to the highest temperature termination, in both branches were calculated and the results are is determined by taking a shown in Table IV. The level in V

AJA et al.: VERY LOW-NOISE DIFFERENTIAL RADIOMETER AT 30 GHz FOR PLANCK LFI

2059

TABLE IV EFFECTIVE BANDWIDTH

Fig. 25. Switched data stream from each channel (circles), phase-switch driving signal (solid line).

Fig. 24.

Unswitched power spectrum.

mean in a section of white noise in the bandwidth of the low-pass filter. The effective bandwidth achieved was consistent with the 20% specification for the radiometer. E. Stability In coherent radiometric systems, one of the major concerns is presented by gain and noise temperature fluctuations of the amplifiers. Since the sensitivity is reduced, the receiver noise performance is degraded and spurious correlations in the measured maps are introduced [21]. The schematic diagram of the acquisition system shown in Fig. 22 with the integrator in Fig. 23 were used to take unswitched and switched data over a period of time in order to obtain the output noise spectrum. Knee Frequency: The frequency at which the gain 1) fluctuations contribute a variance to the output of a total power radiometer equal to that resulting from the system noise temperknee frequency. ature is parameterized as the The white noise level in V Hz is determined by taking the mean of the fast Fourier transform (FFT) in a quiet region section well away from the To obtain a power spectrum with sufficient resolution to knee frequency, it is necessary to take a data define the run over at least 10 min. Both unswitched and switched runs were taken to demonstrate the improvement in knee frequency achieved with switching. Unchopped data were collected for 83 min, sampling at 16 800 samples/s, and the power spectrum is shown in Fig. 24. knee frequency was If the data were not switched, the approximately 4 Hz, and the white noise approximately Hz . The noise power spectrum for the other 0.17 mV noise cutting into the white channel was very similar with a noise limit at approximately the same frequency. To obtain the switched power spectrum, the switching frequency was set to 280 Hz and it was a square waveform. One of the phase switches is switched at 280 Hz and the other is fixed in one state. This switch rate is slower than 4096 Hz and cannot avoid the faster variations of gain and noise temperature in the BEM. However, the results are valuable because they confirm

Fig. 26.

Switched power spectrum.

knee frequency in the BEM is lower than 280 Hz that the and the radiometer is insensitive to its fluctuations. Data were taken for a period of 15 min and sampling at 16 800 samples/s. Fig. 25 shows switched data stream from each channel. The voltage levels in the output data stream for each phaseswitch state have been identified as A1, B1 for channel 1 and A2, B2 for channel 2. After any phase transition, there is a spike in the data that corresponds to a change in output power as the phase-switch transits. This spike was removed by discarding 20% of the data (10% from each side of the square wave). The time series data were generated by differencing the output data as follows in (19), then a standard FFT routine was used to determine the frequency spectrum and, hence, the knee frequency: (19) knee The output power spectrum is shown in Fig. 26. The frequency was estimated to be approximately 50 mHz. 2) Gain Modulation Factor : The radiometers measure differences between the sky noise temperature and the reference load at 4 K. It is desirable to minimize the offset between the sky and reference signals in order to reduce instabilities. The difference between both noise temperatures is compensated to balance the output through the gain modulation factor [22], [23]. The aim is to maintain the output power as close as possible to zero applying by software the gain modulation factor. The differential output voltage can be written as follows in (20): (20) where is the sensitivity of the detector, is the radiometer RF gain, is the Boltzmann constant, is the bandwidth,

2060

Fig. 27.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Variation in knee frequency with r -value.

is the sky temperature, is the reference load temperature, is the gain modulation factor, and is the system noise temperature. Both and have included contributions due to the antenna insertion loss. To have an output voltage null by processing the gain modulation factor from (20) can be written as follows in (21): (21) The voltage levels from the two branches of the BEM are currently not matched. An -value is needed to take into account noise in each channel in order to have the different levels of a null output voltage. Therefore, an -value is assigned to each output channel and calculated using (22) and (23) as follows: (22) (23) where , are levels of voltage for channel 1 and , are levels of voltage for channel 2 at each phase-switch state. and would be the same, meaning the two Ideally, output channels are very similar. A third -value may be needed when we obtain difference across the diodes and the expression to calculate the time series to obtain frequency spectrum is as follows in (24): (24) The outputs were balanced in hardware using attenuators in order to have and equal. Ignoring the third -value and applying a single -value for and , a plot of knee frequency versus -value was obtained, as shown in Fig. 27. Using the above optimum -value of 0.98, the knee frequency was reduced to approximately 30 mHz. The results demonstrate that the pseudocorrelation radiometer scheme reduces the effect of instabilities of gain and noise temperature. Therefore, by applying an accurate gain knee frequency modulation factor, a minimization of the can be achieved. VI. CONCLUSION Development of microwave hardware for the PLANCK explorer LFI has presented a number of tough challenges to hardware designers. This paper has described the overall system architecture, and the design, assembly, and performance

characterization of the 30-GHz EBB differential radiometer for the PLANCK LFI. This is an integrated version of the 30-GHz PLANCK radiometer using two branches of the FEM (at 20 K) and BEM (at 300 K), connected via 1-m-long waveguides. The radiometer operation has been demonstrated successfully, and the overall system noise temperature, gain, effective bandnoise cancellation have been shown to meet the width, and stringent PLANCK LFI requirements. Instabilities from gain and noise fluctuations have been minimized through the use of phase switching and a gain modulation factor. The performance of the EBB radiometer was excellent: average noise temperature was approximately 9 K over the 20% bandwidth, and knee frequency was around 30 mHz, demonstrating the excellent gain and noise stability. ACKNOWLEDGMENT The authors would like to thank the assistance and support of all those who contributed to assemble all the subsystems. The radiometer presented in this paper is the result of many dedicated people to its development. REFERENCES [1] M. Bersanelli, N. Mandolesi, and J. Marti-Canales, “Multi-band radiometer for measuring the cosmic microwave background,” in Proc. 32nd Eur. Microwave Conf., Milan, Italy, Sep. 2002, pp. 547–550. [2] P. Sjoman, T. Ruokokoski, P. Jukkala, and P. Eskelinen, “PLANCK satellite 70 GHz receiver noise test,” IEEE Aerosp. Electron. Syst. Mag., vol. 16, no. 12, pp. 19–23, Dec. 2001. [3] P. Sjoman, T. Ruokokoski, N. J. Hughes, P. Jukkala, P. Kangaslahti, S. Ovaska, and P. Eskelinen, “PLANCK satellite 70 GHz EBB-version back end module,” IEEE Aerosp. Electron. Syst. Mag., vol. 18, no. 5, pp. 22–25, May 2003. [4] C. G. Gentili, R. Nesti, G. Pelosi, and V. Natale, “Compact dual-profiled corrugated circular waveguide horn,” Electron. Lett., vol. 36, no. 6, pp. 486–487, Mar. 2000. [5] G. Morgante, D. Barber, P. Bhandari, R. C. Bowman, P. Cowgill, D. Crumb, T. Loc, A. Nash, D. Pearson, M. Prina, A. Sirbi, M. Schemlzel, R. Sugimura, and L. A. Wade, “Two hydrogen sorption cryocoolers for the PLANCK mission,” in Proc. AIP Conf., vol. 616(1), May 2002, pp. 298–302. [6] J. D. Kraus, Radio Astronomy, 2nd ed. Powell, OH: Cygnus-Quasar, 1986. [7] E. W. Wollack and M. W. Pospieszalski, “Characteristics of broad-band InP millimeter-wave amplifiers for radiometry,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1998, pp. 669–672. [8] N. C. Jarosik, “Measurements of the low-frequency-gain fluctuations of a 30-GHz high-electron-mobility-transistor cryogenic amplifier,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 193–197, Feb. 1996. [9] E. J. Blum, “Sensibilité des radiotélescopes et récepteurs à corrélation,” Annales d’Astrophys., vol. 22, pp. 140–163, Feb. 1959. [10] K. Fujimoto, “On the correlation radiometer technique,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 2, pp. 203–212, Mar. 1964. [11] M. E. Tiuri, “Radio astronomy receivers,” IEEE Trans. Antennas Propag., vol. AP-12, no. 12, pp. 930–938, Dec. 1964. [12] C. R. Predmore, N. R. Erickson, G. R. Huguenin, and P. F. Goldsmith, “A continuous comparison radiometer at 97 GHz,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 1, pp. 44–51, Jan. 1985. [13] B. Aja, E. Artal, L. de la Fuente, J. P. Pascual, A. Mediavilla, N. Roddis, D. Kettle, F. Winder, L. Pradell, and P. De Paco, “Very low noise differential radiometer at 30 GHz,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 749–752. [14] A. Mennella, M. Bersanelli, C. Burigana, D. Maino, N. Mandolesi, G. Morgante, and G. Stanghellini, “PLANCK: Systematic effects induced by periodic fluctuations of arbitrary shape,” Astron. Astrophys., vol. 384, pp. 736–742, Mar. 2002.

AJA et al.: VERY LOW-NOISE DIFFERENTIAL RADIOMETER AT 30 GHz FOR PLANCK LFI

[15] J. J. Bautista, J. G. Bowen, J. E. Fernandez, B. Fijiware, J. Loreman, S. -band InP HEMT Petty, and J. L. Prater, “Cryogenic, -band and based LNA’s for the deep space network,” in IEEE Aerospace Conf., Big Sky, MT, Mar. 2001, pp. 829–842. [16] A. Cremonini, L. Carbonaro, S. Mariotti, V. Natale, R. Nesti, S. Orfei, J. Roda, and G. Tofani, “Indium phosphide MMIC low noise amplifier and related cyogenically applications in radioastronomical focal plane array receiver,” in Proc. 12th GaAs Symp., Amsterdam, The Netherlands, Oct. 2004, pp. 363–366. [17] R. J. Hoyland, “A wide-band 180 microwave phase shift structure,” Patent PCT ESO 1/00 135, 2000. , “A new MMIC, wide-band 180 phase switch design for [18] millimeter wave applications,” in Proc. 3rd ESA Millimeter Wave Technology and Applications Workshop, Espoo, Finland, May 2003, pp. 305–310. [19] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1964. [20] M. Detratti, B. Aja, J. P. Pascual, M. L. de la Fuente, and E. Artal, “Millimeter wave broad-band bandpass microstrip filters: Design and test,” in Proc. 32nd Eur. Microwave Conf., Milan, Italy, Sep. 2002, pp. 573–575. [21] N. R. Erickson, R. M. Grosslein, R. B. Erickson, and S. Weinreb, “A cryogenic focal array for 85–115 GHz using MMIC preamplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2212–2219, Dec. 1999. [22] J. Tuovinen, M. Bersanelli, and N. Mandolesi, “Ultra low-noise and high stability receivers of PLANCK LFI,” Astron. Astrophys., vol. 19, pp. 551–558, 2000. [23] A. Mennella, M. Bersanelli, M. Seiffert, D. Kettle, N. Roddis, A. Wilkinson, and P. Meinhold, “Offset balancing in pseudo-correlation radiometers for CMB measurements,” Astron. Astrophys., vol. 410, pp. 1089–1100, Nov. 2003.

X

Ka

Beatriz Aja (S’01) was born in Santander, Spain. She received the Telecommunications Engineering degree from the Universidad de Cantabria, Santander, Spain, in 1999, and is currently working toward the Ph.D. degree at the Universidad de Cantabria. She collaborates in the development of the BEM at 30 GHz; 44 GHz of the differential radiometers in the European Scientific mission PLANCK. Her areas of interest include the analysis, design, and testing of microwave circuits.

Eduardo Artal (M’80) received the Engineer and Dr. Engineer in telecommunication degrees from the Universitat Politécnica de Catalunya, Barcelona, Spain, in 1976 and 1982, respectively. From 1976 to 1990, he was an Assistant Professor with the Universitat Politécnica de Catalunya. From 1979 to 1981, while on a partial leave from the Universitat Politécnica de Catalunya, he joined Mier Allende S.A., Barcelona, Spain, where he was involved with TV and FM radio re-emitters development. Since 1990, he has been a Professor with the Universidad de Cantabria, Santander, Spain, where he was Manager of the Telecommunication Engineering course from 1990 to 1994. From 1994 to 1998, he was Manager of the National Program for Information and Communications Technologies at the Plan Nacional de I D, National Research and Development Plan of the Spanish Ministry of Education and Science, Madrid, Spain. He is Project Manager for the BEMs at 30 and 44 GHz for the radiometers of the PLANCK mission. His main areas of activities and contributions have been microwave circuits and systems, including MMICs from RF (a few megahertz) up to 50 GHz. His current research interests are low-noise millimeter-wave amplifiers and low-noise millimeter-wave receivers.

+

2061

Luisa la de Fuente (S’92–M’98) was born in Reinosa, Spain, in 1968. She graduated from the Universidad de Cantabria, Santander, Spain, in 1991 and received the Doctoral degree in electronics engineering from the Universidad de Cantabria, in 1997. From 1992 to 1993, she was an Associate Teacher with the Department of Electronics, Universidad de Cantabria. She is currently a Professor with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria. Her main research interests include design and testing of microwave circuits in both hybrid and monolithic technologies, in particular, the design of low-noise amplifiers and microwave mixers.

Juan Pablo Pascual (S’92–M’97) was born in Santander, Spain, in 1968. He received the M. degree in electronics (with honors) and Ph.D. degree in electronic engineering from the Universidad de Cantabria, Santander, Spain, in 1990 and 1996, respectively. He is currently with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, Escuela Técnica Superior de Ingenieros de Telecomunicación (ETSI), Universidad de Cantabria. His research interests are high electron-mobility transistor (HEMT) and HBT modeling, MMIC design methodology of linear and nonlinear functions, and system simulation. He has been involved in modeling and design projects with industries from Spain and with international companies and institutions like Daimler Chrysler, the Technical University of Darmstadt (where he stayed during 1999), and the PLANCK scientific mission consortium.

Angel Mediavilla was born in Santander, Spain, in 1955. He graduated in 1978 and received the Doctor of Physics (electronic) degree (with honors) from the Universidad de Cantabria, Santander, Spain, in 1983. From 1980 to 1983, he was Ingenieur Stagiere with THOMSON-CSF, Orsay, France. He is currently a Professor with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria. He possesses a wide experience in the analysis and optimization of nonlinear microwave active devices in both hybrid and monolithic technologies. He is currently involved in the area of nonlinear MESFET/HEMT and HBT device modeling with special application to the large-signal computer design and intermodulation properties.

Neil Roddis is Leader of the Receivers Engineering Group, Jodrell Bank Observatory, a part of the School of Physics and Astronomy, The University of Manchester, Cheshire, U.K. He is involved in all RF aspects of the radio astronomy instrumentation at the Jodrell Bank Observatory. As well as the PLANCK LFI, this includes the current upgrade to the MERLIN/VLBI National Facility, and the European PHAROS project to develop cryogenic low-noise phased arrays. His current areas of interest include low-noise measurement systems, high-temperature superconductors applications to radio astronomy, and broad-band feed design.

2062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Danielle Kettle is currently working toward the Ph.D. degree in electronic and electrical engineering at The University of Manchester, Cheshire, U.K. She is a Microwave Engineer with the Jodrell Bank Observatory, a part of the School of Physics and Astronomy, The University of Manchester. She has developed the acquisition system to demonstrate the PLANCK LFI differential radiometer concept using the first 30-GHz receiver prototypes. She has designed and tested several MMICs used in radio-astronomy projects such as the European Union (EU) funded FARADAY project. She has collaborated in the testing and development of FEMs at 30 and 44 GHz of the differential radiometers in the European Scientific mission PLANCK. Her current interest is the measurement of HEMT devices made at both ambient and cryogenic temperatures with a goal to develop small-signal models and noise models. Her research includes the characterization and application of state-of-the-art discrete InP and GaAs HEMT devices to very low-noise radio-astronomy receivers.

W. Frank Winder was born in Farnworth, U.K., on August 20, 1941. He received the B.Eng. and Ph.D. degrees in electrical and electronic engineering from Sheffield University, Sheffield, U.K., in 1962 and 1965 respectively. From 1965 to 1994, he was with Ferranti International, where he was initially involved with low-noise parametric amplifiers and then with microwave subsystem design. He was then involved with the design of radar towed targets for use with the U.K. Royal Navy and Royal Air Force. From 1994 to 1998, he continued his work with Matra Marconi Space and subsequently undertook consultancy work in this field. He accepted a post in 1999 as a Senior Design Engineer with the Jodrell Bank Observatory, a part of the School of Physics and Astronomy, The University of Manchester, Cheshire, U.K., where he is involved with the development of 30- and 44-GHz low-noise amplifiers for the PLANCK Surveyor project using InP HEMT technology. He is also responsible for the design integration of these amplifiers and associated components into the cryogenically cooled radiometer FEMs. His current interests are the design of low-noise amplifiers and other millimeter-wave components for radio-astronomy applications.

Lluis Pradell i Cara was born in Barcelona, Catalunya, Spain, in 1956. He received the Telecommunication Engineering degree and Dr. degree in telecommunication engineering from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1981 and 1989, respectively. From 1981 to 1985, he was with Mier-Allende, Barcelona, Spain, as an RF and Microwave System Design Engineer. In 1985, he joined the faculty at UPC, where he became Associate Professor in 1990. Since 1985, he has taught courses on microwave circuits and performed research on models for microwave active devices (MESFETs, HEMTs, HBTs), multimodal models for guiding structures and transitions [microstrips, finlines, slotlines, coplanar waveguides (CPWs)], on-wafer measurement techniques (network-analyzer calibration, noise parameters), development of microwave and millimeter-wave circuits (equipment for space applications, point-to-multipoint broad-band equipment, RF microelectromechanical systems (MEMS) devices), in the frequency range of 1–75 GHz.

Pedro de Paco was born in Badalona, Spain, in 1972. He received the Telecommunication Engineering degree and Ph.D. degree from the Escola Técnica Superior d’Enginyeria (ETSE) de Telecomunicació, Universitat Politécnica de Catalunya (UPC), Barcelona, Spain, in 1997 and 2003, respectively. In 1998, he joined the Electromagnetic and Photonic Engineering Group (EEF), UPC, as a graduate student. Since June 2004, he has been with the Universidad Autonoma de Barcelona, Barcelona, Spain, where he teaches courses on microwave circuits and systems. His research interests are in the area of microwave and millimeter-wave circuits and systems design and device modeling.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2063

Design of Multiple-Metal Stacked Inductors Incorporating an Extended Physical Model Olive H. Murphy, Student Member, IEEE, Kevin G. McCarthy, Member, IEEE, Christophe J. P. Delabie, Aidan C. Murphy, Member, IEEE, and Patrick J. Murphy, Member, IEEE

Abstract—Modern analog circuits are heavily dependent on inductor performance, where the poor inductor quality factor ( ) of silicon processes leads to degradation in circuit efficacy, especially at RF and microwave frequencies. Several techniques have been proposed to enhance the of integrated on-chip inductors, but the most effective method of improvement is to lower the series resistance by increasing the inductor metal thickness. This paper presents the most cost-effective method of achieving a thick metal by using a standard 0.18- m multilayer BiCMOS process. An expanded physically based model for multiple-metal stacked inductors is presented, which expands on previous research to show the effects and limitations of stacking two, three, and four metal layers in a five-metal-layer process. The excellent accuracy of this geometrical model is illustrated with respect to a range of inductor designs showing that an improvement in of more than 50% may be achieved. Due to the increased parasitics in multilayer structures, the improvement is very frequency dependent, which is clearly predicted with the expanded model. The predictive capability of the model is further used to provide detailed insight into the effectiveness of a patterned ground shield for different substrate characteristics. This predictive ability will contribute greatly to first time right inductor designs and eliminate the expensive and time-consuming fabrication iterations required to fine tune other inductor models. Index Terms—Integrated stacked inductor model, patterned ground shield (PGS), improvement, prediction.

I. INTRODUCTION

T

HE POOR quality factor ( ) of integrated silicon inductors has led to circuit inefficiencies. This is especially evident in wireless local area network (WLAN) applications at 5.25 GHz where poor values can result in increased noise figure, power consumption, and linearity deterioration. Excellent values can be achieved using three-dimensional (3-D) fabricated inductors [1] and other processes such as liquid crystal polymer (LCP) [2] and low temperature co-fired ceramic (LTCC) [3], leading to excellent overall circuit performance [4], [5]. However, to achieve complete silicon integration, there is much attention paid to on-chip improvement techniques, especially inexpensive noninvasive process-independent approaches, which do not require complex process adaptation. Driving inductors differentially [6] using a patterned ground Manuscript received September 30, 2004; revised January 12, 2005. This work was supported by the Informatics Programme of Enterprise Ireland. O. H. Murphy, K. G. McCarthy, and P. J. Murphy are with the Department of Electrical and Electronic Engineering, University College Cork, Cork, Ireland (e-mail:[email protected]). C. J. P. Delabie is with the Ecole Supérieure d’Ingénieurs en Electronique et Electrotechnique, Noisy-Le-Grand 93162, France. A. C. Murphy is with Freescale Semiconductor, Cork, Ireland. Digital Object Identifier 10.1109/TMTT.2005.848813

shield (PGS) [7], [8] and using thick metal [9] or stacking inductors [10] are all methods of noninvasive process-independent techniques. The possibility of combining all of these methods has been investigated by the authors [11] and it has been shown that simultaneously combining these techniques does not necessarily lead to an improvement in . A thicker inductor, which reduces the series resistance, will result in a significant improvement as opposed to the more subtle improvements achieved by driving an inductor differentially or by using a PGS. These issues are discussed in detail in Section II. The post-fabrication cost of adding thick copper to silicon processes is beginning to outweigh the advantages of increased of circuit performance resulting from improvement of the integrated inductors. Stacking two, three, and four metal layers, as presented in this paper, increases thickness while reducing resistance, all without the added cost of post-fabrication metal addition. Furthermore, modern fabrication costs are putting pressure on designers to produce ready-to-market designs in fewer iterations. This reduces the opportunity to fine-tune -parameter-based [3], [12] or empirical [13] models to specific processes and increases the need for predictive physically based models, as discussed here. Whereas electromagnetic simulators can produce accurate results, depending on the inductor geometry, they still have considerable scope for improvement, notwithstanding the lengthy simulation times and issues with integrated-circuit (IC) simulators. Therefore, there is a need for accurate models for custom inductors, which designers can include in their circuit designs. This study uses the physical model developed in [11] as a basis, but extends the methodology to a more detailed consideration of multiple-metal stacked inductors. It uses a simple model without the added complexity of multiple transformer loops [12] or T-models [3] to model frequency dependence. The existing physically based model has been further developed to incorporate skin, proximity, and fringing effects. The lateral substrate resistance is also included in the model, as outlined in Section III. This model is subsequently reduced to a simple model for calculation and prediction. While previous research [11] was related to differential inductors, this paper concentrates on single-ended topologies, as these devices are the most prevalent in circuit design. As mentioned earlier, PGSs can cause a subtle improvement in by virtue of eliminating the substrate resistance. This improvement is governed by the conductivity of the bulk substrate, which may render the PGS useless [11]. It is necessary to ascertain this prior to fabrication. A method of achieving this will be presented in Section IV where the new stacked metal model is

0018-9480/$20.00 © 2005 IEEE

2064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. Simple  model for an integrated inductor.

used to generate predictive graphs, which show the viability of PGSs. These graphs also show the impact of process and substrate characteristics on . Section V presents the test patterns characterized, while Section VI illustrates the accurate simulations achieved with the new models, which match the measurements very well across all frequency bands. II.

IMPROVEMENT TECHNIQUES

Fig. 1 shows the simple model for an integrated inductor [14] from which the may be derived using (1) as follows:

(1)

where (2) (3) There are many ways of improving the of integrated inductors, but few do so inexpensively using standard layout techniques and rules [6]–[10]. Three such approaches are presented as follows. • As may be seen from (1), a reduction in series resistance leads to an increase in . As area constraints mitigate the use of wider tracks, thicker tracks may be used [9] or the multilayer capability of the process may be utilized by joining metal layers using multiple vias to create a thicker metal track [10] and, hence, a stacked metal inductor. ap• The substrate loss factor approaches unity as approaches infinity as approaches infinity. proaches zero or infinity, as may be seen in (2), which can be achieved by making the Si substrate a short or an open. A PGS can act as a short [7], [8]. Slotted patterning, which is orthogonal to the spiral, reduces the effect of negative mutual coupling (Lenz’s Law [15]). The slots act as an open circuit to the loop current, but must be sufficiently

Fig. 2.

Symmetric differentially driven stacked inductor with PGS.

narrow to prevent leakage of the vertical electric field. To make the substrate an open, an insulating substrate [16] may be used or it may be removed completely [17], but these are costly adaptations to a standard process. • When a symmetric inductor is driven differentially, voltages on adjacent conductors are in antiphase, but currents flow in the same direction on each side. This increases the overall magnetic field [6] when compared to two asymmetric inductors. The substrate parasitics, and , as associated with each port, are thus combined to give a higher impedance at a given frequency than for single-ended termination, thus improving . In terms of the three methods of improvement just mentioned and from examination of (1), it is easily seen that the most significant improvement is brought about by reducing for a given , as indicated in the first approach above. The impact of a thicker metal on inductor was demonstrated when a differential inductor using single and double metal layers was placed over a PGS [11], as indicated in Fig. 2. As seen in Fig. 3, a 40% improvement in is seen when Metal4 is stacked together with Metal5, as opposed to just Metal5 alone. The impact of driving the substrate loss factor to unity is slight as the value of the substrate loss factor prior to adding the PGS is already close to unity. The for the differential inductor, using either Metal5 or Metal5 Metal4, does not improve when a PGS is placed underneath the inductor, as seen in Fig. 3 because, as mentioned, the substrate loss factor was close to unity to begin with [11]. III. MULTIPLE METAL-LAYER MODEL When stacking metal layers to obtain a thicker conductor, the complexity of the new structure must be fully understood to achieve optimum . Two, three, and four metal layers are stacked to form multiple-metal stacked inductors, as seen in Fig. 4. Fundamentally, a parallel array of inductances and resistances is created, the latter being desired to improve , but not at the expense of a lower inductance, as would be created by the former. The resistance and inductance of the vias must also be taken into consideration. The approach taken in the new model is to break the inductor into segments, as seen in Fig. 5.

MURPHY et al.: DESIGN OF MULTIPLE-METAL STACKED INDUCTORS

Fig. 3. Improvement in the inductor.

Q of metal5 and metal5

2065

+ metal4 differential Fig. 5. Expanded  model showing each via segment for: (a) two metal layers, (b) three metal layers, (c) four metal layers, and (d) cross section of stacked metal traces.

Fig. 6. Different inner and outer diameters.

where turn

Fig. 4. Multiple-metal stacked inductor.

Each element of the model is based on the physical attributes of the inductor and process stack; therefore, each element is calculated from first principles. is calculated using the data filled The series inductance monomial expression [18]. Special care must be taken as the exact inner and outer diameters must be used; otherwise significant errors can be introduced. In this case, due to the inductor starting and ending midsegment, a weighted average of the diameters is used, where the weighting is determined by the fraction of a turn and the diameter that the fraction encompasses, as may be seen in Fig. 6, as follows: (4) (5)

(6)

is the fraction of a turn for which is valid. and The inductor shown in Fig. 6 is referred to as a 3.5-turn inductor. A closer analysis shows that while this inductor is perfectly symmetrical about a line drawn through its start and end points, the number of turns is, in fact, 3.62 and not 3.5. This is because the first and last segments must be taken as a ratio of their length to the overall length of that side of the turn. For multiple metal inductors, the mutual inductance between each metal must also be calculated [19]. This is calculated for metals vertically above each other and also for neighboring metals, which are not part of the primary inductor. Its presence is critical in achieving proper frequency dependency at higher frequencies. In a single metal track where the metal thickness is much less does not have to than the skin depth, the series resistance account for the exponential decay of current so skin effect is not of concern. The resistance correction factor for all the corners in the inductor are also accounted for [20].

2066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 7. Integrated inductor cross section with proximity and skin effect illustrated in the inset.

is the segment to segment capacitance with smaller fringing capacitance existing between the segments and any ground plane. To account for the current distribution and crowding on each turn of the inductor, the skin and proximity effects must be inand vestigated [23], [24]. Using the previously calculated at dc, it is possible to generate the desired proximity effect , , and using a freat higher frequencies in terms of quency-independent approach [25]. and still represent and the series inductance and resistance, respectively. represent the surface layer inductance and resistance, respecrepresents the magnetic interaction between the magtively. netic field generated by each inductor segment and the changes in current densities at the edges of the other segments (proximity effect). To calculate these values, the metal thickness is required and, in the case of multiple metal layers, the combined values of the individual thicknesses are used. Due to the discontinuity of the metal vias, and their distance from one another, their presence is not included in the proximity effect calculations. To maintain the overall value for the series inductance and and are adjusted for any offset that and resistance, incur by their parallel combination with and . Substrate parasitics have always been regarded as fitting pa, rameters due to the difficulty in calculating the values of , and . Ideally (7) (8)

Fig. 8.

Expanded physical model for stacked inductors.

These inductance and resistance values for each of the via transformations to segments are added using a series of and . give As previously mentioned, there is no skin effect for a single metal layer, but it must be accounted for when stacked metals are used. Therefore, the simple model needs adjustment to account for the skin effect along with the proximity and fringing , , , , and are added to effects of the metal. the model of Fig. 1. The physical representation of these may also be seen in Fig. 7, while the equivalent circuit is shown in Fig. 8. accounts for the substantial fringe capacitance or electric-field coupling present in integrated inductors. In previous publications [21], this parasitic has been lumped together with , the underpass to inductor track capacitance. However, is harder to quantify than and needs to be analyzed separately. Here, it is calculated using a parallel lines over a ground-plane formulation [22]. Taking account of the previously calculated and the number of parallel coupling components, a good approximation for is deduced. The largest contributor to

and are the substrate conductance and cawhere pacitance per unit area, respectively, which are supplied by the is calprocess vendor and is the area of the inductor. culated in a similar way to (7), but the direction of current flow changes to lateral [26]. The following are two main reasons why these have been treated as fitting parameters. • The equations seen above assume that the parasitics exist merely under the exact area of the inductor. In fact, it is extremely difficult to ascertain the exact area and depth of penetration of these parasitics. • The placement of grounding taps at the top of the substrate instead of the back of the wafer, as is assumed by many inductor models [14], adds to the complexity. While approximations may be made, better results are achieved by treating these quantities as fitting parameters within certain constraints. Table I shows the calculated values for each element of the enhanced physically based stacked inductor model. IV. ANALYSIS OF ENHANCEMENT USING THE EXTENDED PHYSICAL MODEL Using a sequence of circuit transformations, as presented in the Appendix, the elements of Fig. 8(a) can be reduced to the elements of Fig. 8(b) at any given frequency. As the reduced element model is the same as that of Fig. 1, (1) may be used (A.18) and to calculate using the new formulas for (A.19). Consequently, it is possible to predict prior to fabrication and to identify the impact of each parameter on , especially the fitting parameters. This approach then identifies the

MURPHY et al.: DESIGN OF MULTIPLE-METAL STACKED INDUCTORS

2067

TABLE I STACKED INDUCTOR MODEL VALUES

Fig. 10. Q prediction graph for: (bottom) M5 + M4 and (top) M5 + M4 + M3 stacked inductors with C = 0:5 fF.

Fig. 9. Q prediction graph for: (bottom) M5 = 200 . stacked inductors with R

+ M4 and (top) M5 + M4 + M3

optimum enhancement technique for the particular process under consideration. Taking (1), (A.18), and (A.19) and the values from Table I, , , and may be determined the variation of with at any given frequency. The inductors measured here are for WLAN applications at 5.25 GHz. is swept from 1 to 1 M to cover the extremities of the substrate acting as a short or an open. is swept from 0.1 to 10 fF and from 1 to 1 k . The values of , , and determined by the approximate formulas (7) and (8) fall within these ranges, i.e., k , fF, and . occurs when is 550 , as may be The minimum seen from the hollows in both Figs. 9 and 10. The maximum occurs when approaches either zero or infinity. Any point on the left-hand-side plateau may be considered zero, while any point on the right-hand-side plateau may be considered infinity. From Section II, it was seen that placing a PGS under an inductor will drive the value of toward 0 . From both Figs. 9 and 10, it is seen that a PGS will be effective in improving if the value of before placing the PGS lies at a point in the hollow lower than the when approaches zero. In this case, the PGS will drive the value out of the hollow and left along the graph to reside at a lower value of and subsequently a lies on the right-hand-side larger value of . If the value of plateau prior to placing the PGS under the inductor, no improvement in will be achieved, and it may even show a decrease.

Fig. 11. factor.

Q

factor in terms of ideal Q, substrate loss factor, and self resonant

This is the expected case using the approximate value of 27 k for for this process. The large value of is attributed to the fact that this test wafer is not thinned. The effectiveness of the PGS is determined by the position of the hollow and the value of prior to placement of the PGS. As is calculated using (1), it is necessary to establish what exactly determines the position of the hollow. Fig. 11 shows a graphical representation of (1) versus and also shows individual loss factors, allowing their influence on to be seen explicitly. It is seen that the substrate loss factor has the largest impact on the position of the hollow with the self-resonant factor impacting to a lesser degree. In turn, these loss factors are strongly influenced by , as derived in the Appendix, and may be visualized in Figs. 12 and 13. Consequently, the largest factor in determining the position of the hollow and, thus, the useful range of the PGS, is the value of , which is governed by the area of the inductor and the thickness of the oxide. The impact of is minimal, as seen in Figs. 9 and 10 so a constant value is used for further analysis.

2068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 12. Substrate loss factor versus C

and R .

Self-resonant factor versus C

and R .

Fig. 13.

The overall impact of on may be seen in Fig. 14, which illustrates how the hollow varies with and . Following this discussion, it is apparent that the effect of a PGS is more complex than initially thought [27]. A knowledge of initial and is required to predict the subsequent effectiveness of a PGS. If is at infinity relative to the hollow prior to placing a PGS under the inductor, then it will not be effective in improving . This method of prediction can be applied to any model element or any inductor geometry [11] as each element of (1) is geometrically calculated, as is apparent from Figs. 7 and 8. V. TEST PATTERNS All inductors were fabricated using a Freescale Semiconductor BiCMOS 0.18- m five-metal process [28]. The four top metal layers (Metal5–Metal2) were used for inductor structures and the PGS is placed on the bottom layer (Metal1). Track widths and spacing are 6 m and the metal thickness is 0.37 m for Metal5–Metal3 and 0.29 m for Metal2 and Metal1. Five single-ended 3.62-turn inductors were designed, fabricated, and tested; a double layer Metal5 Metal4 M5 M4 with and without a PGS, a triple layer Metal5 Metal4 Metal3 M5 M4 M3 with and without a PGS, and a quadruple layer

Fig. 14.

Q versus C

Fig. 15.

Resistance and inductance of a M5

and R .

+ M4 single-ended inductor.

Metal5 Metal4 Metal3 Metal2 M5 M4 M3 M2 without a PGS. The inductors were designed to be probed using Cascade’s Infinity ground–signal–ground (GSG) RF probes [29]. Short and open deembedding structures were also fabricated to eliminate the effects of pad parasitics. VI. RESULTS From the measured -parameters, the inductance, resistance, and factor were calculated as follows [30] :

(9)

Several inductors were measured and curves representing the average data are presented here along with model comparisons in Figs. 15–22. Using the model in Fig. 8 and the values from Table I, the simulated model matches very well with the inductor measurements. Slight errors exist in calculating the inductance and resistance of each inductor (Figs. 15–17), which may be accounted for by taking linewidth, thickness, and conductivity variations into account. When the model

MURPHY et al.: DESIGN OF MULTIPLE-METAL STACKED INDUCTORS

Fig. 16.

+ +

Resistance and inductance of a M5 M4 M3 single-ended inductor.

Fig. 17. Resistance and inductance of a M5 inductor.

2069

Fig. 18. Impact of extended physical model elements on the resistance and inductance of M5 M4 M3 stacked inductor.

+

+

+ M4 + M3 + M2 single-ended

values are adjusted for these variations, very good matches with measurements are achieved across the frequency band. It is noted that the calculated fringing capacitance for the M5 M4 and M5 M4 M3 inductors are sufficient to match the frequency dependence of the inductance and resistance measurements. However, extra fringing capacitance is required to match the M5 M4 M3 M2 inductor. This is due to the structure of the thickest inductor with M2 being closest to the substrate. Additionally, due to the complex grounding arrangement for was increased. Fig. 18 shows this particular substrate, the parasitic dependence of a three metal stacked inductor and shows how the simulation accuracy is improved by successively incorporating more effects. Firstly, the frequency dependence model is demonstrated. Subsequently, the of the simple proximity and skin effects are added to the model in terms , , and . As expected, the series resistance of of the inductor is affected more than the series inductance, as is is then added seen in Fig. 18. The fringing capacitance into the model and, finally, is added, giving a very good match with measurements. This match illustrates the precise nature of the formulation of this extended physical model.

Fig. 19.

Q of a M5 + M4 single-ended inductor.

Fig. 20.

Q of a M5 + M4 + M3 single-ended inductor.

2070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

VII. CONCLUSION

Fig. 21.

Q of a M5 + M4 + M3 + M2 single-ended inductor.

An enhanced physically based model for multiple-metal stacked inductors has been presented. This model may be applied to any process or inductor geometry because of the geometrically based equations employed in the model elements. The model agrees very well with measurements. It does not use empirical equations or require fitting of model values to -parameter measurements. It is simple and does not require complex loops or additional modeling for frequency dependency. The model provides inductor designers with a method of custom inductor design prior to fabrication because of its physically based equations. It is also possible to easily apply this model to other processes. The effectiveness of stacking inductor metals was shown, but this was also proven to be frequency dependent due to an increase in inductor parasitics. The simulations and measurements presented show that the behavior of multiple-metal inductors can be well predicted with the detailed physically based model derived. This paper shows that a thicker metal inductor may be achieved using cost-effective timesaving modeling and standard processing techniques. It was predicted prior to fabrication that, in certain circumstances, a PGS would not be effective, and this was proven by the inductor measurements. A detailed analysis as to why this is the case was also presented. APPENDIX CALCULATION OF VARIABLES The following set of equations, i.e., (A.1)–(A.19), are used in to illustrate how the expanded conjunction with Fig. 23 physically based model may be easily reduced to a model similar to Fig. 1 so that (1) may be used for prediction. Taking a certain frequency point , the following initial conditions apply:

Fig. 22.

Q improvement for multiple layers.

The simulated model results for also match well with the stacked inductor measurements, as seen in Figs. 19 and 20. These figures also illustrate the accuracy improvements achieved with the slight adjustments to the model, as mentioned earlier. does not It may also be seen from Figs. 19 and 20 that improve when a PGS is placed under the stacked inductor. This is in accord with Figs. 9 and 10, proving that, for this process, a PGS is not a viable option. In terms of overall improvement, Fig. 22 shows the impact of stacking three and four metal layers against a reference value when just two metal layers are stacked. When three metal layers are stacked, a maximum improvement of 35% is visible at low frequencies. An improvement exists up to 5.68 GHz. Above this frequency, there is no improvement. There is a 56% improvement in at lower frequencies for four stacked metals. However, this improvement disappears at 4.6 GHz. At 5.25 GHz, three metal layers offer a 4% improvement and four metal layers is not an option for enhancement.

(A.1) (A.2) (A.3) (A.4) As the model is essentially single-ended, one port is always in parallel with grounded. Thus, it is possible to place and . Reducing all the elements for inductance and resistance to two elements is more complex, as it necessitates the evaluation of voltages and currents in each branch, including the to extra induced current resulting from the mutual inductor give (A.5), (A.6), and Fig. 23 . In this same step, is to give as follows: added in parallel with

(A.5)

(A.6)

MURPHY et al.: DESIGN OF MULTIPLE-METAL STACKED INDUCTORS

2071

. The final values for Fig. 23 are arrived at in this manner Fig. 23

(A.18) and (A.19) as follows: (A.7) (A.8) (A.9) (A.10) (A.11) (A.12) (A.13) (A.14) (A.15) (A.16) (A.17) (A.18) (A.19)

ACKNOWLEDGMENT The authors gratefully acknowledge Freescale Semiconductor, Cork, Ireland, for their guidance and fabrication of inductor structures. REFERENCES [1] D. H. Weon, J. H. Jeon, J. I. Kim, S. Mohammadi, and L. P. B. Katehi, “High- integrated 3-D inductors and transformers for high frequency applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2004, pp. 877–880. [2] M. F. Davis, S. W. Yoon, S. Pinel, K. Lim, and J. Laskar, “Liquid crystal polymer-based integrated passive development for RF applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003, pp. 1155–1158. [3] T. S. Horng, J. M. Wu, L. Q. Yang, and S. T. Fang, “A novel modified-T equivalent circuit for modeling LTCC embedded inductors with a large bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2327–2333, Dec. 2003. [4] O. H. Murphy and P. J. Murphy, “Low temperature co-fired ceramic and its applications at high frequencies,” in Proc. Irish Signals and Systems Conf., Jun. 2002, pp. 333–338. [5] Y. S. Lin, C. C. Liu, K. M. Li, and C. H. Chen, “Design of an LTCC tri-band transceiver module for GPRS mobile applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2718–2724, Dec. 2004. [6] M. Danesh and J. R. Long, “Differentially driven symmetric microstrip inductors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 332–341, Jan. 2002. [7] S. M. Yim, T. Chen, and K. K. O, “The effects of a ground shield on the characteristics and performance of spiral inductors,” IEEE J. Solid-State Circuits, vol. 7, no. 2, pp. 237–244, Feb. 2002. [8] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998.

Q

Fig. 23. Transformation of expanded physical model to a simple model for calculation.

Q

A parallel-to-series transformation [31] further simplifies the circuit. For example, the parallel arrangement of and is (A.8) and (A.9) using this method, as per converted to

2072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[9] Y. S. Choi and J. B. Yoon, “Experimental analysis of the effect of metal thickness on the quality factor in integrated spiral inductors for RF IC’s,” IEEE Electron Device Lett., vol. 25, no. 2, pp. 76–79, Feb. 2004. [10] M. Soyuer, J. N. Burghartz, K. A. Jenkins, S. Ponnapalli, J. F. Ewen, and W. E. Pence, “Multilevel monolithic inductors in silicon technology,” Electron. Lett., vol. 31, no. 5, pp. 359–360, Mar. 1995. [11] O. H. Murphy, K. G. McCarthy, C. Delabie, A. C. Murphy, T. Chan, and P. J. Murphy, “Differential inductor design incorporating multiple -enhancement techniques and expanded physical model,” in Proc. 34th Eur. Microwaves Conf., Amsterdam, The Netherlands, Oct. 11–15, 2004, pp. 1373–1376. [12] A. C. Watson, D. Melendy, P. Francis, K. Hwang, and A. Weisshaar, “A comprehensive compact-modeling methodology for spiral inductors in silicon-based RFICs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 849–857, Mar. 2004. [13] A. Scuderi, T. Biondi, E. Ragonese, and G. Palmisano, “A scalable model for silicon spiral inductors,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003, pp. 2117–2120. [14] C. P. Yue, C. Ryu, J. Lau, T. Lee, and S. S. Wong, “A physical model for planar spiral inductors on silicon,” in Proc. Int. Electron Devices Meeting, Dec. 1996, pp. 155–158. [15] P. Lorrain and D. Corson, Electromagnetic Fields and Waves, 1st ed. San Francisco, CA: Freeman, 1969, ch. 8, pp. 335–335. [16] W. B. Kuhn, X. He, and M. Mojarradi, “Modeling spiral inductors in SOS process,” IEEE Trans. Electron Devices, vol. 51, no. 5, pp. 677–683, May 2004. [17] M. C. Hsieh, Y. K. Fang, C. H. Chen, S. M. Chen, and W. K. Yeh, “Design and fabrication of deep submicron CMOS technology compatible suspended high- spiral inductors,” IEEE Trans. Electron Devices, vol. 51, no. 3, pp. 324–331, Mar. 2004. [18] S. S. Mohan, M. del Mar Henshenson, S. P. Boyd, and T. H. Lee, “Simple accurate expressions for planar spiral inductances,” IEEE J. Solid-State Circuits, vol. 34, no. 10, pp. 1419–1424, Oct. 1999. [19] F. W. Grover, Inductance Calculations. New York: Dover, 1964, ch. 5, pp. 31–34. [20] A. B. Glaser and G. E. Subak-Sharpe, Integrated Circuit Engineering, 2nd ed. Reading, MA: Addison-Wesley, 1979, ch. 4, pp. 118–118. [21] J. Sieiro, J. K. Lopez-Villegas, J. Cabanillas, J. A. Osorio, and J. Samitier, “A physical frequency-dependent compact model for RF integrated inductors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 384–392, Jan. 2002. [22] S. C. Wong, G. Y. Lee, and D. J. Ma, “Modeling of interconnect capacitance, delay and crosstalk in VLSI,” IEEE Trans. Semicond. Manuf., vol. 13, no. 1, pp. 108–111, Feb. 2000. [23] W. B. Kuhn, “Analysis of current crowding effects in multiturn spiral inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 31–38, Jan. 2001. [24] C. B. Sia, K. S. Yeo, M. A. Do, and J.-G. Ma, “Metallization proximity studies for copper spiral inductors on silicon,” IEEE Trans. Semicond. Manuf., vol. 16, no. 2, pp. 220–227, May 2003. [25] Y. Cao, R. A. Groves, N. D. Zamdmer, J.-O. Plouchart, R. A. Wachnik, X. Huang, T.-J. King, and C. Hu, “Frequency-independent equivalent circuit model for on-chip spiral inductors,” in Proc. IEEE Custom Integrated Circuits Conf., May 2002, pp. 217–220. [26] J. Gil and H. Shin, “A simple wide-band on-chip inductor model for silicon-based RF ICs,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 2023–2028, Sep. 2003. [27] C. P. Yue and S. S. Wong, “A study on substrate effects of silicon-based RF passive components,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1999, pp. 1625–1628. [28] J. Kirchgessner, S. Bigelow, F. K. Chai, R. Cross, P. Dahl, A. Duvallet, B. Gardner, M. Griswold, D. Hammock, J. Heddleson, S. Hildreth, A. Irudayam, C. Lesher, S. Meixner, P. Meng, M. Menner, J. McGinley, D. Monk, D. Morgan, H. Rueda, C. Small, S. Stewart, M. Ting, I. To, P. Welch, T. Zirkle, and W. Huang, “A 0.18 m SiGe : C RF BiCMOS technology for wireless and gigabit optical communication applications,” in Proc. Bipolar/BiCMOS Circuits and Technology Meeting, Sep. 2001, pp. 151–151. [29] J. Browne, “On-wafer probes test silicon IC’s to 110 GHz,” Microwaves RF, no. 4, pp. 84–90, Apr. 2003. [30] Y. K. Koutsoyannopoulos and Y. Papananos, “Systematic analysis and modeling of integrated inductors and transformers in RF IC design,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 8, pp. 699–713, Aug. 2000. [31] R. L. Boylestad, Introductory Circuit Analysis, 9th ed. Upper Saddle River, NJ: Prentice-Hall, 2000, ch. 15, pp. 657–658.

Q

Q

Olive H. Murphy (S’98) received the B.E. and M.Eng.Sc. degrees from University College Cork (UCC), Cork, Ireland, in 1998 and 2000, respectively, and is currently working toward the Ph.D. degree at University College Cork. From 2000 to 2001 she was an RF Design Engineer with NewLogic Technologies, Lustenau, Austria. Her research interests include inductor design, modeling, and optimization along with their integration into high-frequency circuit design.

Kevin G. McCarthy (M’97) received the B.E., M.Eng.Sc., and Ph.D. degrees from University College Cork (UCC), Cork, Ireland, in 1982, 1986, and 1992 respectively. He is currently a Lecturer with UCC, where his research interests are in the design and modeling of RF and mixed-signal devices and circuits. From 1993 to 2000, he was a Senior Research Scientist with the National Microelectronics Research Centre (NMRC) (now the Tyndall National Institute), and prior to that, he was with the Product Engineering Department and Computer-Aided Design (CAD) Department, Analog Devices, Limerick, Ireland. He has authored or coauthored over 35 publications relating to semiconductor device modeling and characterization. Dr. McCarthy has served on the Technical Program Committees of several conferences including the International Conference on Microelectronic Test Structures (ICMTS), the RFIC Symposium, and European Solid-State Device Research Conference (ESSDERC). Christophe J. P. Delabie received the B.S., M.S, and Ph.D. degrees in electrical engineering from the Université de Lille (USTL), Lille, France, in 1988, 1990, and 1994, respectively. He is currently an Associate Professor with the Ecole Supérieure d’Ingénieurs en Electronique et Electrotechnique (ESIEE), Noisy-Le-Grand, France, a postgraduate electronics faculty funded by the Paris’ Chamber of Commerce and Industry. His research interests include full-wave simulation of passive components with automatic shape optimization, RF power amplifiers (PAs), and radio-communication systems. Aidan C. Murphy (S’83–M’87) received the B.E. and M.Eng.Sc. degrees from University College Cork (UCC), Cork, Ireland, in 1983 and 1985, respectively. From 1985 to 1987, he was a Research Assistant with the Microwave Laboratory, UCC. From 1987 to 1989, he was with Pacific Monolithics, Sunnyvale, CA, where he was involved in the characterization of GaAs circuits and devices. From 1989 to 1992, he was with Hormann Electronics (now APW), Cork, Ireland, where he was involved in the design of microwave multipoint distribution system (MMDS) products. From 1992 to 1998, he was with Teltec Ireland, UCC, where he was involved in the design activities relating to MMDS, local multipoint distribution system (LMDS), and wireless local loop (WLL) systems. In 1988, he joined Motorola SPS (now Freescale Semiconductor), Cork, Ireland, where he is currently engaged in the design of transceiver ICs for mobile communication applications. Patrick J. Murphy (M’86) is currently an Associate Professor of telecommunications with University College Cork (UCC), Cork, Ireland. He has served as Centre Director of Teltec Ireland, UCC, the national high-frequency laboratory established in 1989. He has supervised over 30 graduate students, many of whom now hold leading positions in microwave/RF industries in the U.S. and Europe.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2073

A Short Stub-Matching 77-GHz-Band Driver Amplifier With an Attenuator Compensating Temperature Dependence of a Gain Shin Chaki, Member, IEEE, Takao Ishida, Takeo Mizukoshi, Hiroyuki Yumoto, Yoshinobu Sasaki, Member, IEEE, Makio Komaru, Member, IEEE, and Yoshio Matsuda

Abstract—This paper describes a 77-GHz three-stage driver amplifier integrated with a very compact 4-dB digital attenuator. The attenuator can provide successful suppression in the gain variation of the amplifier according to ambient temperature in practical use. In addition, the amplifier matching fashion using short stubs and series transmission lines helps suppress unwanted transducer gain and return gain in the out-of band of lower than 77 GHz. The amplifier fabricated in pseudomorphic high electron-mobility technology can deliver a gain of 17.9 dB with an input return loss of 21.0 dB and an output return loss of 22.7 dB in the 77-GHz band, while keeping lower than 16.5 dB of gain in the out-of band. Over the temperature range from 20 C to 100 C, the measured results show that a gain variation of less than 2.0 dB is achieved by using the attenuator, while the gain variation without the attenuator is as large as 6.0 dB. The die size is as small as 2.3 1.4 mm2 due to the very compact attenuator of a 0.2 0.6 mm2 occupied area. Index Terms—Amplifiers, attenuators, millimeter-wave amplifiers, minimization methods, radar applications, temperature.

Fig. 1. Circuit configuration for a developed driver amplifier. An attenuator is placed in front of an amplifier.

Fig. 2. Equivalent circuit for a switch-type pHEMT. (i) Off state at

03 V. (ii) On state at V g = 0 V.

Vg =

I. INTRODUCTION

M

ILLIMETER-WAVE monolithic microwave integrated circuits (MMICs) have found a good demand for automotive radar system applications. One of the notable features of such an application will be that the MMICs should operate in a wide temperature range. It is basically difficult to guarantee a level diagram without gain adjustment for the system including multistage amplifiers or multipliers [1], [2]. In the amplifier, a gain often changes according to the change of ambient temperature. Unwanted gain increase causes excessive input power to the next stage so that the overall system reliability may become lower. On the other hand, gain decrease causes insufficient input power to the next stage MMIC, leading to the degradation of electrical performance for the system. There are some reports on effective ways to compensate the gain variation: gate voltage control or use of a dual-gate high electron-mobility transistor (HEMT) [3], [4]. These ways are very convenient because no other RF circuits are needed. However, they may be fraught Fig. 3. Calculated results for a shunt resistor. Thick line represents thin line represents S . Manuscript received September 30, 2004; revised December 15, 2004. S. Chaki, T. Ishida, Y. Sasaki, M. Komaru, and Y. Matsuda are with the High Frequency and Optical Device Works, Mitsubishi Electric Corporation, Hyogo 664-8641, Japan (e-mail: [email protected]). T. Mizukoshi and H. Yumoto are with the High Frequency and Optical Engineering Department, KDL Corporation, Hyogo 666-0024, Japan. Digital Object Identifier 10.1109/TMTT.2005.848810

S

and

with undesirable changes for electrical performances such as decrease of an output power, increase of current consumption, . Therefore, we have approached studies and phase shift of on a digital attenuator and a driver amplifier with the attenuator

0018-9480/$20.00 © 2005 IEEE

2074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. Calculated results for a shunt capacitor. Thick line represents S thin line represents S .

and

Fig. 7. Equivalent circuit for the attenuator. The shunt circuit is divided into three resistors in the practical layout. TABLE I BIAS CONDITION FOR EACH STATE

Fig. 5. Extracted results for C o on off-state pHEMTs.

Fig. 8. Conventional and new layouts for the switch-type pHEMT. For the new layout, two lines connected to the switch-type pHEMTs and two electrodes are removed from the conventional layout. (i) Conventional layout. (ii) New layout.

Fig. 6.

Extracted results for Ron on on-state pHEMTs.

[5], [6]. In this paper, very small size attenuator design and a useful layout pattern for saving the die area is proposed for the attenuator, and for the amplifier, a short stub-matching technique is also proposed, which is effective in avoiding undesirable oscillation in the out-of band. We will also demonstrate that

Fig. 9. Pattern layout for EM analysis, which is the final attenuator layout described in this paper.

the developed attenuator can effectively suppress the gain variations for the amplifier according to ambient temperature.

CHAKI et al.: SHORT STUB-MATCHING 77-GHz-BAND DRIVER AMPLIFIER

2075

Fig. 10. Three types of attenuator layouts in which the type of branched region differ. (i) Conventional layout from [6]. (ii) Layout 1 (L1). Two sets of shunt circuits are connected to two branch points on the main line with four transmission lines. (iii) Layout 2 (L2). Four transmission lines between shunt circuits and the main line are removed.

The developed MMIC including both active and switch devices are produced in a standard HEMT process that is 0.2- m AlGaAs/InGaAs/GaAs T-gate power pseudomorphic high electron-mobility transistor (pHEMT) MMIC technology on a 100- m GaAs substrate. II. DESIGN There are some reports on digital and analog attenuators [7]–[15]. Our report is primarily different from them in that the attenuator has no resistor when only using some switch-type pHEMTs. Fig. 1 shows the configuration of the developed three-stage driver amplifier with the attenuator, which is arranged in front of the amplifier. First, we will describe the design of the new attenuator in which main attention was paid realizing a compact chip size. A. Attenuator Design The attenuator contains four shunt arms, where each arm consists of three pHEMT switches controlled by the gate bias of 0 or 3 V. The objective of the attenuator is to compensate temperature dependence of the amplifier gain digitally in practical use. The main issue of the attenuator design is to realize a compact circuit. Miniaturization of the circuit is needed in order to keep a die size for the amplifier as small as possible. In this study, the attenuator is characterized by the following. 1) A dynamic range and a step of attenuation are designed 4 and 1 dB, respectively. 2) There are no resistors in the attenuation circuit because a pHEMT is utilized for switching and attenuation. 3) Especially in this paper, the pattern layout was considered carefully for miniaturization in which series switch-type pHEMTs are integrated using the new layout in addition to alteration of a branched part for shunt circuits and reduction of four via-holes compared with a conventional pattern layout [6]. Fig. 2 shows an equivalent circuit for a switch-type pHEMT at the off and on states. In the off state, the transistor biased 3 V at a gate electrode operates as the capacitor , and in . Figs. 3 the on state, its biased 0 V operates as a resistor: and 4 shows calculated results of and for a shunt resistor and shunt capacitor, respectively. A resistance value of 160 is needed to get a loss of 1 dB, as shown in Fig. 3, and we estimate that the shunt capacitor does not give a critical effect to through

line characteristics in the case of a capacitance value of lower than 9.3 fF from the calculation in Fig. 4. Figs. 5 and 6 shows extracted results for measured switch) of 5, 10, 20, and 50 m for type pHEMTs of gatewidths ( and are estimated off state and on state, respectively. by a fitting procedure using measured -parameters of switch pHEMTs. Fig. 7 shows an equivalent circuit for the attenuator. In the case of the resistance value of 160 , a gatewidth of lower than 10 m is needed, as shown in Fig. 6. In fact, three series resistors of 53 are utilized to realize the value of 160 because it is difficult to product a gatewidth that is shorter than 10 m in our existing process rule stably. In addition, a steep slope of for the lower than 10 m shown in Fig. 6 leads to the an increase of difficulty of the attenuator design. In the case of the value of 53 , the slope becomes relatively gradual so the gatewidth can select easily and design precisely. As a result, the gatewidth of 20 m was selected as the single pHEMT switch in the attenuator. A return loss becomes a value of higher than 9 dB in a full (4 dB) loss state, as shown in Fig. 3. This means that, in the limit of the dynamic rage of 4 dB, matching elements are not needed for the input and output matching. The limit of dynamic range is important for getting the compact circuit without any matching elements. In addition, broad-band characteristics are easily realized for the developed attenuator. That is one of the merits because the same circuit of an attenuator can be used in amplifiers, multipliers, and oscillators operating in different frequency range. When the gatewidth of 20 m is selected, the maximum value of a shunt capacitance becomes 9.3 fF in a reference state. An insertion loss then becomes approximately 0.1 dB and a return loss becomes a value of higher than 24 dB, as shown in Fig. 4. These calculated results sufficiently satisfy our objective for the attenuator. Table I summarizes the bias condition for each state. All of are set at 3 V in a reference state (0) and are set at 0 V selectively in the loss states. In a full some of attenuation state (4), all of are set at 0 V. are shown in a circuit diagram in this section.) ( Fig. 8 shows the new layout and conventional one for the series switch-type pHEMTs. The adjacent source or drain electrodes are piled in the new layout for the miniaturization. Two lines connected to the switch-type pHEMTs and two electrodes can be removed in the layout. Each switch-type pHEMT that

2076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 11. Calculated results of EM analysis for three types of pattern layouts. (i) S dotted and thick lines indicate the characteristics for layouts 1 and 2, respectively.

operates as a resistor or a capacitor is turned on and off so as to provide designed attenuation levels. A commercial electromagnetic (EM) simulator was used for the layout design of the amplifier and attenuator [16], [17]. Fig. 9 shows a pattern layout for the attenuator in the EM analysis in which the switch pHEMTs in the on state are replaced by resistors as passive elements and the resistors are simply removed in those in the off state. The left side of a through line is an input port (port 1) and the right side is an output port (port 2). There are two via-holes in the pattern with which a surface metal is connected to ground metal of a backside of 100- m GaAs substrate. The calculated results will be shown with experimental results. Prior to discussing our experimental results, we should investigate certain effects on electrical performance for the compact layout. Two other alterations are required to be made to the conventional layout for achieving miniaturization apart from that of the new layout with the series switch-type pHEMTs shown in Fig. 8. One is an alteration in the layout in the branched region between shunt circuits and the main line, and the other is a reduction in the number of via-holes. Fig. 10 shows three types of attenuator layouts that differ in the type of branched regions. Four shunt circuits are connected to a branch point on the main line with four transmission lines, which have a width of 10 m and a length of 55 m in the conventional layout. As shown in Fig. 10(ii), layout 1 has two sets of shunt circuits that are separately arranged and two sets of shunt circuits that are connected to two branch points on the main line with four transmission lines having the same dimensions as that in the conventional layout. The distance between the branch points is 50 m. In layout 2, two sets of shunt circuits are connected to two branch points that are directly located on the main line, therefore, the four transmission lines between the shunt circuits and main line are removed, as shown in Fig. 10(iii). The distance between the branch points is the same as that in layout 1. Among these three layouts analyzed, the direct connection for the shunt circuits in layout 2 proved to be the most effective one for miniaturization. and using an EM Fig. 11 shows the calculated results of simulator for the three attenuator layouts in states (0) and (4).

and (ii) S . Thin lines show the characteristics for conventional layout. The

Fig. 12. Circuit diagram of the amplifier. An attenuator is placed in front of the amplifier. Layout for switch type pHEMTs is shown in Fig. 8.

Fig. 13. Input and output matching trajectories. (i) The input matching circuit. (ii) The output matching circuit.

The results of in state (4) for layouts 1 and 2 roughly agree with those obtained for the conventional layout. The result of in state (0) for layout 2 is different from those for layout 1

CHAKI et al.: SHORT STUB-MATCHING 77-GHz-BAND DRIVER AMPLIFIER

Fig. 14.

2077

Cross section of the pHEMT. Fig. 16. Experimental and simulation results for S for the attenuator. Thick and thin lines represent the measured characteristics in each state and calculated characteristics, respectively.

Fig. 15. Photograph of the attenuator. Framed rectangle indicates the effective chip area for the new attenuator.

and the conventional layout. However, we assume that this difference does not influence the electric performance of the attenof less than 10 dB. We can uator because of the value of attribute the difference to the following reason. In the case of layout 1 and the conventional layout, the high-impedance main line and the four transmission lines operating as open stubs revalue of approximately 50 , whereas in the case of alize a layout 2, the characteristics of the main line are emphasized because of the removal of the four transmission lines. The results for layout 2 in both states are poorer in comparison with of layout 1 and the conventional layout. However, we believe that is 0.4 dB less than that this degradation, even if the value of of the conventional layout at 77 GHz in state (4), is not very significant because a relative value negates the degradation for the values having the same direction for change with each other. These results prove that a direct connection in layout 2, which is the most effective one for miniaturization among the three layouts, can realize a compact attenuator without compromising the electric performance. Furthermore, no significant effects were found after reducing the number of via-holes. B. Amplifier Design Fig. 12 shows a circuit diagram of the amplifier with an attenuator placed in front of it. The pHEMT of a gatewidth of 80 m

Fig. 17. Experimental and simulation results for S for the attenuator. Thick and thin lines represent the measured characteristics in each state and calculated characteristics, respectively.

(40 m 2 fingers) was employed for each stage as an active device for the amplifier. The short stubs and series transmission lines are used for input and output matching circuits, although it is easy to design using open stubs in the millimeter-wave range, in order to suppress undesirable gain easily. Fig. 13 shows input and output matching trajectories for the and at 77 GHz for the pHEMT are plotted in amplifier. is easily realized using a this figure. The matching circuit for , a length of almost short stub. However, for matching of for a series transmission line is needed in the case of short stub matching, which often results in the increase of the matching loss. To reduce the increase of the loss, we have selected a wide linewidth of 70 m for the transmission line and a linewidth as wide as 20 m at parts of the T-junction connecting a shunt circuit. An insertion loss of a transmission line, which has a , is approximately 0.16 dB, width of 70 m and a length of , an while in the case of a width of 10 m and a length of

2078

Fig. 18. shown.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Measured relative attenuation characteristics. Values at 77 GHz are

Fig. 20. Experimental results for power gain for the attenuator in states (0), (2), and (4).

Fig. 21. Photograph of the amplifier. Framed rectangles indicate the new attenuator and one of the suggested input matching circuits.

Fig. 19. Experimental results for phase shift of S for the attenuator. Thick and thin lines represent the characteristics in states (4) and (0), respectively.

insertion is approximately 0.45 dB based on results using the EM analysis. C. Device Description The transistor used in this study is a pHEMT that is fabricated using a T-shaped Al gate process with a gate length of 0.2 m. Fig. 14 shows a schematic of the cross section of the pHEMT. The device has a double heterojunction structure that is optimized for power performance. It is formed by molecular beam epitaxy. The substrate thickness is 100 m and then plated with 3- m gold. The switch pHEMT has the same structure of Fig. 14. Typically, the device can deliver a maximum drain current of 600 mA/mm, a gate-to-drain breakdown voltage of 10 V, and a maximum transconductance of 420 mS/mm. III. EXPERIMENTAL RESULTS A. Attenuator Fig. 15 shows a photograph of the attenuator. The effective chip area is as small as 0.2 0.6 mm , except for the input/output RF probing and biasing portions. Experimental and are shown in Figs. 16 and simulation results for

Fig. 22. Measured S -parameters for the amplifier in the frequency range of 65– 90 GHz in state (0).

and 17, respectively. There are some lines of reference and four loss states in the graphs. Measured results can be satisfied for practical use, although those are not perfectly coincidence with the simulated results. The lack of measurement accuracy for an isolation of port-to-port is suspected for differences between measured and calculated results in a frequency range from approximately 70 to 100 GHz because comparable degradation is observed in measurement results of a through line of of . It is then believed that the disagreements in a

CHAKI et al.: SHORT STUB-MATCHING 77-GHz-BAND DRIVER AMPLIFIER

Fig. 23. Measured S -parameters for the amplifier in the frequency range below 65 GHz in state (0).

frequency range lower than 20 GHz in states (4), (3), and (2) are caused by simplified switch pHEMTs in the layout for the EM analysis. Relative attenuation characteristics for the attenuator are shown in Fig. 18. The developed attenuator operates up to 80 GHz and achieves the measured relative attenuation levels of 1.3, 2.4, 3.4, and 4.4 dB at 77 GHz. In the other frequency band, the levels are 1.4, 2.6, 3.7, and 4.6 dB at 20 GHz, 1.3, 2.5, 3.5, and 4.4 dB at 40 GHz, 1.3, 2.4, 3.4, and 4.3 dB at 60 GHz, and 1.9, 3.4, 4.8, and 6.0 dB at 100 GHz. Although the attenuation steps are slightly large in the operating frequency range, compared with the steps of 1 dB in the design concept, we do not believe that these results will obstruct our objective. beFig. 19 shows experimental results for a phase shift of tween states (0) and (4). The phase shift of during changing states is only 5 at 77 GHz, which is agreement with the results of the EM analysis. We think that the shift results from differences between values of shunt capacitance in states (0) and (4). is distinctly useful for particular The small phase shift of applications. Fig. 20 shows experimental results for the power gain for the attenuator at 38 GHz in states (0), (2), and (4). The power gain is fairly constant in state (0) in the input power range from 5 to 10 dBm. On the other hand, in states (2) and (4), the power gain is increased according to an increase of the input power. We assume that the maximum voltage of a load line surpasses is increased. However, we a knee voltage and an effective doubt the increase of the power gain will be any problems for an application in this paper. B. Amplifier Fig. 21 shows the die photograph of the 77-GHz-band threestage driver amplifier with the attenuator. The die area is as small as 2.3 1.4 mm . This die size of the amplifier is only 0.2 mm longer than that without the attenuator. Fig. 22 shows the measured -parameters for the amplifier at a drain voltage of 4 V. In state (0), a gain of 17.9 dB, an input return loss of 21.0 dB, and an output return loss of 22.7 dB are obtained at 77 GHz and 20 C. In full-loss state (4), gain, input, and output return losses are 13.6, 8.5, and 30.0 dB, respectively. Fig. 23 shows the

2079

Fig. 24. Measured power characteristics for the amplifier in state (0) at 77 GHz.

Fig. 25. Temperature dependence of the gain. Thick and thin lines represent characteristics under attenuation control and those fixed in state (2), respectively. Gain variation of 2 dB is achieved under control conditions.

measured -parameters for the amplifier in a frequency range of lower than 77-GHz band. The amplifier achieves lower than 16.5 dB of gain in the low-frequency range thanks to the short stub matching. The measured power characteristics for the amplifier are shown in Fig. 24. Output power levels of 10.6 and 12.4 dBm are achieved at 1- and 4-dB gain compression points at 77 GHz, and a maximum power-added efficiency (PAE) of 9.0% is obtained in the measured input power range. Fig. 25 shows the measured temperature dependence of the gain at 77 GHz. The gain variation was as large as 6.0 dB in the temperature range from 20 C to 100 C in the case that the attenuation level was fixed in state (2). In contrast, when the attenuation state was switched from states (4) to (3) at 0 C, from states (3) to (2) at 20 C, from states (2) to (1) at 60 C, and from states (1) to (0) at 80 C, a gain variation of less than 2.0 dB is achieved, as shown in Fig. 25. In the case of operation in a wider temperature range or a stage number more than four stages for the amplifier, so as to compensate for the gain change of more than 6.0 dB, the developed attenuator is difficult to utilize singularly in order to get enough of an effect of the compensation. In such a case, it may be effective that another attenuator is placed at the intermediate stage [18].

2080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE II SUMMARY OF MAIN PERFORMANCES FOR THE DEVELOPED AMPLIFIER

IV. CONCLUSION A 77-GHz three-stage driver amplifier integrated with a very compact 4-dB digital attenuator has been developed. Gain change of the amplifier according to ambient temperature has been successfully suppressed under adequate attenuation control. In addition, the amplifier has been matched using only short stubs and series transmission lines in order to suppress a gain effectively in a frequency range lower than 77-GHz band. Table II summarizes the main performances for the developed amplifier. The amplifier will be able to be conveniently applied to automotive radar systems. We then believe that the gain compensation using the developed attenuator is also effective for adjustment of chip-to-chip variations for amplifiers, multipliers, phase shifters, etc.

ACKNOWLEDGMENT The authors would like to thank S. Miyakuni, T. Kitano, Y. Kojima, and T. Shiga, all of the High Frequency and Optical Device Works, Mitsubishi Electric Corporation, Hyogo, Japan, for their efforts of wafer processing. The authors are also grateful for the useful suggestions provided by T. Ishikawa, K. Yamamoto, and J. Udomoto, all of the High Frequency and Optical Device Works, Mitsubishi Electric Corporation.

REFERENCES [1] A. Werthof, H. Tischer, and T. Grave, “High gain PHEMT frequency doubler for 76 GHz automotive radar,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 107–109. [2] J. Udomoto, T. Matsuzuka, S. Chaki, K. Kanaya, T. Katoh, Y. Notani, T. Hisaka, T. Oku, T. Ishikawa, M. Komaru, and Y. Matsuda, “A 38/77 GHz MMIC transmitter chip set for automotive applications,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 2229–2232. [3] K. Yamauchi, Y. Iyama, M. Yamaguchi, Y. Ikeda, and T. Takagi, “ -band MMIC power amplifier with an on-chip temperature compensation circuit,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 1071–1074.

X

[4] A. Tessmann, W. H. Haydl, T. Krems, M. Neumann, H. Massler, L. Verweyen, A. Hülsmann, and M. Schlechtweg, “A compact coplanar -band variable gain amplifier MMIC with wide control range using dual-gate HEMTs,” in IEEE MTT-S Int. Microwave Symp. Dig., 1998, pp. 685–688. [5] S. Chaki, T. Ishida, T. Mizukoshi, H. Yumoto, Y. Sasaki, M. Komaru, and Y. Matsuda, “A short stub matching 77 GHz-band driver amplifier with an attenuator compensating temperature dependence of a gain,” in Proc. 34th Eur. Microwave Conf., 2004, pp. 257–260. [6] S. Chaki, N. Andoh, H. Yumoto, Y. Sasaki, M. Komaru, and Y. Matsuda, “A compact and broad-band variable attenuator compensating fluctuation of a gain for a multistage amplifier,” in Asia–Pacific Microwave Conf., 2003, pp. 1561–1564. [7] R. Gupta, L. Holdeman, J. Potukuchi, B. Geller, and F. Assal, “A 0.05–14-GHz MMIC 5-bit digital attenuator,” in IEEE GaAs Integrated Circuits Symp. Dig., 1987, pp. 231–234. [8] F. Ali, S. Mitchell, and A. Podell, “Low-Loss, high-power, broad-band GaAs MMIC multibit digital attenuators with on-chip TTL drivers,” in IEEE GaAs Integrated Circuits Symp. Dig., 1991, pp. 243–246. [9] F. J. McGraph and R. G. Pratt, “An ultra broad-band DC–12 GHz 4-bit GaAs monolithic digital attenuator,” in IEEE GaAs Integrated Circuits Symp. Dig., 1991, pp. 247–250. [10] D. Krafcsik, F. Ali, and S. Bishop, “Broadband, low-loss 5- and 6-bit digital attenuators,” in IEEE MTT-S Int. Microwave Symp. Dig., 1995, pp. 1627–1630. [11] B. Maoz, “A novel, linear voltage variable MMIC attenuator,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1675–1683, Nov. 1990. [12] K. Miyatsuji, H. Ishida, T. Fukui, and D. Ueda, “A technique for improving the distortion of GaAs variable attenuator IC using squeezedgate FET structure,” in IEEE Microwave and Millimeter-Wave Monolithic Circuits Symp., 1996, pp. 43–46. [13] J. C. Sarkissian, M. Delmond, E. Laporte, E. Rogeaux, and M. Soulard, “A -band 6-bit digital attenuator with integrated serial to parallel converter,” in IEEE MTT-S Int. Microwave Symp. Dig., 1999, pp. 1915–1918. [14] D. A. Fisher and D. M. Dobkin, “A temperature-compensated linearizing technique for MMIC attenuators utilizing GaAs MESFETS as voltage-variable resistors,” in IEEE MTT-S Int. Microwave Symp. Dig., 1990, pp. 781–784. [15] E. C. Niehenke, P. A. Stenger, and J. E. Degenford, “A GaAs MMIC PIN diode receiver protector with switchable attenuator,” in IEEE MTT-S Int. Microwave Symp. Dig., 1996, pp. 1589–1592. [16] S. Chaki, T. Takagi, Y. Tsukahara, H. Matsubayashi, N. Andoh, Y. Sasaki, and M. Otsubo, “A miniaturized -band 4-stage LNA designed using a novel layout optimization technique,” in IEEE MTT-S Int. Microwave Symp. Dig., 1996, pp. 1213–1216. [17] S. Chaki, Y. Sasaki, N. Andoh, Y. Nakajima, and K. Nishitani, “A novel layout optimization technique for miniaturization and accurate design of MMICs,” IEICE Trans. Electron., vol. E82-C, no. 11, pp. 1960–1967, 1999. [18] M. Kasashima, S. Tachi, and K. Tanaka, “High dynamic range variable gain amplifier for CDMA application,” in IEEE MTT-S Int. Microwave Symp. Dig., 1997, pp. 5–8.

W

Ku

X

CHAKI et al.: SHORT STUB-MATCHING 77-GHz-BAND DRIVER AMPLIFIER

Shin Chaki (M’98) was born in Hokkaido, Japan. He received the B.S. and M.S. degrees in nuclear engineering from Hokkaido University, Sapporo, Japan, in 1988 and 1990, respectively. In 1990, he joined the Mitsubishi Electric Corporation, Itami, Japan, where he been engaged in the research and development of GaAs MMICs. He is currently a Technical Staff Member with the GaAs System MMIC Development Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation.

Takao Ishida was born in Kyoto, Japan. He received the B.S. and M.S. degrees in electrical engineering from the University of Tokyo, Tokyo, Japan, in 1991 and 1993, respectively. In 1993, he joined the Mitsubishi Electric Corporation, Itami, Japan, where he has been engaged in the research and development of GaAs MMICs. He is currently a Technical Staff Member with the Wafer Manufacturing Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation.

Takeo Mizukoshi was born in Osaka, Japan. He received the B.S. degrees in electronic engineering from Osaka Electro-Communication University, Osaka, Japan, in 2000. In 2000, he joined the KDL Corporation, Kawanishi, Japan, where he has been engaged in the research and development of GaAs MMICs. He is currently a Technical Staff Member with High Frequency and Optical Engineering Department, KDL Corporation, Hyogo, Japan.

Hiroyuki Yumoto was born in Yamaguchi, Japan. He received the B.S. degree in electrical engineering from Nippon Bunri University, Ooita, Japan, in 1987. In 1987, he joined the KDL Corporation, Hyogo, Japan, where, since 2000, he has been engaged in research and development of GaAs MMICs. He is currently a Technical Staff Member with High Frequency and Optical Engineering Department, KDL Corporation, Hyogo, Japan.

2081

Yoshinobu Sasaki (M’94) was born in Nagano, Japan. He received the B.S. degree in electrical engineering from Waseda University, Tokyo, Japan, in 1982. In 1982, he joined the Mitsubishi Electric Corporation, Hyogo, Japan, where he has been engaged in the research and development of GaAs MMICs. He is currently a Technical Staff Member with the GaAs System MMIC Development Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation.

Makio Komaru (M’03) was born in Hyogo, Japan. He received the B.S. degree in physics from Kobe University, Hyogo, Japan, in 1983. In 1983, he joined the Mitsubishi Electric Corporation, Hyogo, Japan, where he has been engaged in the research and development of GaAs MMICs. He is currently the Manager of the GaAs System MMIC Development Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation.

Yoshio Matsuda was born in Ehime, Japan, on October 26, 1954. He received the B.S. degree in physics and the M.S. and Ph.D. degrees in applied physics from Osaka University, Osaka, Japan, in 1977, 1979, and 1983, respectively. In 1985, he joined the LSI Laboratory, Mitsubishi Electric Corporation, where he has been engaged in the development of dynamic random access memory (DRAM) circuits design and system LSIs. He is currently involved in the development of compound semiconductor devices and microwave circuits design, Mitsubishi Electric Corporation, Hyogo, Japan.

2082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Extraction of Nonlinear Parameters of Dispersive Avalanche Photodiode Using Pulsed RF Measurement and Quasi-DC Optical Excitation Abhijit Ghose, Bernd Bunz, Student Member, IEEE, Jürgen Weide, and Günter Kompa

Abstract—A measurement system using a pulsed RF signal is presented for extraction of nonlinear parameters of a large-signal model (LSM) of a dispersive avalanche photodiode. Nonlinear model of the avalanche photodiode was considered as two-port network and vector reflection measurement was carried out using a microwave transition analyzer in pulsed RF mode in conjunction with synchronized pulsed optical stimulus on the photodiode. Square-wave optical stimulus of 5- s width (full width at half maximum) and 5-kHz pulse-repetition frequency (quasi-dc) were synchronized with the pulsed RF excitation using a synchronization circuit. High-frequency dispersion effects were taken into consideration for deriving the current through the photodiode and the theoretical background was given for the derivation of optical and bias-dependent parameters. Nonlinear parameters of LSM of the avalanche photodiode were extracted from the small-signal vector reflection measurement at different bias points and optical conditions. Thermal impedance of the device limits the continuous-wave measurement up to 0.1 mW of optical power, whereas when using the current method, it was possible to characterize the device up to 1.3 mW of peak optical power. Index Terms—Nonlinearities, pulse measurement, reflection coefficient measurement, scattering parameters.

I. INTRODUCTION

F

OR MODELING microwave nonlinear devices using a commercial circuit simulator, the harmonic-balance simulator assumes a constant temperature over a complete cycle of the signal. However, while measuring the characteristics of the nonlinear device using a continuous-wave (CW) signal, self-heating may cause the temperature of the device to change. Hence, pulsed RF characterization is necessary to extract the dispersive nonlinear model parameters at nearly isothermal conditions. For opto-electronic devices like avalanche photodiodes, an accurate computer-aided design (CAD)-oriented nonlinear model is needed for the applications like pulsed laser radar, where observed picosecond pulses are often affected by waveform or nonlinearity distortion. An error correction is, therefore, necessary using the nonlinear model of the photodiode to achieve micrometer precision for distance measurement at 1 m [1]. For the extraction of nonlinear device parameters of a silicon avalanche photodiode using CW -parameter measurement, thermal resistance of the Manuscript received October 1, 2004; revised February 14, 2005. This work was supported under the European Research Project INTAS 51615009. The authors are with the Department of High Frequency Engineering, University of Kassel, D-34121 Kassel, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848808

avalanche photodiode is greatly increased near the breakdown region when the photodiode is excited by a CW optical signal of even moderate power of 0.1 mW. In this case, -parameter measurement is best performed when the RF signal applied to the component is pulsed and synchronized with the optical excitation at a specific frequency and pulsewidth. Stolze has reported the nonlinear large-signal model (LSM) of the avalanche photodiode, where the photodiode is taken as a two-port network [1]. Parameters were extracted by RF and dc measurements using CW optical conditions. The advantage of using this model is that direct relations are established between electrical parameters and optical input using an opto-electronic converter characterized by an impulse response. Here in this paper, we report on extraction of nonlinear parameters of the avalanche photodiode LSM using pulsed RF measurement when optical stimulus is synchronized with the RF pulses at different biasing conditions of the photodiode. The advantage of this new approach is that measurement conditions remain nearly isothermal and it was possible to characterize the device within a range of (0–1.3) mW of peak input optical 0.065 mW). Using CW optical power, the power (average measurement range is limited to 0.1 mW due to limited rated dissipation power of the device. Pulsed RF measurements are well established to extract nonlinear [2] dispersive parameters of power microwave devices using pulsed vector network analyzers [3]–[5]. Here, in this alternative approach, an LSM of the avalanche photodiode was transformed to a small-signal linear model and a microwave transition analyzer (HP 70820A) was used in the pulsed RF mode to measure small-signal vector reflection coefficients of the avalanche photodiode. In Section IV, analytical expressions are discussed for the LSM and corresponding small-signal measurement parameters are derived. II. AVALANCHE PHOTODIODE MODEL Fig. 1 shows the LSM of the avalanche photodiode, and the corresponding linearized small-signal model is shown in Fig. 2 [1]. It is necessary to transform the LSM to a small-signal linear model, which can be readily modeled by harmonic-balance simulators and is based on small-signal scattering-parameter measurement. In Fig. 1, an opto-electronic converter is the transfer function . represents matrix defined by three time constants the internal current source of the diode, represents the charge is the charge source, source for photodiode conductance, and

0018-9480/$20.00 © 2005 IEEE

GHOSE et al.: EXTRACTION OF NONLINEAR PARAMETERS OF DISPERSIVE AVALANCHE PHOTODIODE

Fig. 1. LSM of the avalanche photodiode.

Fig. 2.

Derived small-signal model of the avalanche photodiode.

2083

around a microwave transition analyzer (HP70820A) with a synthesized signal generator (HP 8360B). RF pulses were provided by a synthesized source through a bias decouple circuit followed by a directional coupler and 23-dB attenuator to the device-under-test (DUT) (avalanche photodiode). Reflected pulses were coupled from the forward RF signal by the directional coupler (3 dB). Low-frequency components of the electrical pulse from the photodiode [5- s pulsewidth, 5-kHz pulse-repetition frequency (PRF)] were filtered by the bias decoupling circuit. Pulsed RF measurement was carried out from 1 to 30 GHz. The synthesized sweeper was controlled by the microwave transition analyzer in the pulsed RF mode. Optical stimulus on the photodiode was synchronized with a synchronization circuit having adjustable amplitude, pulsewidth, and delay. Transistor–transistor logic (TTL) pulses from the microwave transition analyzer trigger the input of the synchronization circuit, and output pulses from the synchronization circuit drive a low-noise voltage-to-current converter (LDX3620) for the laser diode (LQ6-780-4a/OECA). Optical power was measured at the output of the optical fiber. To ensure coincidence of the RF and optical excitation, a safe limit of approximately 1 s were left between the start of the RF and optical pulse excitation. Establishment of the measurement point over the pulse is done by placing the microwave transition analyzer in the time sweep mode, and then a time delay is set between the trigger point (leading edge of the pulse) and measurement point. The measurement point was set near the end of the pulse to avoid an overshoot of the pulse [6]. The vector reflection measurement of the device at a specific input pulsed RF power yields a set of raw measurement vectors. -parameters of the directional coupler were measured separately using an HP8510 vector network analyzer and vector error correction was done of the measured reflection coefficients. IV. HIGH-FREQUENCY DISPERSION FOR LSM OF THE AVALANCHE PHOTODIODE

Fig. 3.

Setup for pulsed RF characterization.

which accounts for delay in the avalanche multiplication. is and are the the conductance for the diode dark current. parasitic capacitances of the diode bond pad. and are the bond wire resistance and inductance, respectively. The LSM describes the nonlinear dependence of internal photocurrent to the diode current by the current source and charge source . , being the internal series resistance of the photodiode, is a nonlinear element dependent on and . In Fig. 2, a linearized photodiode model is shown with charge and diode capacisources replaced by diode conductance tance . The delay charge source is represented by a time conand . Control current is delayed by stant of the series . Electrothermal parameters were meathe delay coefficient sured by quasi-dc I–V characteristic of the diode , and dispersive parameters were extracted by pulsed RF measurements.

From the LSM of the avalanche photodiode separating dc and time varying parts, (1) By Taylor’s series expansion [1], [7] of the current source (1) becomes, after neglecting higher order terms,

,

(2) depends on both current, converted from an optical signal, and operating voltage. The above equation can be written as

(3) III. MEASUREMENT TECHNIQUE The measurement system for pulsed RF characterization of the photodiode is described in Fig. 3. The setup was built

where (4)

2084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Integrating over

and

as before for the dc operating point,

Fig. 4. Integration through paths (1) and (2) are the same as the integration path common to them.

and (5)

(13) The last two terms of (13) are zero as current is conductive. Displacement current comes only from high-frequency measurements. Adding the dispersion effects, i.e., adding values from becomes high-frequency measurements,

This is because integration over and along path (1) and (2) are independent, as shown in Fig. 4 for the dc-operating to . Now one points between can choose the integration path for which for all

(6)

then (7) Similarly, charge source is given by neglecting higher order terms of the Taylor’s series (14) (8) On a similar ground of the integration path for

and

,

Introducing a dynamic operating point, and values can be replaced by time average values of the dc-operating points over a cycle according to [1] and [7]. V. EXTRACTED PARAMETERS

(9) where (10) and (11) Now, from the small-signal model of Fig. 2,

(12)

Extrinsic parameters are bias independent and also independent of optical power input. These parameters were extracted from the small-signal -parameter measurement of the photodiode at zero bias conditions. is extracted to be 276 pH and is 0.020 pF after optimization of the small-signal model in the Advanced Design System (ADS, Agilent Technol. Inc., Palo Alto, CA). Intrinsic parameters can be calculated after deembedding the extrinsic values from measured -parameters. Small-signal parameters were extracted using in-house developed program for parameter extraction based on a simplex search algorithm. From Fig. 5, it is evident that the diode capacitance is nearly 2 pF over the bias region of 50–148 V and a slight decrease (to 1 pF) is noticed at optical power higher than 1 mW of optical power. This is evident because of increased diode conductance in the breakdown region and optical power of more than 1 mW.

GHOSE et al.: EXTRACTION OF NONLINEAR PARAMETERS OF DISPERSIVE AVALANCHE PHOTODIODE

Fig. 5. Measured photodiode capacitance using a pulsed RF signal and its variation with optical power and bias voltage.

Fig. 7. Normalized values of

2085

Q from measured data.

Fig. 6. Measured photodiode conductance. Fig. 8. Measured and modeled reflection coefficient of the photodiode at bias voltage of 148 V and peak optical power of 1.2 mW.

Fig. 6 (diode conductance: ) shows slight monotonous variation at the bias region of 50–100 V and shows rapid decrease with optical power input of more than 1 mW. This indicates a decreased gain and simultaneously increased thermal resistance of the device [6], [8]. Increase of thermal impedance was confirmed by extraction of electrothermal parameters using quasi-dc measurement [9]. Normalized charge source [see (9)] is plotted as a function of bias voltage and optical incident power on the photodiode, as shown in Fig. 7. Charge values are normalized to one and scaled in the LSM of the avalanche photodiode according to current generated from the opto-electronic converter output due to incident optical power. Detailed description of the opto-electronic converter can be found in [1]. Charge stored in the capacitor increases steadily with bias voltage and optical power, however, a variation is noticed at bias voltage of more that 120 V with optical power of more than 1 mW because of slight variation of the capacitance values at this region, as is evident from Fig. 5. Measured values of the reflection coefficient from 1 to 30 GHz and the simulated results from the LSM based on pulsed RF measurement are shown in Fig. 8 for model verification at an incident optical power of 1.2 mW. Slight deviation

is observed after 28 GHz due to increased loss in the bias decoupling network. The LSM of the avalanche photodiode was implemented using symbolically defined device (SDD) elements in ADS. Bias and optical power-dependent parameters were directly read from the two-dimensional interpolated lookup table. Fig. 9 shows the variation of the “time significant point” (at the 50% height of the pulse) with normalized peak optical power. The photodiode was exposed to optical pulses of different amplitude, and shift of the “time significant point” was observed with respect to maximum amplitude. Time shift from the LSM of the photodiode is compared to the measured data (Fig. 9). The small deviation (5 ps) observed between the curves from measurement and the LSM at 0.5 of peak optical power is within experimental error. Measurement results of the multiplication factor are shown in Fig. 10. It is evident that the multiplication factor decreases slowly until 1.2 mW of optical power and, with further increase of input optical stimulus, it drops abruptly. Decrease in the multiplication factor is attributed to an increase in thermal resistance of the device, and a nearly isothermal condition can be assumed

2086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

implemented in CAD software, which is useful for rectifying the distance measurement error in such applications. REFERENCES

Fig. 9. Variation of “time significant point” with norm. Optical power: comparison between LSM and pulse measurement.

Fig. 10.

Multiplication factor using quasi-dc measurement.

up to the optical input power of 1.2-mW peak with a pulsewidth of 5 s and a PRF of 5 kHz.

VI. CONCLUSION A method has been described where bias- and optical-condition-dependent nonlinear parameters of a dispersive avalanche photodiode have been extracted using a pulsed RF measurement setup. RF and dc characterization of the avalanche photodiode was possible up to 1.3 mW of pulsed optical power (peak) input. The LSM of a photodiode is necessary for time error correction in a pulsed laser radar receiver where the photodiode is exposed to wide dynamics of input optical pulses. The time-significant point of the measured pulses changes with varying amplitude of the optical signal due to nonlinearity of the photodiode and inserts an error in the distance measurement for time-of-flight laser radar. Using the nonlinear model, one can calculate the shift of the time-significant point due to change in the voltage waveform of the measured pulse. This technique, therefore, provides a measurement-based LSM of the avalanche photodiode,

[1] A. Stolze, “Breitbandige meßtechnische Charakterisierung und Modellierung von Sperrschicht-Photodioden für den gezielten rechnergestützten Entwurf von optoelektronischen Breitbanddetektoren,” Ph.D. dissertation, HFT Dept., Univ. Kassel, Kassel, Germany, 1996. [2] J. Jargon, K. C. Gupta, D. Schreurs, K. Remley, and D. DeGroot, “A method of developing frequency-domain models for nonlinear circuits based on large-signal measurements,” in 58th Automatic RF Techniques Group Microwave Measurements Conf. Dig., San Diego, CA, Nov. 2001, pp. 35–48. [3] D. Vondran, “Techniques for pulsed S -parameter measurements,” High Frequency Electron., vol. 2, no. 2, pp. 50–57, Mar. 2003. [4] J. P. Teyssier, P. Bouysse, Z. Quarch, D. Barataud, T. Peyretaillade, and R. Quéré, “40-GHz/150-ns versatile pulsed measurement system for microwave transistor isothermal characterization,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2043–2052, Dec. 1998. [5] J. Scott, M. Sayed, P. Schmitz, and A. Parker, “Pulsed-bias/pulsed-RF device measurement system requirements,” in Proc. 24th Eur. Microwave Conf., Cannes, France, Sep. 1994, pp. 951–961. [6] C. P. Baylis and L. P. Dunleavy, “Performing and analyzing pulsed current-voltage measurements,” High Frequency Electron., vol. 3, no. 5, pp. 64–69, May 2004. [7] G. Kompa, “Modeling of dispersive microwave FET devices using a quasi-static approach,” Int. J. Microwave Millimeter-Wave ComputerAided Eng., vol. 5, no. 3, pp. 173–194, Mar. 1995. [8] A. Ghose, B. Bunz, J. Weide, and G. Kompa, “Measurement of nonlinear dispersive parameters of avalanche photodiode using pulsed RF signal and quasi-DC optical stimulus,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 925–928. [9] A. Ghose and G. Kompa, “Electrothermal parameter extraction of avalanche photodiode using quasi-DC optical pulses,” in Proc. 10th IEEE Int. Electron Devices for Microwave and Optoelectronic Application Symp., Manchester, U.K., Nov. 2002, pp. 69–74.

Abhijit Ghose was born in West Bengal, India, in 1971. He received the M.Sc. degree in physics (with a specialization in electronics) and M.Tech. degree in microwaves from the University of Burdwan, Burdwan, India, in 1994 and 1997, respectively, and is currently working toward the Ph.D. degree in microwave technology at the University of Kassel, Kassel, Germany. In 1997, he joined Astra Microwave Products Ltd., Secundrabad, India, as a Senior Engineer involved with research and development of microwave filters. From 1998 to 1999, he was Project Scientist with the Central Electronics Engineering Research Institute (CEERI), Pilani, India, where he was involved in the microwave tubes area. In 2000, he joined the Department of Microwave Technology, University of Kassel. His research includes device characterization, pulsed laser radar, and large-signal measurement techniques.

Bernd Bunz (S’99) was born in Koblenz, Germany, in 1971. He received the Dipl.-Ing. degree in electrical engineering from the University of Karlsruhe, Karlsruhe, Germany, in 1999, and the Dr.-Ing. degree from the University of Kassel, Kassel, Germany, in 2004. In 1999, he joined the University of Kassel. His main interests include frequency multipliers, power amplifier (PA) and transistor characterization, and high-power large-signal measurements.

GHOSE et al.: EXTRACTION OF NONLINEAR PARAMETERS OF DISPERSIVE AVALANCHE PHOTODIODE

Jürgen Weide was born in 1960. He received the Dipl.-Ing. degree in communication engineering from the University of Kassel, Kassel, Germany, in 1983. From 1983 to 1994, he was an Engineer with Wegmann Industrietechnik. He has been involved with the development of controlling software, measurement techniques, and electronic and mechanical parts for automobile industries. In 1995, he joined the Microwave Department, University of Kassel. His research involves measurement techniques in microwave and laser radar systems. He has filed a patent on wheel alignment systems.

2087

Günter Kompa received the Dipl.-Ing. and Dr.-Ing. degrees from the Technical University of Aachen (RWTH) Aachen, Germany, in 1970 and 1975, respectively. In 1976, he joined the Endress & Hauser, where he focused on microwave and laser radar research and development. Since 1984, he has been a Professor heading the Department of Microwave Technology, University of Kassel, Kassel, Germany. From 1990 to 2002, he was a referee for the German Ministry of Research and Technology Bundesministerium für Bildung, Wissenschaft, Forschung und Technologie (BMBF). From 1997 to 2001, he was a referee of the German Academic Exchange Service (DAAD). In 1997, he established the international masters course “Electrical Communication Engineering (ECE)” at the University of Kassel, which belongs to the first established international postgraduate degree courses in Germany. His current research interests mainly cover circuit and system design of ultra-wideband (UWB) microwave and laser radars, multitone characterization and modeling of high-power devices and amplifiers, and PA linearization. He has authored or coauthored over 150 technical papers. He has filed numerous patents. Dr. Kompa was the recipient of the 1978 Heinrich–Hertz Award presented by the Institute of Radio and Electronic Engineers (IREE), London, U.K.

2088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Design Methodologies of Planar Duplexers and Triplexers by Manipulating Attenuation Poles Takanobu Ohno, Kouji Wada, and Osamu Hashimoto

Abstract—Design methodologies of planar duplexer and triplexer consisting of bandpass filters (BPFs) using different tapped resonators are described. Firstly, we propose a planar duplexer consisting of BPFs using a tapped half-wavelength resonator and a tapped quarter-wavelength resonator. The duplexer is designed based on a classical filter design method with a narrow-band approximation and a tap-coupling method. The experimental duplexer is fabricated using coplanar-waveguide structures. Secondly, a planar triplexer consisting of three types of BPFs is described in a similar manner. The results of this study show that the design methodology is useful for realizing the high-performance planar duplexer and triplexer fabricated without increasing the number of elements. Index Terms—Attenuation pole, coplanar waveguide (CPW), duplexer, tapped resonator, triplexer.

Fig. 1. Schematic circuit of duplexer consisting of BPFs using different tapped resonators.

I. INTRODUCTION

TABLE I DESIGN SPECIFICATIONS OF THE DUPLEXER SHOWN IN FIG. 1

P

ASSIVE integration technologies used to achieve features such as multifunction, composition, and downsizing are receiving increasing attention for recent and next-generation microwave and millimeter-wave applications. Moreover, highperformance planar passive circuits are of significant practical importance because planar fabrication of transmission lines and circuit structures are indispensable for fabricating reduced-size high-frequency equipments. In the planar passive circuits, a resonator, filter, duplexer, and triplexer are the essential key circuits in recent technical trends [1], [2]. In general, various studies have been conducted on out-of-band rejection and downsizing of microwave filters. The downsizing of the filter has frequently been carried out by the use of the reduced-size resonators such as stepped-impedance resonators (SIRs) [3], hairpin-type resonators, and quasi-lumped-element resonators. On the other hand, the out-of-band rejection of the filter is frequently performed by locating the attenuation poles in the stopband characteristics [4]–[6]. It is, however, difficult to accomplish the two demands mentioned above simultaneously because of the tradeoff among the number of parts, cost, and simplification of the structure. If the control of the number of attenuation Manuscript received October 1, 2004; revised December 14, 2004. This work was supported in part by the Ministry of Education, Culture, Sports, Science, and Technology of the Japanese Government under a Grant-in-Aid for the 21st Center of Excellence Program. T. Ohno and O. Hashimoto are with the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Kanagawa 229-8558, Japan (e-mail: [email protected]). K. Wada is with the Department of Electronic Engineering, The University of Electro-Communications, Tokyo 182-8585, Japan and also with the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Kanagawa 229-8558, Japan. Digital Object Identifier 10.1109/TMTT.2005.848751

poles is possible by a simple approach, then it is more effective to locate the attenuation poles near the passband used for the duplexer [7] and triplexer [8], [9]. To achieve the requirements above, we use a bandpass filter (BPF) based on different tapped resonators. The advantage of the proposed BPF includes the compactness and control of the location and the number of attenuation poles. In this study, planar duplexer and triplexer consisting of BPFs using different tapped resonators are also proposed. It has been confirmed that the proposal enables the realization of the high-performance planar duplexer and triplexer theoretically and experimentally. II. PLANAR DUPLEXER CONSISTING OF BPFs USING DIFFERENT TAPPED RESONATORS A. Structure and Design We discuss the design methodology of a duplexer consisting of BPFs using different tapped resonators in detail. Fig. 1 illustrates a schematic circuit of a duplexer consisting of BPFs using different tapped resonators. In this case, the duplexer consists of a T-junction line and two BPFs using a tapped half-wavelength resonator, a tapped quarter-wavelength resonator, input/output (I/O) coupling inductors, and an interstage coupling capacitor. Table I shows one example of the design specifications of the duplexer shown in Fig. 1. The location of respective attenuation poles is easily obtained by manipulating the tapped resonators.

0018-9480/$20.00 © 2005 IEEE

OHNO et al.: DESIGN METHODOLOGIES OF PLANAR DUPLEXERS AND TRIPLEXERS BY MANIPULATING ATTENUATION POLES

0

Fig. 2. Duplexer consisting of BPFs using lumped-element parallel resonators.

L

0C

By using the tapped half-wavelength resonator, location of one attenuation pole can be possible at each frequency region near the passband, whereas one attenuation pole can be obtained at the higher frequency region near the passband by the tapped quarter-wavelength resonator. In this case, we consider that the derived from the transmitting (Tx)-BPF attenuation pole is set at of the receiving (Rx)-BPF. The attenuation pole derived from the Rx-BPF is also located at of the Tx-BPF. First, we examine the design of each BPF in the duplexer shown in Fig. 1. Herein, we describe the procedure for the design of the Tx-BPF using the following process. Fig. 2 shows a schematic circuit of a duplexer using lumped-element ( or ) parallel resonators for the design process. The duplexer shown in Fig. 2 consists of the T-junction line and parallel resonators, I/O coupling two BPFs using inductors and an interstage coupling capacitor. The element values for a Butterworth low-pass prototype are given by

In this case, ( or ) are temporarily given. are the characteristic admittances of the -inverters. These values are obtained by the following equations, where the must be satisfied because of a filter relationship symmetry:

2089

Fig. 3. Relationship between L C parallel resonator and resonant circuit consisting of Resonator 1, L , and C . (a) Lumped element L C parallel resonator. (b) Resonant circuit consisting of Resonator 1, L and C .

coupling capacitor is

0

can be obtained by (2) and the relation

(5) and are the inductors for each I/O coupling eleand with and ment. The negative element of are introduced to create a half-section admittance inverter without susceptance on the load side of the normal -inverter. , and are expressed by The values of

(6) (7)

Consequently, and are determined in accordance with the above design specifications and design process. The rest of the design parameters for each resonator of the Tx-BPF, shown in Fig. 1, such as the characteristic impedance and the length of the resonator are determined using the following process. We consider that the resonant circuit consists of the tapped , and quarter-wavelength resonator, inductive element , as shown in Fig. 3(b). This circuit is capacitive element considered for comparison with Resonator 1 consisting of the pair in Fig. 3(a). The resonance condition of the resonant circuit shown in Fig. 3(b) is given by

(1) (8) (2)

The condition for locating the attenuation pole is

(3)

(9)

(4)

The relational expression between the susceptance slope parameters of the lumped-element resonator and the resonant circuit shown in Fig. 3 is expressed by

and are the angular center frequency where and the normalized cutoff angular frequency, respectively. denotes the loaded conductance in each port. Furthermore, denotes the fractional bandwidth. The interstage

(10)

2090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

For Resonator 1, the resonance condition , the and condition for locating the attenuation pole the relational expression between the susceptance slope paramparallel resonator and the resonant eters of the , and circuit consisting of Resonator 1, are expressed by (14)–(16), respectively. The angular resonant frequency, which is the designed center frequency, and the angular attenuation pole frequency at the lower and frequency region of the passband are , respectively. The phase constants for and are and , respectively. By the solution of simultaneous equations (11)–(13), the length of the resonator is derived as the free-space wavelength. In this investigation, the duplexer is designed using the coplanar waveguide (CPW) configuration to confirm our proposal. The width of a center conductor of the CPW is derived under the condition that the characteristic impedance, material parameters, and ground plane width are known. The effective of the CPW in Resonator 1 is also dielectric constant derived. If the designed parameters are difficult to realize, the is reset again and it is necessary to repeat the value of same process. The Rx-BPF shown in Fig. 1 is also designed in the same manner as the case of the Tx-BPF. The resonance condition of the resonant circuit including Resonator 1 shown in Fig. 3(b) is

(11) The condition for locating the attenuation pole is (12) The relational expression between the susceptance slope parameters of the lumped-element resonator shown in Fig. 3(a) and the resonant circuit shown in Fig. 3(b) is

(13) Moreover, Resonator 2 is also examined in the same manner used to investigate Resonator 1. For Resonator 2, the resonance condition , the condition for locating the attenua, and the relational expression between tion pole the susceptance slope parameters of the lumped-element resonator and resonant circuit including Resonator 2 are expressed by (14)–(16), respectively. The design parameters of Resonator 2 are obtained by the solution of the simultaneous equations

Fig. 4. Design procedure for branch lines of the duplexer shown in Fig. 1.

(15)

(16) In this case, the phase constants for and denote and , respectively. Here, denotes the characteristic admittance of Resonator 2 of the is the effective dielectric permittivity of the Tx-BPF and CPW in Resonator 2. The design parameters of the Rx-BPF are also obtained in the same manner as the case of the Tx-BPF mentioned above. After the design of each BPF, each branch length ( and ) of the T-junction line and their characteristic impedances ( and ) are designed by the following procedure. Fig. 4 shows the design procedure for branch lines of the duplexer shown in of each branch line including a Fig. 1. Input susceptances BPF are shown in Fig. 4. If the following conditions are satisfied, the matching condition can be obtained because each unnecessary port is opened, as shown in Fig. 4: at at

(17) (18)

In this case, characteristic impedances of branch lines are set to be 50 beforehand. This is because the reflection loss caused between an excitation line of 50 and a branch line is equal to zero theoretically, i.e., when (17) and (18) are satisfied, the same result as the reflection and transmission characteristics when each BPF exists alone is obtained because the reflection caused by the branch lines disappear theoretically. From the procedure above, and are determined by (17) and (18). Table II summarizes the designed values of the triplexer shown in Fig. 1 under the design specifications shown in Table I. Fig. 5 shows input susceptance characteristics of each branch line including the BPF shown in Fig. 1. It is confirmed that the characteristics shown in Fig. 5 fill the relationship of (17) and (18). B. Characteristics of Duplexer

(14)

Fig. 6 shows the theoretical results of the transmission, reflection, and isolation characteristics of the duplexer shown in Fig. 1 in accordance with the design specifications shown in Table I.

OHNO et al.: DESIGN METHODOLOGIES OF PLANAR DUPLEXERS AND TRIPLEXERS BY MANIPULATING ATTENUATION POLES

2091

TABLE II DESIGNED VALUES OF THE DUPLEXER SHOWN IN FIG. 1

Fig. 7. PCB of the CPW-duplexer consisting of BPFs using different tapped resonators.

Fig. 8. Measured results of the CPW duplexer shown in Fig. 7. Fig. 5. Input susceptance characteristics of each branch line including a BPF shown in Fig. 4.

Fig. 6. Theoretical results of the duplexer shown in Fig. 1.

As shown in Fig. 6, the results indicate that the specific passband characteristics and attenuation poles are realized by the Tx-BPF and Rx-BPF, as expected. Moreover, the isolation characteristics between Ports 2 and 3 are improved by locating the designed attenuation pole in each . Fig. 7 shows the printed circuit board (PCB) of a CPW duplexer consisting of BPFs using the tapped half-wavelength resonator and the tapped quarter-wavelength resonator. The duplexer is fabricated on a Rogers RT/Duroid 6010LM substrate

with a substrate thickness of 1.27 mm, metal thickness of 18 m, and relative dielectric permittivity . The bonding wire is used for the I/O coupling inductors of each BPF. In each BPF, the resonator is bent to suppress the stray coupling between resonators. Each interstage coupling is realized by using the 1608-type chip capacitors of 1.2 pF (for Tx-BPF) and 0.8 pF (for Rx-BPF) in spite of the designed interstage capacitors of 1.226 and 0.875 pF, respectively. It is probably because the stray coupling between resonators exists. The actual CPW duplexer is measured with the Agilent performance network analyzer (PNA) series microwave vector network analyzer (VNA:E8362B). Fig. 8 gives the measured results of the CPW duplexer shown in Fig. 7. As shown in Fig. 8, we can see good agreement between theoretical and measured results. The measured center frequencies are 1.59 and 1.98 GHz, respectively. The insertion losses are less than 1.5 and 2.1 dB at each center frequency. The return losses are more than 20 dB in each passband. Moreover, the measured isolation characteristics are obtained more than 37 dB. III. TRIPLEXER CONSISTING OF BPFS USING DIFFERENT TAPPED RESONATORS A. Structure and Design In general, triplexer structures are basically complicated and, therefore, the location control of attenuation poles and the realization of same bandwidth value in each BPF are more difficult than duplexers [10], [11]. In addition, the design of branch lines

2092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 10. Fig. 9. Triplexer consisting of BPFs using tapped resonators.

Design concept for branch lines of triplexer shown in Fig. 9. TABLE IV DESIGNED VALUES OF TRIPLEXER SHOWN IN FIG. 9

TABLE III SPECIFICATIONS AND LOCATION OF ATTENUATION POLES OF THE TRIPLEXER SHOWN IN FIG. 9

is the key for the duplexer and triplexer designs because the designs of them tend to become more complicated and influences the characteristics of the triplexer. Therefore, if the realization of the triplexer can be possible by the circuit structure similar to the duplexer, it seems simple and practicable for the high-frequency circuit designs. We attempted to fabricate a triplexer using the concept mentioned in Section II. Fig. 9 illustrates the triplexer consisting of mixed BPFs using different tapped resonators. The triplexer and ) and BPF 1–3 using a consists of branch lines ( tapped quarter-wavelength resonator, a tapped half-wavelength resonator, I/O coupling inductors, and interstage capacitors. As an example, Table III shows the design specifications of the proposed triplexer. The location of the attenuation poles near these passbands is also summarized in Table III. The location of the attenuation poles is easily realized by manipulating the tapped resonators. and In this case, we consider that the attenuation poles ( ) derived from Resonators 1 and 2 are set at and of and ) BPFs 2 and 3, respectively. The attenuation poles ( and derived from Resonators 3 and 4 are also located at of BPFs 1 and 3. Similarly, the attenuation poles ( and ) derived from Resonators 5 and 6 are located at and of BPFs 1 and 2. These poles are located for improving near the passbands and isolation characteristics. We first design the BPFs of the triplexer shown in Fig. 9 in the same manner as described in Section II-A. and ) of After the design of each BPF, the length ( and branch lines and these characteristic impedances ( ) are designed by following procedure. Fig. 10 shows the design concept for branch lines of the triplexer shown in Fig. 9.

( or ) of each branch line Input susceptances including a BPF are shown in Fig. 10. Branch lines are designed in the condition of the nonreflection in each center frequency, as well as the case of the duplexer. In this case, characteristic are set to be 50 , and length impedances of branch lines of branch lines are determined by the condition of (19)–(21) as follows: at at at

(19) (20) (21)

Table IV summarizes the designed values of the triplexer shown in Fig. 9 under the design specifications shown in Table III. Fig. 11 shows input susceptance characteristics of each branch line including a BPF shown in Fig. 9. It is confirmed that the characteristics shown in Fig. 11 fill the relationship of (19)–(21) because input susceptances offset . each other in each

OHNO et al.: DESIGN METHODOLOGIES OF PLANAR DUPLEXERS AND TRIPLEXERS BY MANIPULATING ATTENUATION POLES

2093

Fig. 11. Input susceptance characteristics of each branch line including BPF shown in Fig. 9.

Fig. 12.

Fig. 13.

Fig. 14.

PCB of the CPW triplexer shown in Fig. 9.

Fig. 15.

Measured results of the CPW triplexer shown in Fig. 14.

Theoretical results of the triplexer shown in Fig. 9.

Isolation characteristics of the triplexer shown in Fig. 9.

B. Characteristics of Triplexer The theoretical results of the transmission and reflection characteristics of the triplexer are shown in Fig. 12 whereas the isolation characteristics are shown in Fig. 13. As shown in Figs. 12 and 13, we can confirm that the specific passband characteristics and multiple attenuation poles of each BPF are

obtained, as expected. The isolation characteristics are also improved by locating the designed attenuation poles at each . Fig. 14 shows the PCB of the CPW triplexer consisting of the BPFs using different tapped resonators. The CPW triplexer is fabricated on the same substrate as the duplexer. Figs. 15 and 16 graph the measured performances of the CPW triplexer shown in Fig. 14. The insertion losses are 2.96 dB at 1.56 GHz, 3.47 dB at 1.99 GHz, and 1.60 dB at 2.39 GHz. The multiple attenuation poles are realized near each passband in transmission characteristics. In comparison with the results shown in Figs. 12 and 13, the same tendency observed for the theoretical results can be seen in both the measured characteristics. As shown in Fig. 15, however, poor reflection characteristics appeared in passband characteristics of each BPF, which is considered to be due to almost the discontinuity of each CPW resonator, stray coupling between CPW resonators, and the accuracy of the value of the chip capacitor. As shown in Fig. 16, it can be confirmed that good isolation characteristics (i.e., more than 30 dB) are obtained.

2094

Fig. 16.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Isolation characteristics of the CPW triplexer shown in Fig. 14.

IV. CONCLUSION We have proposed the planar duplexer and triplexer consisting of BPFs based on different tapped resonators. The duplexer and triplexer were designed, fabricated, and measured. The results showed that the specific passband characteristics, attenuation poles, and isolation characteristics were obtained. The proposal has been confirmed by experiment using the CPW structure. However, a difference arose between theoretical and measured results. Therefore, we will attempt the following. I/O and interstage couplings in the duplexer and triplexer will be fabricated by using planar lumped elements such as meanderline inductors, interdigital capacitors, and others to improve the insertion-loss characteristics of the duplexer and triplexer. Improvement in the fabrication accuracy of each PCB is also a subject for future study. We will use an electromagnetic simulator so as to analyze the proposed duplexer and triplexer more strictly. For further improvement of the out-of-band characteristics of the planar duplexer and triplexer, many other combinations of the resonators for designing the BPFs should be examined. Moreover, the improvement of the skirt characteristics near each passband through the use of BPFs with multistage resonators in the duplexer and triplexer will be performed. Further investigation will include the design of the strip-line duplexer and triplexer based on the presented design methodology and the low-temperature co-fired ceramics (LTCC) technology.

REFERENCES [1] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped impedance resonators,” IEEE Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [2] T. Ishizaki, T. Teraoka, and K. Ogawa, “An excellent cost-performance antenna duplexer for 26 GHz FWA systems,” IEICE Trans. Electron., vol. E84-C, no. 10, pp. 1515–1520, Oct. 2001. [3] A. F. Sheta, J. P. Coupez, G. Tanné, S. Toutain, and J. P. Blot, “Miniature microstrip stepped impedance resonator bandpass filters and diplexers for mobile communications,” in IEEE MTT-S Int. Microwave Symp. Dig., San Francisco, CA, Jun. 1996, WE2C-2, pp. 607–610.

[4] K. Wada, Y. Noguchi, H. Fujimoto, and J. Ishii, “A tapped-line coplanar waveguide bandpass filters with finite attenuation poles,” in Proc. Asia–Pacific Microwave Conf., Tokyo, Japan, Dec. 1994, pp. 763–766. [5] K. Wada, I. Awai, and Y. Yamashita, “Characteristics of =4 CPW resonators with tap-excitation and their application to bandpass filters,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Denver, CO, Jun. 1997, WE3D-6, pp. 717–720. [6] K. Wada and O. Hashimoto, “Fundamentals of open-ended resonators and their application to microwave filters,” IEICE Trans. Electron., vol. E83-C, no. 11, pp. 1763–1775, Nov. 2000. [7] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [8] J.-S. Lee, T.-S. Yun, K.-B. Kim, J.-Y. Park, Y.-J. Ko, and J.-C. Lee, “Design of a triplexer for AMPS, US-PCS, and GPS application,” in Proc. Asia–Pacific Microwave Conf., vol. 1, Seoul, Korea, Nov. 2003, WEP-46. [9] T. Ohno, K. Wada, and O. Hashimoto, “A class of a planar triplexer by manipulating multiple attenuation poles,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 625–628. [10] R. R. Mansour, S. Ye, V. Dokas, B. Jolley, W.-C. Tang, and M. Kudsia, “Design considerations of superconductive input multiplexers for satellite applications,” IEEE Microw. Theory Tech., vol. 44, no. 7, pp. 1213–1218, Jul. 1996. [11] S. J. Fiedziuszko, J. A. Curtis, C. Holme, and R. S. Kwok, “Low loss multiplexers with planar dual-mode HTS resonators,” IEEE Microw. Theory Tech., vol. 44, no. 7, pp. 1248–1257, Jul. 1996.

Takanobu Ohno was born in Chiba, Japan, in 1979. He received the B.E. and M.E. degrees from Aoyama Gakuin University, Tokyo, Japan, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree in electrical engineering and electronics at Aoyama Gakuin University. He is currently interested in the design and development of microwave planar resonators and filters. Mr. Ohno is a student member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan and the Institute of Electrical Engineers of Japan (IEEJ). He was the recipient of the 2002 Young Scientist Award presented by the IEEJ.

Kouji Wada was born in Osaka, Japan, in 1969. He received the B.S. and M.S. degrees from Kinki University, Osaka, Japan, in 1991 and 1995, respectively, and the Doctorate degree from Yamaguchi University, Yamaguchi, Japan, in 1999. From April 1999 to March 2004, he was a Research Associate with the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Tokyo, Japan. Since April 2004, he has been an Associate Professor with the Department of Electronic Engineering, The University of Electro-Communications, Tokyo, Japan. From 1997 to 1999, he was a Research Fellow of the Japan Society for the Promotion of Science (JSPS). He is also currently a Research Associate with the Department of Electrical Engineering and Electronics, Aoyama Gakuin University. He has been engaged in research on planar resonators and their application to microwave filters. He is currently interested in the design and development of tunable, switching, and wide-band circuits. Dr. Wada is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Electrical Engineers of Japan (IEEJ), and the Japan Institute of Electronics Packaging (JIEP).

OHNO et al.: DESIGN METHODOLOGIES OF PLANAR DUPLEXERS AND TRIPLEXERS BY MANIPULATING ATTENUATION POLES

Osamu Hashimoto was born in Akita, Japan, in 1953. He received the B.E. and M.E. degrees in applied electronic engineering from The University of Electro-Communications, Tokyo, Japan, in 1976 and 1978, respectively, and the Doctorate degree from the Tokyo Institute of Technology, Tokyo, Japan, in 1986. In 1978, he joined the Toshiba Corporation. In 1981, he joined the Defense Technical Development Laboratory. In 1991, he joined the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Kanagawa, Japan, as an Associate Professor. He is currently a Professor with Aoyama Gakuin University. From 1994 to 1995, he was a Guest Researcher with the University of Illinois. He has authored or coauthored over 400 papers in reviewed journals and international conferences. He has authored or coauthored over ten books in Japanese including Introduction to Finite Difference Time Domain Method (Tokyo, Japan: Morikita Shuppan Company Ltd., 1996) and Introduction to Microwave Absorbers (Tokyo, Japan: Morikita Shuppan Company Ltd., 1997), Technologies and Applications of Wave Absorber (Tokyo, Japan: CMC Publishing Company Ltd., 1999), and Measurement Method of Material Constants in High Frequency Region (Tokyo, Japan: Morikita Shuppan Company Ltd., 2003). He has been engaged in research on microwave and millimeter-wave absorbers, planar filters, measurement, and analysis of radar cross sections. Dr. Hashimoto is a member of the Institute of Electrical Engineers of Japan (IEEJ), the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the Japan Institute of Electronics Packaging (JIEP). He was the recipient of the 1990 Excellent Defense Paper Award and the 2003 JIEP Excellent Paper Award.

2095

2096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Integration of Crossed Anisotropy Magnetic Core Into Toroidal Thin-Film Inductors Michael Frommberger, Member, IEEE, Clemens Schmutz, Michael Tewes, Jeffrey McCord, Wolfgang Hartung, Reinhard Losehand, and Eckhard Quandt

Abstract—A new approach to RF thin-film inductors with an integrated magnetic core has been investigated. A toroidal inductor design was realized in thin-film technology aiming at small-signal applications in the frequency range from 10 MHz to 1 GHz. The magnetic core consists of a multilayer of sputter deposited soft magnetic FeCoBSi. The individual magnetic films were deposited in a way to realize a crossed magnetic anisotropy in the core. High-frequency measurements of the multilayers already illustrated the advantages of the unique magnetic geometry. The influence and benefit of such a magnetic core on the toroid microinductor is discussed. The results show that such crossed anisotropy microinductors are a very promising alternative to common planar spiral inductors in the RF range. Index Terms—Anisotropy, inductor, magnetic devices, magnetic cores, permeability.

I. INTRODUCTION

M

ICROINDUCTORS with integrated magnetic materials have been under investigation for quite a long time as an alternative to commonly used planar spiral inductors. As the realization of higher inductances would occupy more wafer space or requires a multilevel copper technique, the obvious and most prominent design to enhance inductance using magnetic materials is the magnetically sandwiched spiral inductor [1]–[3]. One disadvantage of such inductors is the spatial propagation of stray fields, which induces eddy currents in the substrate and potentially leads to unwanted coupling into neighboring devices. Therefore, microinductors with integrated magnetic layers where investigated, promising increased inductivity, along with a higher quality factor. Different microinductors where developed over the past years trying, on the one hand, to integrate magnetic material and, on the other hand, to circumvent problems related to the spiral design (shape anisotropy [4], domain formation [5]). The most important approaches using integrated magnetic cores are: 1) solenoids [1], i.e., a core is surrounded by a “wire,” the so called I-inductor [6], also called a “low resistivity inductor” [3], and strip inductors sandwiched by magnetic material Manuscript received October 1, 2004; revised December 14, 2004 and March 14, 2005. This work was supported in part by the Office of Naval Research under Grant N00014-02-1-0231. M. Frommberger, C. Schmutz, and E. Quandt are with AG Smart Materials, Stiftung Caesar, 53175 Bonn, Germany (e-mail: [email protected]). M. Tewes is with the Sensor Systems Group, Stiftung Caesar, 53175 Bonn, Germany. J. McCord is with the Institute for Metallic Materials, Institut für Festkörperund Werkstoffforschung Dresden, 01069 Dresden, Germany. W. Hartung and R. Losehand are with Infineon Technologies AG, 81541 Munich, Germany. Digital Object Identifier 10.1109/TMTT.2005.848753

Fig. 1. Sketch of a toroidal inductor with magnetic core.

[7]. Recently Crawford and Wang obtained an increase of of inductance of approximately 60% and an increase in approximately 15% with spiral coils using a patterned magnetic ground plane (7 nH up to 4 GHz) [5], whereas the highest inductance enhancement factor (ratio of inductance with and without magnetic core ) of ten was reached by a solenoid approach showing an inductance of 2.5 nH up to 7.5 GHz using an Ni Fe core [1], [8]. A remarkable increase of inductance and quality factor can considerably only be gained by magnetic flux guidance with higher than one all along magnetic-field lines and the avoidance at frequencies MHz can be obof eddy currents. tained by aligning the exciting ac magnetic field along a hard axis of a magnetic material with induced magnetic anisotropy (e.g., [9], [10], and [11]). Air gaps and regions with cause magnetic stray fields and lead to a strong decrease of effective permeability. Thus far, all inductors with integrated magnetic material have shown these limitations. Magnetic layers with uniaxial anisotropy lead to regions in the core where the exciting field is tilted relative to the hard axis and the field distribution is interrupted. This problem is inherent to planar spiral and toroidal inductors. The aim of this study is to realize an inductive element with a magnetic core in a toroid design with high-frequency (HF) properties and quality factors adapted to microelectronic and microsystem technology, which shall show higher inductance and better quality factors than common devices [12]. The problem of field alignment and flux guidance will be circumvented by double-layer or multilayer cores with crossed anisotropies [13]. II. MAGNETIC CORE The toroid design, as is shown in Fig. 1, requires a special magnetic core. As already mentioned, the exciting ac field caused by the coil has to be aligned perpendicular to the magnetization. This can be reached using a magnetic double-layer or multilayer with crossed anisotropies [12]. In this case, there

0018-9480/$20.00 © 2005 IEEE

FROMMBERGER et al.: INTEGRATION OF CROSSED ANISOTROPY MAGNETIC CORE INTO TOROIDAL THIN-FILM INDUCTORS

will always be the magnetization component of one magnetic layer perpendicularly aligned to the exciting field. (Fe Co ) B Si soft magnetic amorphous thin films were used as a core material, possessing an ac permeability of approximately 500 and a ferromagnetic resonance frequency of approximately 1.5 GHz. The feasibility of crossed anisotropy thin-film stacks was previously investigated in FeCoBSi/SiO /FeCoBSi bi-layer samples [13]. The domain pattern of core structures showed no closure domains. Edge curling walls [14] formed along the core edges and the net flux is guided in the ring. Whereas a single-layer thin film displays a strong degradation of HF response when the excitation field is off from the hard axis direction, the bi-layer sample showed a different behavior. In a previous investigation, the dependence of the excitation angle of the external field on the HF properties of such magnetic bi-layers was analyzed from 0 to 90 [13]. With an excitation field applied along the easy axis of the first layer (0 ), only the second layer (aligned perpendicular to the applied field) responded to the magnetic field. With a large bias field in the 90 configuration, both layers were aligned in the same direction and responded to the excitation field. In a diagonal setup (45 ), both layers responded to the excitation. Only a weak change in due to rotation of the excitation angle from 0 to the diagonal set up could be observed. In comparison, a single layer (with equal magnetic volume) displays zero HF permeability and a frequency rolloff in the megahertz regime for excitation fields aligned at 90 . In those preceding experiments, it was shown that magnetic samples with crossed anisotropy possess very interesting properties relevant for potential HF applications. The HF response of bi-layers shows strongly reduced dependence (degradation) on the angle of excitation field, which is of great interest, e.g., for toroidal core microinductors. Here, the excitation field would be almost optimally aligned to the magnetization orientation. III. MICROINDUCTORS The microinductor design in this study is based on a layout from Infineon Technologies AG, Munich, Germany,1 which aims at coil diameters in the sub-millimeter region (200–600 m) resulting in quality factors over 30 along with inductances from 10 to 200 nH. However, in the preliminary investigations presented here, the design dimensions were augmented by a factor of five to prevent potential process difficulties (e.g., resolution in photolithography or overlapping structures in electroplating processes). Devices to investigate the benefits of the mentioned crossed anisotropy bi-layers and multilayers were fabricated by thin-film techniques. The coil wiring consists of electroplated Au layers. Benzocyclobutene (BCB) “cyclotene” was used as a spin-on dielectric, which provides planarization, photosensitivity, and the possibility of patterning by reactive ion-etching.2 The connections (vias) between upper and lower metallization were done by electroplating. 1W.

Hartung, Infineon AG. Chemical, Midland, MI, BCB cyclotene. [Online]. Available: http://www.dow.com 2Dow

2097

Fig. 2. Scanning electron microscopy image of a focused ion beam (FIB) cut through a toroidal microinductor. The upper layer is the upper metal wiring. Underneath there is the BCB isolation (dark) and the multilayer magnetic core showing four individual magnetic layers.

Fig. 3. AC permeability of FeCoBSi reference samples on the same wafer as the device shown in Fig. 8. The rolloff in permeability occurs at approximately 400 MHz. The crossed anisotropy is proofed by the equal behavior in 0 and 90 measurements.

In this study, microinductors with a magnetic core have been fabricated on glass substrates. Fig. 2 shows a scanning electron microscopy image of a cut through the inductor. This figure shows the upper Au metallization and the insulating BCB layers providing a planarized surface for the deposition of the magnetic core. The multilayer magnetic core is approximately 2.5- m thick and consists of four individual magnetic layers with crossed anisotropies separated by 10-nm-thick SiO layers. A 2-mm reference disc, which was patterned on the same wafer, was characterized in an HF permeameter. The HF properties of this multilayer were determined along the two anisotropy directions. The permeability and ferromagnetic resonance are given in Fig. 3 and show similar behavior in both directions. The reference sample shows a reduced permeability and ferromagnetic resonance compared to previous measurements [12], [13] and an early rise of losses (additional magnetometer measurements proved that the as-deposited magnetic material

2098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. Measured frequency dependence of microinductors with and without a magnetic core fabricated on a glass substrate. The effective inductance and resistance were calculated out of the S -parameter data. The inductance enhancement due to the magnetic core at 10 MHz is approximately ten.

Fig. 5. Equivalent circuit of the microinductor.

was already deteriorated). Nevertheless, the permeability measurement shows that the magnetic films have not been damaged and, even more important, that the induced anisotropies did not change during processing. The HF properties of the toroidal microinductors with a magnetic core were characterized with a network analyzer. The and the effective devices were measured in reflection and resistance were determined out of ,3 inductance whereas and For a 2-mm-diameter microinductor with 50 windings, a resistance of 4 and an inductance of approximately 40 nH at 10 MHz was obtained. Fig. 4 shows the frequency dependence and resistance in comparison to a similar toroidal miof croinductor without a magnetic core. The microinductor without a magnetic core shows a very low inductance of approximately 4 nH up to approximately 1 GHz. The rolloff in inductance at approximately 40 MHz is caused by the capacitance from the wiring to magnetic core and would shift to higher frequencies with a reduced device diameter. The inductance enhancement due to the crossed anisotropy magnetic core is approximately a factor of ten. With a filling factor of approximately 25% and due to the crossed anisotropy (only half the magnetic volume responds), this results in an effective permeability of 1/4 of the expected permeability. Nevertheless, the low effective permeability is consistent with the magnetic HF properties shown in Fig. 3. An analytical approach assuming a simple equivalent circuit shown in Fig. 5 results in an effective inductance of 45 nH and an of 4 at 10 MHz. The actual frequency dependence of 3Calculation was done in Microwave Office, Applied Wave Research, El Segundo, CA. [Online]. Available: http://www.mwoffice.com

Fig. 6. Calculated frequency dependence of the quality factor [Im(Z )=Re(Z )] of a 200-m-diameter toroidal microinductor with N = 25 windings without and with a 9-m-thick magnetic core (FeCoBSi).

the device is approached by a circuit of a series RL with a cain the series already pacitance to ground. Two circuits model the measured behavior quite good. The resistance is determined by the resistivity of Au, the wiring dimensions, and the windings . is given by the analytical formula for toroid inductors assuming half the thickness of the magnetic core and a complex lossy permeability [13]. is assumed to be half the value of a capacitor given by the upper metal wiring and the floating magnetic core with BCB as the dielectric. In comparison to former devices [12], the performance could be enhanced due to the change from Si to the glass substrate, thicker metal wiring, and a higher filling factor. The obtained electrical properties of the inductor with a magnetic core do not yet show the expected changes. Most likely, this is due to losses in the magnetic core due to film roughness and to capacitance and resistance due to the high number of windings and the device diameter. Using an even higher filling factor along with decreased device size of approximately 200- m diameter should result in a more significant increase in inductance and quality factor compared to the inductor without magnetic material. Fig. 6 shows ] of a calculation of the quality factor [given by windings, and a device with a diameter of 200 m, a magnetic core (FeCoBSi) thickness of 9 m. The plot shows high quality factors for frequencies around 100 MHz. The resonance occurring at approximately 2 GHz is due to the magnetic material. From the point-of-view of device realization and optimization, inductors with integrated magnetic material have to be compared to common technology (planar spiral inductors, multilevel copper technique, discrete surface mount techniques (SMTs) inductors). The presented toroid microinductors with magnetic cores promise area inductivities higher than 1 H/mm at quality factors higher than 20 in the frequency region below 200 MHz. This is of interest for applications in TV, radio, and consumer electronics.

FROMMBERGER et al.: INTEGRATION OF CROSSED ANISOTROPY MAGNETIC CORE INTO TOROIDAL THIN-FILM INDUCTORS

IV. CONCLUSIONS AND OUTLOOK Thin-film toroidal microinductors with magnetic cores have been fabricated. The magnetic layers with crossed anisotropies have been shown to possess the required properties to be integrated in toroidal microinductors and promise results outperforming planar spiral inductors with magnetic covers. An inductance enhancement factor of ten was obtained, already comparable to the highest factor reported, with a solenoid inductor [1]. The inductors show promising frequency behavior for MHz, but not yet the expected increase in inductance and quality factor. The following decisive countermeasures will be taken. Firstly, the devices will be reduced in diameter. Secondly, the filling factor of the inductors will be further increased from 25% up to 90%. Finally, the inductance and therewith the quality factor will be increased by integrating other low-loss HF magnetic materials better adopted in permeability and ferromagnetic resonance to the desired operating frequency range. The devices still have to be thoroughly investigated, primarily with respect to the magnetic HF properties and loss mechanisms of the core under operation. Devices to further study the influence of the magnetic core and the benefit of crossed anisotropy are underway.

ACKNOWLEDGMENT The authors wish to thank A. Sehrbrock, Caesar, Bonn, Germany, for the FIB cuts and scanning electron microscopy (SEM) images.

REFERENCES [1] B. Rejaei, M. Vroubel, Y. Zhuang, and J. N. Burghartz, “Assessment of ferromagnetic integrated inductors for Si-technology,” in 4th Topical Silicon Monolithic Integrated Circuits in RF Systems Meeting Dig., Apr. 2003, pp. 100–103. [2] M. Yamaguchi, M. Baba, and K.-I. Arai, “Sandwich-type ferromagnetic RF integrated inductor,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2331–2335, Dec. 2001. [3] D. Gardner, A. M. Crawford, and S. Wang, “High frequency (GHz) and low resistance integrated inductors using magnetic materials,” in Proc. IEEE Int. Interconnect Tech. Conf., Jun. 2001, pp. 101–103. [4] A. M. Crawford, D. Gardner, and S. X. Wang, “High-frequency microinductors with amorphous magnetic ground planes,” in IEEE Trans. Magn., vol. 38, Sep. 2002, pp. 3168–3170. [5] A. M. Crawford and S. X. Wang, “Effect of patterned magnetic shields on high-frequency integrated inductors,” IEEE Trans. Magn., vol. 40, no. 4, pp. 2017–2019, Jul. 2004. [6] A. von der Weth and J. Aktaa, “Application of a model of nonlinear history-dependent magnetic behavior for inductance estimation of a microinductor,” J. Magn. Magn. Mater., vol. 234, pp. 556–566, 2001. [7] A. Gromov, V. Korenivksi, and D. Haviland, “Analysis of current distribution in magnetic film inductors,” J. Appl. Phys., vol. 85, no. 8, pp. 5202–5204, Apr. 1999. [8] Y. Zhuang, M. Vroubel, B. Rejaei, and J. N. Burghartz, “Ferromagnetic RF inductors and transformers for standard CMOS/BiCMOS,” presented at the IEEE Int. Electron Devices Meeting, San Francisco, CA, 2002. [9] B. C. Webb, M. E. Re, C. V. Jahnes, and M. A. Russak, “High-frequency permeability of laminated and unlaminated, narrow thin-film magnetic stripes,” J. Appl. Phys., vol. 69, no. 8, pp. 5611–5615, Apr. 1991.

2099

[10] J. S. Y. Feng and D. A. Thompson, “Permeability of narrow permalloy stripes,” IEEE Trans. Magn., vol. MAG-13, no. 5, pp. 1521–1523, Sep. 1977. [11] I. Fergen, “Entwicklung, Herstellung und Charakterisierung weichmagnetischer Dünnschichten für Hochfrequenz-Anwendungen,” Forschungszentrum Karlsruhe, Karlsruhe, Germany, FZKA6796, Apr. 2003. [12] M. Frommberger, M. Tewes, J. McCord, W. Hartung, R. Losehand, and E. Quandt, “A new approach to RF thin film microinductors,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004. [13] M. Frommberger, J. McCord, and E. Quandt, “High frequency properties of FeCoSiB thin films with crossed anisotropy,” IEEE Trans. Magn., vol. 40, no. 4, pp. 2703–2705, Jul. 2004. [14] J. C. Slonczewski, B. Petek, and B. E. Argyle, “Micromagnetics of laminated permalloy films,” IEEE Trans. Magn., vol. 24, pp. 2045–2054, May 1988.

Michael Frommberger (M’04) received the Diploma in physics from the University of Erlangen-Nürnberg, Nürnberg, Germany, in 1998, and the Ph.D. degree in physics from the University of Cologne, Cologne, Germany, in 2001. While with the University of Erlangen-Nürnberg, he was involved with the preparation of thin superconducting YBCO films. From 1998 to 2001, he was with the Institut de Radioastronomie Millimétrique (IRAM), Grenoble, France, where he was involved with the fabrication and characterization of superconducting Nb and NbN hot-electron bolometer mixers for space terahertz applications. In July 2001, he joined the Smart Materials Group, Caesar, Bonn, Germany, where he focuses on the development of RF microdevices using magnetostrictive thin films. Dr. Frommberger is member of the Deutsche Physikalische Gesellschaft (DPG) and Deutsche Gesellschaft für Materialkunde (DGM).

Clemens Schmutz received the diploma in mechanical engineering at the Rheinische Fachhochschule Köln, Köln, Germany, in 2004. In 1982, he joined the Berchthold Company, Tuttlingen, Germany. From 1986 to 2000, he was a Surgery Mechanic for Klaus Glaser GmbH, Tuttlingen, Germany. Since February 2004, he has been with the Smart Materials Group, Caesar, Bonn, Germany, where he focuses on the development of microinductors and magnetic sensors.

Michael Tewes received the Diploma in physics Ph.D. degree from the University of Heidelberg, Heidelberg, Germany, in 1995 and 1998, respectively. While with the University of Heidelberg, his research was focused on the development of femtosecond pulse lasers for surgical applications. As a doctoral student, he was with the German Cancer Research Center, Heidelberg, where he was involved in the development of new techniques for biochemical analysis, in particular, fluorescence cross-correlation spectroscopy. He possesses several years of industrial experience in the development of test systems for quality-control applications. In 1999, he joined the Smart Materials Group, Caesar, Bonn, Germany. Since 2003, he has been the Head of the Sensor Systems Group, Caesar, where his main research areas are physical and biochemical sensors.

2100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Jeffrey McCord received the Diploma in materials science from the University of Erlangen-Nürnberg, Nürnberg, Germany, in 1992, and the Ph.D. degree from the Institute for Electrical Materials, Erlangen, Germany, in 1997. While with the Institute for Electrical Materials, he was involved with magnetic-domain observations on thin-films structures for magnetoresistive applications. From 1997 to 2001, he was with IBM STD, San Jose, CA, where he was involved in the area of read and write head development. In 2002, he joined the Institute for Metallic Materials, Institut für Festkörper- und Werkstoffforschung (IFW) Dresden, Germany, where he is involved with issues of exchange-bias and high-frequency characterization of thin films and devices. Dr. McCord is member of the Deutsche Physikalische Gesellschaft (DPG).

Wolfgang Hartung received the Diploma in material science from the Univerität Erlangen-Nürnberg, Nürnberg, Germany, in 1995, and the Ph.D. degree from the Institut für Werkstoffwissenschaften—Lehrstuhl 6: Werkstoffe der Elektrotechnik, Erlangen, Germany, in 2000. While with the Univerität Erlangen-Nürnberg, he was involved with the characterization of the optical and electrical properties of gallium–arsenide wafers due to different annealing conditions. From 1995 to 1999 , he was with the Institut für Werkstoffwissenschaften—Lehrstuhl 6: Werkstoffe der Elektrotechnik, where he was involved with the compensation mechanism of semiinsulating silicon carbide by intentional doping with vanadium. In October 1999, he joined Infineon Technologies AG, Munich, Germany, where he has managed several research and development projects concerning devices for passive integration.

Reinhard Losehand received the Diploma in physics from the Technische Hochschule Munich, Munich, Germany, in 1969. After developing image sensors, memories and microwave diodes with Siemens AG, he established the HiPAC product family of passive integrated devices with Infineon Technologies AG, Munich, Germany, where he is currently responsible for innovations in the Discrete Semiconductors Department.

Eckhard Quandt received the Diploma in physics and Ph.D. degree in material science from the University Berlin, Berlin, Germany, in 1986 and 1990, respectively While with the University of Berlin, he was involved with solid-state physics and electron microscopy. Since 1991, he has been involved with thin-film smart materials and their applications in microsystem technology with the Institute of Materials Research, Forschungszentrum Karlsruhe, Karlsruhe, Germany. He has arranged and successfully carried out European projects, as well as bilateral cooperative projects with industrial partners on different applications. In April 1999, he joined Stiftung Caesar, Bonn, Germany, where he set up the smart materials project that concentrates on the engineering aspects related with smart materials in thin-film form. Since 2000, he has been a member of the Faculty of Mechanical Engineering, University of Karlsruhe, Karlsruhe, Germany. Dr. Quandt is member of the Deutsche Physikalische Gesellschaft (DPG), the Deutsche Gesellschaft für Elektronenmikroskopie (DGE), and the Deutsche Gesellschaft für Materialkunde (DGM). He currently heads the Dünnschichten in der Mikrosystemtechnik working group, DGM. He was the recipient of 1995 Georg-Sachs-Preis presented by the DGM.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2101

Millimeter-Wave High-Efficiency Multilayer Parasitic Microstrip Antenna Array on Teflon Substrate Tomohiro Seki, Member, IEEE, Naoki Honma, Member, IEEE, Kenjiro Nishikawa, Member, IEEE, and Kouichi Tsunekawa, Member, IEEE

Abstract—This paper proposes a highly efficient multilayer parasitic microstrip antenna array that is constructed on a multilayer Teflon substrate for millimeter-wave system-on-package modules. The proposed antenna achieves a radiation efficiency of greater than 91% and an associated antenna gain of 11.1 dBi at 60 GHz. The antenna size is only 10 mm 10 mm. Additionally, this paper describes a 60-GHz-band prototype antenna employing a multilayer Teflon substrate that is well suited to achieving high gain and a wide bandwidth. The measured performance of the prototype antenna is also presented. Index Terms—Active integrated antenna, broad-band mobile wireless access, millimeter-wave frequency band, multilayer Teflon substrate, system-on-package.

I. INTRODUCTION

S

YSTEM studies and hardware investigations on high-speed wireless communications are being conducted at millimeter-wave and quasi-millimeter-wave frequencies [1]–[3]. These applications require compact, high-performance, and low-cost wireless equipment. A highly integrated RF module, the so-called system-on-package module, which employs a multilayer structure, is effective in achieving the above requirements [4]–[7]. It is necessary to adopt active integrated antenna technology to achieve a module with antennas that are low-power consuming and have low-noise characteristics [8]–[10]. Several approaches to achieve an RF module that is integrated with antennas were reported. One approach uses a semiconductor chip antenna such as a microstrip antenna (MSA) that is integrated with RF circuits on the same semiconductor substrate [4]. However, in this approach, it is difficult to establish a high-gain antenna that employs an array antenna configuration on a semiconductor substrate due to the substrate size. Therefore, high-gain compact antennas have not yet been integrated with monolithic microwave integrated circuits (MMICs). A multichip-module approach was also proposed to construct a module integrated with antennas [5], [6]. In this module, antennas and MMICs are connected by wire bonding or a ribbon, which results in high-connection loss. This approach also requires a low-loss feeding circuit. The dielectric lens antenna was adopted to achieve a high-gain antenna [7]. However, the commonly used lens antenna is constructed using an expensive crystal material and it is difficult

Manuscript received October 1, 2004; revised February 17, 2005. The authors are with the Nippon Telegraph and Telephone (NTT) Network Innovation Laboratories, NTT Corporation, Kanagawa 239-0847, Japan (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848757

to mount it on the MMIC package. Additionally, a dielectric lens antenna constructed using resin was investigated as a low-cost alternative. There are problems, however, regarding mounting the antenna on the MMIC package and achieving high efficiency. Moreover, it is difficult to construct an array antenna substrate on a single layer due to the limitations in the manufacturing process for the millimeter-wave frequency band. The technique for improving the radiation efficiency by arranging parasitic elements above the feeding MSA elements is examined [11]–[15]. However, obtaining a sufficient absolute gain is difficult in this study [11]–[14]. This paper investigates the high-gain antenna using a low permittivity or the air layer [15]. However, only the directional gain is described and there is a problem in that it is difficult to support the parasitic element substrates. In particular, it is difficult to adopt this antenna in the system-on-package for the millimeter-wave frequency. To overcome the above problems, we proposed a multilayer parasitic microstrip antenna array (MPMAA) structure based on a parasitic antenna configuration [11] using a low-temperature co-fired ceramic substrate (LTCC) suited to packaging the MMIC chip [16]. However, since the LTCC substrate has a high electric constant, it is difficult to achieve wide-band and high-efficiency characteristics. In this paper, we propose a highly efficient MPMAA structure constructed on a Teflon substrate for a system-on-package at millimeter-wave frequency bands [17]. At 60 GHz, the new antenna achieves high radiation efficiency of greater than 91% and high gain that is greater than 11.1 dBi. The remainder of this paper is as follows. In Section II, we first describe the system-on-package module and the design of the proposed antenna. In Section III, we present the effect of the alignment precision due to the manufacturing process. Moreover, in Section IV, we describe the manufactured prototype antenna and the tests conducted at 60 GHz. We also present characteristics of the prototype antenna and clarify the design method. II. DESIGN OF PARASITIC ELEMENT ARRANGEMENT CONSTRUCTED WITH TEFLON SUBSTRATE A. Millimeter-Wave System-on-Package Image The concept of a system-on-package module integrated with an antenna is shown in Fig. 1. The system-on-package module has a highly integrated transceiver MMIC mounted on a multilayer structure and the proposed MPMAA. The multilayer structure for the MMIC chips and the MPMAA is vertically stacked. Two types of feeding methods for the MPMAA are considered. In the first method, a feeding element is constructed on the

0018-9480/$20.00 © 2005 IEEE

2102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1.

Novel structure of system-on-package integrated with an antenna.

Fig. 2.

Antenna model.

MMIC chip and the MPMAA is fed by electromagnetic coupling without a feeding line. In the other, a feeding element is constructed where the multilayer substrate of the MPMAA and the element is connected to the terminal of the MMIC using flip-chip technology. As indicated in Fig. 1, the MPMAA employs two parasitic layers. Four parasitic elements are arranged on the first parasitic layer, and nine parasitic elements are arranged on the second parasitic layer. B. High-Efficiency Antenna Design This proposed antenna achieves high gain because it increases the antenna aperture using the coupling between the layers of the multilayer substrate. The MPMAA design for the 60-GHz band is described. We use the moment method as the calculation method and assume that the ground plane is infinite. We adopt the multilayer Teflon substrate ( at 10 GHz) as the antenna substrate due to its superior characteristics such as a high gain and wider bandwidth. The simulation model is shown in Fig. 2. Here, we use the via-fed method for the feeding method. The size of the feeding element is and the feeding point is away from the center of the patch. The size of the parasitic elements mounted on the and the patch size of the first parasitic layer is . The four parasitic second parasitic layer is elements mounted on the first parasitic layer are arranged such

Fig. 3. Relationship between w 1 and w 2 that achieves the maximum absolute gain.

Fig. 4. Absolute gain and radiation efficiency characteristics versus w 2.

that they are equidistant from the center of the feeding MSA. For convenience, the width (horizontal direction) is expressed such that the width of the first parasitic layer and that of the and , respectively, as shown in second parasitic layer are Fig. 2. In addition, and represent the substrate thicknesses of the first and second parasitic layers, respectively. The calculated maximum absolute gain and the relationship between and that achieve the maximum value when is varied are shown in Fig. 3. Here, and are set to 0.25 and 0.55 mm, reis proportional to . spectively. In this figure, it is clear that The relationship of the maximum absolute gain and the radiais shown in Fig. 4. Here, the substrate tion efficiency versus thickness parameters are the same. In this figure, it is clear that the maximum absolute gain is 11.1 dBi and that the radiation is and is . The anefficiency is 91%, when tenna achieves the maximum radiation efficiency of 97% when is . Here, we believe that the peak of the absolute gain is obtained by increasing the antenna aperture according to the increase in the number of parasitic elements and the degradation in the efficiency due to the decrease in the coupling between the feed element and the parasitic elements. Additionally, it is necessary to clarify the effect of the variation in the substrate thickness for the parasitic elements. The dependency of the absolute

SEKI et al.: MILLIMETER-WAVE HIGH-EFFICIENCY MPMAA ON TEFLON SUBSTRATE

Fig. 5.

2103

Absolute gain characteristics versus substrate thicknesses t1 and t2.

Fig. 7. Current distribution of the proposed antenna. (a) Front view. (b) Rear view.

Fig. 6.

Calculated frequency characteristics of the absolute gain and S 11.

gain on the substrate thicknesses and is shown in Fig. 5. In this figure, when and are 0.25 and 0.55 mm, respectively, the absolute gain is maximum at 11.1 dBi. Additionally, it is clear that the manufacturing margins of and are approximately 150 m and 100 m, respectively, and the gain reduction is less than 0.5 dB. Furthermore, it is clear that the precision of the substrate thickness of the conventional multilayer Teflon substrate process is sufficient to construct the proposed antenna. Fig. 6 shows the frequency characteristics of the proposed antenna. In this figure, it is clear that the bandwidth is 2.6%, when characteristics are less than 10 dB. To consider the the mechanism of this antenna, the results of the current distribution calculated using the moment-method analysis are shown in Fig. 7. Here, Fig. 7(a) shows the front view and Fig. 7(b) shows the rear view. From Fig. 7(a) and (b), we understand that, in the feeding element, the current is distributed to the first layer parasitic elements, and the current is distributed in the second-layer parasitic elements via the first-layer parasitic elements. The RF signal can be effectively separated from the feeding element and passed to the second-layer parasitic elements without using a power divider circuit, and the array operation can been achieved.

III. EFFECT OF ALIGNMENT PRECISION This antenna constructed on the multilayered Teflon substrate that binds the substrates using the bonding film is sensitive to the effect of the alignment precision compared to when using

Fig. 8. Absolute gain reduction versus alignment precision of the direction.

x-

axis

other substrates such as the alumina–ceramic substrate. It is necessary to clarify the effect of the alignment error derived from the binding substrates. Here, we use the moment method for the calculation method. The size of the feeding element is and the feeding point is away from the center of the patch. The size of the parasitic elements mounted and the patch on the first parasitic layer is . Furthersize of the second parasitic layer is more, the position of the first parasitic layer is and the position of the second parasitic layer is . The calculated results of the absolute gain reduction are shown in Fig. 8 when alignment error occurs

2104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 9. Absolute gain reduction versus alignment precision of y -axis direction.

in the direction of the -axis. The calculated results of the absolute gain reduction are shown in Fig. 9 when alignment error occurs in the direction of the -axis. In these figures, it is clear that the effect of the alignment error in the direction of the -axis is greater than that in the direction of the -axis. We believe that the reason for this is that there is a standing wave in the direction of the -axis of each patch. Additionally, it is clear that the alignment margin of the first layer parasitic substrate and that of the second layer parasitic substrate are approximately 100 and 50 m, respectively, and the gain reduction is less than 0.5 dB. Furthermore, it is clear that the alignment precision of the conventional multilayer Teflon substrate process is sufficient to construct the proposed antenna.

Fig. 10.

Antenna pattern measuring system.

Fig. 11.

Configuration of measuring system using RF probe.

Fig. 12.

Measuring system using RF probe.

IV. MEASURED PERFORMANCE A. Measurement System In the millimeter-wave frequency range, the measurement deviation that occurs due to soldering error and the difference in the connecting torque is an important issue. To eliminate the deviation, we developed a novel antenna-probing fixture based on an RF on-wafer probing system. As a result, the same accurate measurement as the MMIC chip measurement becomes possible in the radiation pattern measurement of the miniaturized antenna in the millimeter-wave frequency band. Here, the millimeter-wave radiation pattern measuring system is shown in Fig. 10. The configuration of the measuring system using an RF probe and a photograph of the system are shown in Figs. 11 and 12, respectively. These on-wafer probes can be directly connected to an antenna terminal formed on the planar substrate. This system can measure the antenna radiation without the need to mount RF connectors on the antenna substrate. This method yields higher accuracy and higher efficiency. This fixture can employ two probe heads. One probe is used to feed the RF signal to the antenna terminal and the other is used to feed the control signal and dc power to the devices mounted on the antenna substrate. B. Antenna Performance We manufactured a prototype antenna that is constructed using the Teflon substrate to confirm the design in the 60-GHz

band. We use a microstrip line and a via-hole to feed the MSA, which demonstrates the operation of the feeding element shown in Fig. 2. Two parasitic layers are arranged such that the size and location of the MSA and parasitic elements, substrate thickness, and location of the feeding points achieve

SEKI et al.: MILLIMETER-WAVE HIGH-EFFICIENCY MPMAA ON TEFLON SUBSTRATE

Fig. 13.

Fig. 14.

2105

Prototype antenna.

Fig. 15.

Measured radiation pattern of H -plane.

Fig. 16.

Frequency characteristics of the absolute gain and S 11.

Measured radiation pattern of E -plane.

the maximum absolute gain, as described in Section IV-B. A photograph of the prototype antenna is shown in Fig. 13. The figure on the left-hand side shows the side view of the antenna element and the figure on the right-hand side shows the feeding line. The fabricated prototype antenna chip size is 10.0 mm 10.0 mm 1.1 mm. The - and -plane radiation patterns are shown in Figs. 14 and 15, respectively. In these figures, the measured and calculated radiation patterns are fairly equal regarding the main lobe. Next, we describe the bandwidth of this antenna. The frequency characteristics of characteristics are the measured maximum gain and the shown in Fig. 16. In this figure, the frequency bandwidth for the gain that is 3 dB lower than the peak gain for this antenna is from 59.3–62.6 GHz. Therefore, the bandwidth compared to frequency characteristics the center frequency is 5.5%. The and the gain are in good agreement with the calculated results shown in Fig. 6. Additionally, we clarify that the estimated absolute gain is greater than 8.5 dBi because the measured absolute gain of this antenna is greater than 7.4 dBi including the RF probe loss, which is approximately 1.1 dB at 60 GHz. The dispersion between the calculated and measured gain is approximately 3 dB. Here, three causes are considered for this gain reduction. The first cause is the loss in the microstrip line/coplanar line transition. The second cause originates from a discrepancy in the bonding film thickness in the design compared to the actual due to the manufacturing process, and

the third cause is due to the production accuracy in the antenna manufacturing. More specifically, the gain reduction because of the change in the bonding film thickness is 1 dB or more. In addition, the reduction in the gain in that case is 1 dB or more, although the alignment accuracy when the antenna is manufactured is approximately 100 m. As a research topic in the future, we must conduct research on the bonding film material that has electric characteristics that are similar to the Teflon substrate. Furthermore, it seems that improving the alignment binding accuracy of the multilayer Teflon substrate to approximately 50 m is necessary. V. CONCLUSION This paper has proposed a highly efficient MPMAA constructed on a multilayer Teflon substrate for millimeter-wave system-on-package modules. The design and performance of the proposed array antenna has been described. The proposed prototype antenna employs a multilayer Teflon substrate that is well suited to achieving high gain and a wide frequency bandwidth. This paper has also presented the prototype antenna performance such as the return-loss characteristics and the radiation pattern characteristics in the 60-GHz band. The radiation efficiency of the proposed antenna is greater than 91%. Moreover, we demonstrated a prototype antenna for the 60-GHz band.

2106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

ACKNOWLEDGMENT The authors thank Dr. M. Umehira and Dr. I. Toyoda, both of the Nippon Telegraph and Telephone (NTT) Corporation, Kanagawa, Japan, for their constant encouragement.

REFERENCES [1] Y. Takimoto, “Recent activities on millimeter wave indoor LAN system development in Japan,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1995, pp. 405–408. [2] N. Morinaga and A. Hashimoto, “Technical trend of multimedia mobile and broad-band wireless access systems,” IEICE Trans. B, vol. E82-B, no. 12, pp. 1897–1905, Dec. 1999. [3] T. Ihara and K. Fujimura, “Research and development of millimeterwave short-range application systems,” IEICE Trans. B, vol. E79-B, no. 12, pp. 1741–1753, Dec. 1996. [4] T. Nakagawa, K. Nishikawa, B. Piernas, T. Seki, and K. Araki, “60-GHz antenna and 5-GHz demodulator MMIC’s for more than 1-Gbps FSK transceivers,” in Proc. 32nd Eur. Microwave Conf., Sep. 2002, pp. 929–932. [5] Y. Hirachi, H. Nakano, and A. Kato, “A cost-effective RF-module with built-in patch antenna for millimeter-wave wireless systems,” in Proc. 29th Eur. Microwave Conf., Oct. 1999, pp. 347–350. [6] M. Tentzeris, N. Bushyager, J. Laskar, G. Zheng, and J. Papapolymerou, “Analysis and design of MEMS and embedded components in Silicon/LTCC packages using FDTD/MRTD for system-on-package applications system-on-package (SOP),” in Proc. Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting, Apr. 2003, pp. 138–141. [7] U. Sangawa, T. Urabe, Y. Kudoh, A. Omote, and K. Takahashi, “A study on a 60 GHz low profile dielectric lens antenna using high-permittivity ceramics—Toward a low profile antenna,” IEICE, Tokyo, Japan, Tech. Rep. MW2002-116, Nov. 2002. [8] J. Lin and T. Itoh, “Active integrated antennas,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2186–2194, Dec. 1994. [9] T. Seki, H. Yamamoto, T. Hori, and M. Nakatsugawa, “Active antenna using multilayer ceramic–polyimide substrates for wireless communication systems,” in IEEE MTT-S Int. Microwave Symp. Dig., May 2001, pp. 385–388. [10] T. Seki, K. Cho, and H. Mizuno, “Novel active integrated antenna configuration using multilayer Teflon substrate and solder bump interconnection,” in Proc. 32nd Eur. Microwave Conf., Sep. 2002, pp. 605–608. [11] H. Legay and L. Shafai, “A new stacked microstrip antenna with large bandwidth and high gain,” in Proc. IEEE AP-S Int. Symp., Jul. 1993, pp. 948–951. [12] S. D. Targonski, R. B. Waterhouse, and D. M. Pozar, “Design of wide-band aperture-stacked patch microstrip antennas,” IEEE Trans. Antennas Propag., vol. 46, no. 9, pp. 1245–1251, Sep. 1998. [13] R. B. Waterhouse, “Stacked patches using high and low dielectric constant material combinations,” IEEE Trans. Antennas Propag., vol. 47, no. 12, pp. 1767–1771, Dec. 1999. [14] R. Li, G. Dejean, M. Maeng, K. Lim, S. Pinel, M. M. Tentzeris, and J. Laskar, “Design of compact stacked-patch antennas in LTCC multilayer packaging modules for wireless applications,” IEEE Trans. Adv. Packag., vol. 27, no. 4, pp. 581–589, Nov. 2004. [15] E. Nishiyama, M. Aikawa, and S. Egashira, “Three-element stacked microstrip antenna with wide-band and high-gain performances,” in Int. IEEE AP-S Symp. Dig., vol. 2, Jun. 2003, pp. 900–903. [16] T. Seki, K. Nishikawa, and K. Cho, “Multi-layer parasitic microstrip array antenna on LTCC substrate for millimeter-wave system-on-package,” in Proc. 33rd Eur. Microwave Conf., Oct. 2003, pp. 1393–1396. [17] T. Seki, N. Honma, K. Nishikawa, and K. Tsunekawa, “High efficiency multilayer parasitic microstrip array antenna on Teflon substrate,” in Proc. 34th Eur. Microwave Conf., Oct. 2004, pp. 829–832.

Tomohiro Seki (M’94) was born in Tokyo, Japan, in 1967. He received the B.E. and M.E. degrees in electrical engineering from the Tokyo University of Science, Tokyo, Japan, in 1991, and 1993, respectively. In 1993, he joined the Nippon Telephone and Telegraph (NTT) Corporation, Kanagawa, Japan, where he has been engaged in research on planar antennas and active integrated antennas for the millimeter-wave and microwave bands. He is currently a Research Engineer with the Antenna Research Group, NTT Network Innovation Laboratories, NTT Corporation. Mr. Seki is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1999 Young Engineer Award presented by the IEICE.

Naoki Honma (M’00) was born in Sendai, Japan, in 1973. He received the B.E., M.E., and Ph.D. degrees in electrical engineering from Tohoku University, Sendai, Japan, in 1996, 1998, and 2005, respectively. In 1998, he joined the Nippon Telegraph and Telephone (NTT) Radio Communication Systems Laboratories, NTT Corporation, Kanagawa, Japan. He is currently with the NTT Network Innovation Laboratories, NTT Corporation, Kanagawa, Japan. His current research interest is planar antennas for high-speed wireless communication systems. Dr. Honma is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2003 Young Engineers Award presented by the IEICE and the 2003 Asia–Pacific Microwave Conference (APMC) Best Paper Award.

Kenjiro Nishikawa (A’93–M’00) was born in Nara, Japan, in 1965. He received the B.E. and M.E. degrees in welding engineering and Dr. Eng. degree in communication engineering from Osaka University, Suita, Japan, in 1989, 1991, and 2004, respectively. In 1991, he joined the Nippon Telegraph and Telephone (NTT) Radio Communication Systems Laboratories (now the NTT Network Innovation Laboratories), NTT Corporation, Kanagawa, Japan, where he has been engaged in research and development on three-dimensional (3-D) and uniplanar monolithic microwave integrated circuits (MMICs) on Si and GaAs and their applications. He is currently interested in millimeter-wave transceivers, system-in-package technologies, active integrated antennas, and high-speed communication systems. Dr. Nishikawa is a Technical Program Committee member of the IEEE Compound Semiconductor Integrated Circuit Symposium and the IEEE Radio and Wireless Symposium. He is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1996 Young Engineer Award presented by the IEICE.

Kouichi Tsunekawa (M’89) was born in Niigata, Japan, on January 21, 1958. He received the B.S., M.S., and Ph.D. degrees in science engineering from Tsukuba University, Ibaraki, Japan, in 1981, 1983, and 1992, respectively. In 1983, he joined the Nippon Telegraph and Telephone (NTT) Electrical Communications Laboratories (ECL), NTT Corporation, Tokyo, Japan. Since 1984, he has been engaged in the research and development of portable telephone antennas in land mobile communication systems. From 1993 to 2003, he was with NTT DoCoMo Incorporation. He is involved with radio propagation research, intelligent antenna systems for wireless communications, and the development of IMT-2000 antenna systems. He is also currently engaged in the development of IMT-2000 antenna systems. He is currently an Executive Research Engineer with the Wireless Systems Innovation Laboratory, NTT Network Innovation Laboratories, NTT Corporation, Kanagawa, Japan.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2107

Noncontacting Determination of Moisture Content in Bulk Materials Using Sub-Nanosecond UWB Pulses Ove Schimmer, Alexander Gülck, Frank Daschner, Jerzy K. Piotrowski, and Reinhard H. Knöchel

Abstract—This paper presents a new approach of noncontacting moisture determination in bulk materials utilizing time-domain transmissometry. The described method is independent of the mass per area of the material under test and does not suffer from measurement ambiguities, which are well known from frequency-domain methods. Ultra-wideband quasi-Gaussian monocycle pulses are applied with a cycle width of approximately 400 ps. The measurement signal is transmitted through the material over free space using double-ridged horn antennas. The received signal is acquired in the time domain and analyzed with different methods of data processing. The results obtained with multiple linear regression, principal component analysis, and artificial neural networks are compared with each other. Furthermore, a possible hardware implementation for a dedicated time-domain transmissometer is proposed based on a earlier developed time-domain reflectometer for the determination of food quality. Index Terms—Artificial neural networks (ANNs), moisture determination, multivariate calibration, time-domain measurements, ultra-wideband (UWB).

I. INTRODUCTION

T

HE instantaneous determination of the moisture content is of great interest in industry for online processing of bulk materials. The measurement has to be noncontacting and independent of the mass per area. For a layer of a bulk material, independency of layer thickness is thus required. The problem can be solved with microwave frequency-domain measurements. Attenuation and phase shift of a transmission signal through the material layer are determined and density and layer thickness can be eliminated by proper processing of the measurement data [2], [3]. Besides a comparatively high hardware effort for the measurement procedure (a kind of network analyzer is required), a severe problem is the elimination of the ambiguity in the phase measurement if multiple wavelengths fit into the layer of material [4], [5]. The average dielectric constant and, thus, the propagation conditions of the measurements signal has to be known in advance in order to make the phase measurement unambiguous. Such an a priori decision is a source of error and a tedious task in practise. This paper introduces a novel approach, based on time-domain transmissometry (TDT), for the noncontacting determination of the moisture content of material layers. It does not suffer from measurement ambiguities and may even be realized with a lower hardware

Manuscript received October 1, 2004; revised January 10, 2005. O. Schimmer, A. Gülck, F. Daschner, and R. H. Knöchel are with the Technische Fakultät, Microwave Group, Christian-Albrechts-Universität, 24143 Kiel, Germany (e-mail: [email protected]). J. K. Piotrowski is with the Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, 00-665 Warsaw, Poland. Digital Object Identifier 10.1109/TMTT.2005.848765

Fig. 1.

Spectrum of the applied measurement pulse.

effort, as compared to the frequency-domain measurement. It utilizes ultra-wideband (UWB) Gaussian monocycles having ps for the measurecycle widths of approximately ment. Thus, the method applies a frequency spectrum shown in Fig. 1. The spectrum contains components exceeding 3 GHz with a spectral power of 30 dB related to the maximum power located at approximately 1.2 GHz. The shape of the monocycle was chosen because of the spectrum at low frequencies. For example, in contrast to a pulse or step signal, the amplitude of the spectrum of a monocycle decreases as it kHz of the pulse tends to zero. The repetition rate signal is given by the internal trigger of the used sampling oscilloscope. A high spatial resolution of the measurement signal is necessary if the moisture determination of the material is to be independent of mass per area and the layer thickness, respectively. Broad-band antennas have to be used in order to cover the wide frequency range. The measurements are carried out using double-ridged horn antennas. The wide-frequency bandwidth also implies an influence of material dispersion on the measurement. To that respect, a direct analysis of the time-domain signal is presented for determining the moisture content without extracting any physical parameters or involving a physical model for the dielectric behavior. The system is trained to recognize the condition of the material under test by using the measurement data in a calibration procedure. In the first instance, the attenuation and propagation delay of the pulses are evaluated. Secondly, principal component analysis [6] is applied to the sampled data in a particular time window. In the last analysis, the data are used as input variables for an artificial neural network (ANN). A radial basis function (RBF)

0018-9480/$20.00 © 2005 IEEE

2108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 3.

Fig. 2. Measurement setup comprising the pulse transmitter, antennas, bulk material with moisture content , and layer thickness w , and the receiver.

9

neural network [7] is trained to produce a number predicting the moisture content of the bulk material. The viability of the new measurement approach is demonstrated by laboratory measurements using terra-cotta particles as the test material because of its good homogeneity and water-holding capacity. A dedicated time-domain instrument is introduced, which is derived from a time-domain reflectometry (TDR) prototype instrument used for the determination of food quality [8], [9]. II. EXPERIMENTAL SETUP The TDT measurement setup is shown in Fig. 2. The Tektronix sampling oscilloscope TDS-8000 is used in order to provide a step and acquire the measurement signal. The same oscilloscope with a bandwitdth of 20 GHz is used for the data acquisition. The equivalent timebase resolution of 0.5 ps and an averaging factor of ten are chosen. The signal is generated in the manner described below and feeds an UWB double-ridged horn antenna. The material under test having a moisture content and a is placed in a cylindrical container with a layer thickness diameter of approximately 60 cm and a height of 15 cm. The container consists of Rohacell, which is a foam material with a permittivity of . Thus, it is invisible for the penetrating electromagnetic field. The receiving antenna is mounted opposite the material under test and aligned to the direction of the transmitting antenna. The separation of the antennas is approximately 50 cm. In the experimental setup, the water content

Pulse-forming network.

Fig. 4. Measurement signal consisting of Gaussian monocycles.

is varied from 6.3% to 34.2% and the thickness of the material layer from 2 to 9 cm. The measurement signal is an approximation of a Gaussian monocycle. The generation of the monocycle, shown in Fig. 3, is based on a step signal with a 10%–90% rise time of 35 ps generated by the TDR plug-in. To increase the rise time to approximately 100 ps, an absorptive low-pass filter with a Bessel–Thomson filter characteristic is applied. Subsequently, the resulting step signal has to be converted into a pulse signal. This can be realized using a differentiator with the transform function , where is the derivative time coefficient. This pulse can be split with a resistive 6-dB power divider, thus, one pulse can be inverted and the other delayed. Using another power divider to combine the pulses leads to the quasi-Gaussian monocycle. The signal is fed into the double-ridged horn antenna via a broad-band amplifier followed by an attenuator in order to adjust the amplitude of the measurement signal and to reduce multiple reflections in the transmitting part of the system. The complete monocycle is shown in Fig. 4. The double-ridged horn antennas are operating in the frequency range from 1 to 18 GHz. Test measurements with Vivaldi aerials [12] made out of a Teflon substrate in etched technology have also been carried out [1], which is of great interest because of the low manufacturing costs. They are applicable in the frequency range from 0.5 to 20 GHz, although having lower gain and worse impedance matching compared to double-ridged horn antennas.

SCHIMMER et al.: NONCONTACTING DETERMINATION OF MOISTURE CONTENT IN BULK MATERIALS

2109

Fig. 6. S of a transmission system consisting of two aligned double-ridged horn antennas with a separation of 50 cm. Fig. 5. Received time-domain measurement signal.

III. DATA ANALYSIS AND MULTIVARIATE CALIBRATION The information about the moisture content of the material has to be extracted from the change of the reception signal due to the different propagation conditions under varying moisture content and layer thickness in comparison to free-space transmission. An example of the received time-domain signal is depicted in Fig. 5. It follows the shape of the first derivative of the original monocycle. This is due to the property of the transmitting antenna, which performs essentially another differentiation on the input waveform, whereas the receiving antenna delivers an output signal, which is proportional to the local field strength. As can be seen in Fig. 5 outside the observation window, the measurement signal contains additional echoes apart from the ideal transmitted signal. These errors occur due to the multiple reflections in the measurement system, mainly the mismatch of the antennas. Reflections at the brackets, the support, and other objects in the environment also worsen the signal. However, these echoes can be distinguished from the measurement signal due to their delay by observing only a suitable time window. Furthermore, the measurement setup does not comply with the far-field condition for all transmitted frequencies, which complicates a theoretical approach to the task. Using multivariate calibration techniques, only the variation of the measurement data are taken into account, not the absolute values. Although other elements besides the material under test influence the transfer function of the transmissometry system, they have only negligible influence on the measurement results. This includes the characteristic of the applied horn antennas. The transfer function of a transmission network consisting of two aligned double-ridged horn antennas is shown in Fig. 6. Although the optimum frequency range for these antennas is between 1–18 GHz, frequencies down to approximately 700 MHz are transmitted to a certain extent and, therefore, can also be exploited. A. Simple Multiple Linear Regression In the first approach, only the maximum value of the pulse amplitude and time delay in comparison to the empty container

are taken into account, as shown in Fig. 5. They are extracted from the voltage output of the sampling receiver. These two parameters are then correlated with the moisture content of the material under test in a multiple linear regression. Reference values of the moisture are determined using the oven-drying method. The precision of the system can be assessed by using internal cross validation [6], thus, for each particular measurement, the system is calibrated with all measurements, except the current one under objective. This implicates that the current observation is unknown to the calibration of the measurement system. Applying double-ridged horn antennas in the measurement setup results in a root mean square error (RMSE) of validaof 3.8% for the moisture values, as determined tion from the time-domain signal. The coefficient of determination amounts to 0.84. These are acceptable results for the applied simple multiple linear regression approach. B. Extended Model An improvement can be achieved by extending the regression model in order to include the inverse values of the extracted parameters delay and attenuation of the pulse. This extended data set then is capable of modeling nonlinear effects in the change of the propagation delay and the attenuation of the pulse amplitude, which are caused by the nonlinear complex permittivity of for the extended data set decreases to 3.3% water. The and the increases to 0.88. C. Principal Component Regression (PCR) A further improvement in measurement accuracy results from the more complex data analysis approach. Instead of evaluating only the attenuation of the amplitude and the propagation delay of the pulse, it utilizes the shape of the pulse for determining the moisture content. It is assumed that material dispersion is mainly caused by the water content. Thus, the variation of the received pulse contains further information, which may be advantageously taken into account for reducing the error of prediction of the moisture content of the material. Such a pulse-shape-dependent data evaluation is achieved through principal component analysis [6]. In order to predict the water

2110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

N

Fig. 7. Architecture of the RBF ANN with an -dimensional input space, RBF neurons in the input layer, and neurons in the linear output layer.

R

R

content, a stepwise PCR is applied to calibrate the system using a set of training data. For accomplishing that task, the acquired pulse has to be pre-processed in the following manner. From a quasi-continuous time-domain curve, shown in Fig. 5, a set of discrete sampled values within the observation window is chosen around the pulse maximum. The timebase resolution has to obey the Nyquist criterion. The time delay is included into the data set as a further input variable. After subtracting the mean values and normalizing the data set, a principal component analysis is carried out. It results in a new reduced and uncorrelated data set, composed of principal components, which reflect the dependencies and behavior of the variance of the original data to a high extent. Some of the principal components, i.e., those which describe most of the variance with respect to moisture content, are used for the prediction of that parameter. Carrying out a stepwise principal components regression using decreases to a value of nine principal components, the 2.5% of moisture content. This should be compared to 3.8%, the of the simple linear regression model. The coefficient of determination increases to . Again, the method is verified using internal cross-validation. To avoid the problem of is observed and overfitting, the RMSE of calibration . compared with the error of validation D. RBF Neural Network In the next step, nonlinear data processing is carried out using an ANN. An ANN with an RBF architecture [7] is chosen in this approach for two reasons. The first reason is the capability of providing continuous output values for an -dimensional input vector presented to the neural network. Secondly, the training of the network is deterministic due to the clearly defined initialization values of the weight factors and biases. Therefore, this kind of ANN gives comparable results with the other three methods. This is not the case for neural networks with randomly initialized weight factors, e.g., multilayer feed-forward networks [7]. An RBF ANN has an input and output layer with two different kinds of neurons. The input layer consists of RBF neurons, while the output layer has a linear structure. The architecture can be found in Fig. 7. An -dimensional vector, corresponding to one particular condition of the material under

Fig. 8. Prediction of water content independently from the mass per area in bulk material using an RBF ANN. TABLE I COMPARISON OF DIFFERENT RESULTS

Fig. 9.

Pulse generation circuit.

test, is presented to the input layer of the network. The distance with is computed in each from the weight vector neuron, which is then multiplied with the input bias vector . This number is the input for the RBF, which is given by

This means that values very close to the center of the RBF produce a number near one, whereas numbers very far away give values near zero. Thus, each neuron of the input layer produces an output according to how close the input vector is to the weight of the corresponding input vector. The output is further influenced by the spread factor, which defines the sensitivity of the neurons to the calculated distance. The output layer consists and the output biases . The sumof weight factors mation of these values are finally the input of the pure linear output neuron, which gives the actual estimated moisture value. The training of the RBF ANN is as follows.

SCHIMMER et al.: NONCONTACTING DETERMINATION OF MOISTURE CONTENT IN BULK MATERIALS

Fig. 10.

2111

Block diagram of dedicated prototype instrument.

1) To reduce the dimension of the input vector and to de-corprincipal relate the elements, the most representative components of the original time vector are chosen according to Section III-C. 2) The number of neurons in the input layer are iteratively increased in order to reduce the output error of the network. and according to Section III-C are 3) The calculated to validate the actual performance of the RBF ANN. continuously decreases, the 4) While the increases after running through a minimum due to an overfitted network. The increasing of the number of neurons . This procedure is stopped at the minimum of the of monitoring the ANN is called early stopping. 5) After finding an optimum number of input neurons, the spread factor of the underlying RBF is varied and the training is restarted. A further improvement concerning the estimation of the moisture content can be observed by applying the RBF ANN. decreases to a value of 2.1% of moisThe calculated ture content, a decrease of approximately 0.4% points. The . These are coefficient of determination increases to the results for eight neurons and a spread factor of approximately nine. The results are graphically shown in Fig. 8. A complete comparison of the results is shown in Table I. The resultant error can be reduced using more complex mathematical techniques. However, if one considers that terra-cotta particles are a scattering medium and that the measurement extends to a range of over 30% moisture content, such a measurement accuracy is quite satisfying.

IV. PROPOSAL FOR A DEDICATED TDT SYSTEM A TDR-prototype instrument has been developed [8], [9] in a project under the European Communities’ Fifth Framework Programme to measure the quality of seafood products. Based on this instrument, a new hardware setup is proposed in order to obtain a measurement system complying with industrial requirements concerning robustness, size, and cost-performance ratio. Due to novel developments in the area of clock distribution in computer architectures and especially in optical transmission systems with rapidly increasing clock-rates, a variety of timing and high-speed logic integrated circuits are now available on market. The instrument mainly can be set up in (positive) emitter-coupled-logic ((P)ECL) and current-mode logic (CML) family. Both technologies provide rise times of the gates in the picosecond range and provide complementary input and output signals. To achieve a sampling rate beyond 10 GHz, the sequential sampling technique [10] can be applied in order to fulfill the Nyquist criterion. This is possible as long as the measurement signal can be considered to be periodic and nearly time invariant during one complete cycle of data acquisition. A. Generation of the Measurement Signal The instrument has to provide two different types of pulses. As discussed in Section I, the measurement pulse is an approximation of a Gaussian monocycle. Initially, a pulse is generated, using a noninverted and a delayed inverted square-wave signal as input for an AND gate. The outputs are complementary pulses with a full-width at half-maximum (FWHM) of approximately

2112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

, as shown in Fig. 9. Combining the positive and delayed negative pulse in a 6-dB power combiner yields a monocycle according to Fig. 4. Alternatively, a multistage Wilkinson power divider can be used in order to maintain more of the pulse amplitudes. Admittedly, a broad-band Wilkinson divider requires much more space on the circuit board. The dc blocks are inserted to obtain the correct bias level. The amplitudes optionally can be adjusted with an UWB amplifier. Finally, the measurement signal is radiated and received with Vivaldi antennas, which were already mentioned in Section II. The block diagram of the proposed setup can be found in Fig. 10. is essential in a sequential sampling The delay element system in order to adjust the time delay between the strobe signal and measurement signal path, thus defining the points in time for sampling. B. Generation of the Strobe Pulses The strobe pulses are required to switch the diodes of the sampling bridge. They are generated analogously to the step-topulse transformation in the generator of the measurement signal. Again, a noninverted and a delayed inverted square wave are used as input of an AND gate, which necessarily has to possess rise and fall times smaller than the slopes of the measurement signal. The FWHM of the pulses are defined by the delay element . Finally, the amplitudes are adjusted with the strobe pulse driver, which, for example, can be a driver for electrooptical modulators. C. Sampling Bridge The sampling bridge basically can be set up with a four-diode bridge [10], preferably in beam-lead technology. Although the mounting of the device is more sophisticated compared to surface mounted device (SMD) diodes, the effort is worthwhile concerning frequency response and the rejection of blow-by effects. The output of the diode bridge is analog–digital converted after smoothing and pre-amplifying the instantaneous voltages. D. Antenna for Pulse Radiation and Reception The discussed measurement results have been obtained using double-ridged horn antennas. A promising comparison has been discussed [1] between the horn antenna and Vivaldi aerials. The latter represent an inexpensive and easy to manufacture alternative to horn antennas. Other possibilities would be shielded loop [11] or bow-tie [13] antennas. V. CONCLUSION A novel noncontacting method of moisture-content determination for bulk materials has been presented. UWB time-domain pulses in the sub-nanosecond range have been used in a TDT measurement system. The viability of the method was demonstrated with laboratory measurements, utilizing a sampling oscilloscope, commercial broad-band test equipment, and double-ridged horn antennas. The measurements are independent of the mass per area of the moist material and do not

suffer from the measurement ambiguities, which have to be tediously removed when using frequency-domain approaches. The performance of different methods of data processing are compared. Multivariate calibration techniques and ANNs are applied, which circumvent the necessity of creating physical models for the moist material. Principal component analysis together with RBF neural networks yield the estimations with the lowest error of prediction. A proposal for a commercial hardware setup has been made in order to provide an inexpensive and versatile applicable instrument using modern high-speed logic integrated circuits available on the market. Although the method in this case has been utilized for the determination of moisture content, it is possible to apply it to the determination of other properties. Examples are material composition [14], even if it consists of more then two composites, the identification of foreign bodies in the material layer, or even changes in the material due to its history. The only requirement is that the shape or the position of the pulse changes. That can be caused by the dielectric properties of a material including its frequency dependence or by geometrical changes.

REFERENCES [1] O. Schimmer, A. Gülck, F. Daschner, J. Piotrowski, and R. Knöchel, “Non-contacting determination of moisture content in bulk materials using sub-nanosecond UWB-pulses,” presented at the Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004. [2] W. Meyer and W. Schilz, “Feasibility study of density-independent moisture measurement with microwaves,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 7, pp. 732–739, Jul. 1981. [3] H. Baltes, W. Göpel, and J. Hesse, Sensors Update, 1st ed. Weinheim, Germany: Wiley–VCH, 2000. [4] R. Nozaki and T. K. Bose, “Broadband complex permittivity measurements by time-domain spectroscopy,” IEEE Trans. Instrum. Meas., vol. 39, no. 6, pp. 945–951, Dec. 1990. [5] S. Osaki, “Density dependence of complex dielectric constant of paper sheet at microwave frequencies,” J. Soc. Fiber Sci. Technol. Jpn., vol. 46, no. 1, pp. 64–68, 1990. [6] H. Martens and T. Naes, Multivariate Calibration, 1st ed. Chichester, U.K.: Wiley, 1989, ch. 3–4. [7] S. V. Kartalopoulos, Understanding Neural Networks and Fuzzy Logic, 1st ed. Piscataway, NJ: IEEE Press, 1996. [8] O. Schimmer, F. Daschner, M. Kent, and R. Knöchel, “Determination of quality and storage-time of mixed dielectrics using time domain reflectometry,” in Kleinheubacher Berichte 2003—Advances in Radio Science, Germany, May 2004, pp. 1–5. [9] M. Kent and R. Knöchel, “SEQUID,” Christian-Albrechts Univ., Kiel, Germany, Final Rep., 2004. [10] E. A. Bailey, Microwave Measurements, 2nd ed. London, U.K.: Peregrinus, 1989. [11] H. F. Harmuth, “Frequency independent shielded loop antenna,” U.S. Patent 4.506.267, Mar. 19, 1985. [12] J. D. S. Langley, P. S. Hall, and P. Newham, “Novel ultrawide bandwidth Vivaldi antennas with low crosspolarization,” Electron. Lett., vol. 29, no. 23, pp. 2004–2005, Nov. 1993. [13] K. L. Shlager, G. S. Smith, and J. G. Maloney, “Optimization of bow-tie antennas for pulse radiation,” IEEE Trans. Antennas Propag., vol. 42, no. 7, pp. 975–982, Jul. 1994. [14] F. Daschner, M. Kent, R. Knöchel, and U.-K. Berger, “Optimization of the microwave determination of water in foods using principal component analysis,” in Instrumentation and Measurement Conf., vol. 1, Baltimore, MD, May 2000, pp. 12–16.

SCHIMMER et al.: NONCONTACTING DETERMINATION OF MOISTURE CONTENT IN BULK MATERIALS

Ove Schimmer was born in Schleswig, Germany, 1971. He received the Dipl.-Ing. degree in electrical engineering from the Christian-Albrechts-Universität, Kiel, Germany, in 2000, and is currently working toward the Dr.-Ing. degree at the Christian-Albrechts-Universität. Since 2000, he has been a Research Assistant with the Chair of Microwave Engineering, Christian-Albrechts-Universität. His current areas of research are the development of measurement systems in the field of monitoring material parameters. The main subjects of which are the direct processing of time-domain data to determine the quality of foodstuffs and the moisture of materials involving multivariate statistics, ANNs, and digital signal processing.

Alexander Gülck received the Dipl.-Ing. degree in electrical engineering from the Christian-AlbrechtsUniversität, Kiel, Germany, in 2002, and is currently working toward the Dr.-Ing. degree at the ChristianAlbrechts-Universität. Since 2002, he has been a Research Assistant with the Chair of Microwave Engineering, Christian-Albrechts-Universität. His current areas of research are process tomography to improve food quality and foreign-body detection in various application areas.

Frank Daschner was born in Neumünster, Germany, in 1968. He received the Dipl.-Ing. and Dr.-Ing. degrees from the Christian-Albrechts-Universität, Kiel, Germany, in 1998 and 2002, respectively. Since 1998, he has been a Scientific Assistant with the Chair of Microwave Engineering, Christian-Albrechts-Universität. His current research interests are broad-band dielectric spectroscopy of biological materials, microwave moisture measurement, and electromagnetic-field distributions of photonic crystals in the millimeter-wave region.

2113

Jerzy K. Piotrowski was born in Tomaszów Mazowiecki, Poland, in 1952. He received the M.Sc. and Ph.D. degrees in electronics engineering from the Warsaw University of Technology, Warsaw, Poland, in 1975 and 1988, respectively. Since 1975, he has been with the Institute of Microelectronics and Optoelectronics, Warsaw University of Technology. From 1982 to 1984, he spent 20 months with the Technische Universität, Braunschweig, Germany, where he was engaged in the study of finline technique. From 2002 to 2004, he was a Visiting Professor with the Technische Universität Hamburg–Harburg, Hamburg, Germany. His research interests include computer-aided design (CAD) of microwave and lightwave circuits, microwave sensors, industrial microwave heating, and engineering education.

Reinhard H. Knöchel received the Dipl.-Ing. degree in electrical engineering and Dr.-Ing. degree from the Technische Universität Braunschweig, Braunschweig, Germany, in 1975 and 1980, respectively. From 1975 to 1980, he was a Research Assistant with the Technische Universität Braunschweig, Braunschweig, Germany. From 1980 to 1986, he was a Scientist with the Philips Research Laboratory Hamburg, Hamburg, Germany. In 1986, he joined the Technische Universität Hamburg–Harburg, where, until November 1993, he was a Professor in microwave electronics. Since December 1993, he holds the Chair in Microwave Engineering with the Technische Fakultät, Christian-Albrechts-Universität, Kiel, Germany. His research interests include active and passive microwave components, UWB technology, microwave measurement techniques, industrial microwave sensors, and radar. He is on the Editorial Board of Frequenz. Dr. Knöchel is a member of URSI Commission A, the German Institute of Electrical Engineers Verein Deutscher Elektrotechniker (VDE)–Informationstechnische Gesellschaft (ITG), a member of the Microwave Committee of VDE–ITG (Fachausschuss 7.3) and an associated member of the European Microwave Association (EuMA). He serves on the Technical Program Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a member of the IEEE MTT-S Technical Committee on Broad-Band Microwave Communication Systems and Radar (Subcommittee 29). He is also a member of the Technical Committee MTT-16 on Microwave Systems, the chair of the Subcommittee on UWB systems and a member of the Technical Coordinating Committee (TCC) responsible for European Liaison. He is a reviewer for the European Microwave Conference and several journals including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVES AND WIRELESS COMPONENTS LETTERS. He was corecipient of the 1978 VDE Best Paper Award, the 1980 European Microwave Prize, and the 2003 Technologiestiftung Schlewig-Holstein (TSH) Technology Transfer Award.

2114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Multimode Cavity Efficiency Optimization by Optimum Load Location—Experimental Approach María E. Requena-Pérez, Juan L. Pedreño-Molina, Juan Monzó-Cabrera, and Alejandro Díaz-Morcillo, Member, IEEE

Abstract—In this paper, a novel load-matching procedure for microwave-heating applicators is presented and tested. In order to accomplish the optimization procedure, an optimization method based on the use of the Lebenverg–Marquardt technique has been specifically developed and tested on two different microwave ovens. The proposed procedure allows an efficient optimization of three-dimensional microwave applicators by means of the dielectric sample relocation as a function of its complex permittivity, size, and operating frequency. Experimental measurements of the reflection coefficient are presented and analyzed over several samples and multimode cavities. Results indicate that high power efficiencies can be obtained provided that operating frequency is not just below TM-mode cutoff frequencies for the transverse dimensions of the oven. Index Terms—Electromagnetic heating, load matching, multimode applicator, power efficiency.

I. INTRODUCTION

I

NDUSTRIAL microwave-heating applications have reached maturity in several areas such as textile, paper, composite, rubber, and food industries. In these cases, the microwave applicators are very often based on multimode cavities, mainly when the materials to be processed are big when compared to the used wavelength [1]–[4]. Although the benefits for such industrial processes are enormous when applying microwaves, either solely or in combination with conventional energy sources, the design of these electromagnetic structures are still based on trial-and-error and personnel experience. However, the decrease in the costs of software and hardware during the past years have multiplied the use of numerical methods to solve electromagnetic situations related to microwave heating technology and multimode applicators [5]. Despite the application of commercial electromagnetic software to the microwave-heating devices design [6], very few studies have tried to optimize the efficiency of microwave heating devices from an electromagnetic point-of-view [7]–[9] mainly due to the high computational cost of each iteration during the optimization loop. Multimode microwave-heating cavities that contain high-permittivity dielectrics have usually been adapted by several means. Conventional ones require the use of irises and waveguide tuning screws acting as triple or quadruple stubs [3], [4].

Manuscript received October 1, 2004; revised December 16, 2004. This work was supported in part by the Spanish Science and Technology Ministry under Project TIC2001-2778-CO2-02. The authors are with the Departmento de Tecnologías de la Información y las Comunicaciones, Universidad Politécnica de Cartagena, 30202 Cartagena, Murcia, Spain (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848767

These elements, however, need to be finely tuned to provide an adequate match to load and frequency conditions. In a previous study [10], a novel optimization approach was carried out through simulations within a two-dimensional microwave oven to achieve good efficiency ratios for a wide variety of loads. Three variables were considered in that study, i.e., the location, dielectric constant, and loss factor of the load. An iterative procedure was employed to find the simulated optimum location wherein the load should be placed to provide a minimum reflection coefficient. In this paper, the previous load-matching technique has been experimentally tested for different types of loads and threedimensional microwave multimode cavities. Five variables are considered in our study, which are: 1) location; 2) permittivity; 3) shape of the load; 4) size of the load; and 5) operating frequency of the microwave source. An iterative procedure based on the Lebenverg–Marquardt (LM) method is employed to find the optimum location wherein the load must be placed to provide a minimum reflection coefficient.

II. EXPERIMENTAL SETUP A. Measurement Equipment Two different multimode ovens have been used for efficiency measurements, i.e., cavity I (30 30 30 cm ) and cavity II (60 60 60 cm ). Fig. 1 shows the diagram for cavity I. This multimode oven consists of a 30 30 30 cm aluminum cubic cavity. The sidewall of the cavity contains a centered WR-340 waveguide (8.6 4.3 12 cm ) that acts as the feeding port. In order to support and move the sample, several styrofoam supporting structures have been included. Styrofoam has been chosen for this purpose due to its low permittivity and negligible . Cavity II was constructed with the dielectric losses same materials and the same structure as cavity I, although its volume was higher. For the sake of conciseness and due to the similitude of both cavities, no diagram is included for cavity II. Four samples have been considered in the measurements, two of them were made of EPOMAR 2003-A epoxy resin and the other two samples were made of CC . Two shapes were considered for polyester both materials, i.e., parallelepiped and cylindrical. In the case of parallelepiped samples, their longest sizes were always placed parallel to the -axis. For a complete description of the samples’ geometry, see Table I. In order to measure the efficiency of the cavity, the complex scattering parameter was acquired at evenly spaced load

0018-9480/$20.00 © 2005 IEEE

REQUENA-PÉREZ et al.: MULTIMODE CAVITY EFFICIENCY OPTIMIZATION BY OPTIMUM LOAD LOCATION

2115

B. LM Optimization Method In this study, the LM method [11] has been employed to find the optimum position for the dielectric load that ensures a minimum energy reflection in the waveguide feeding. In order to initial values taken carry out the optimization process, from the response provided by the VNA have been obtained by locating the sample in equidistant points along the -axis. It must be remarked that, although the LM algorithm ensures an optimal solution for the model, it could possibly not be the best solution, but a local minimum. Thus, one of the critical aspects of this algorithm is the initial point where to begin the iterative optimization process. In this study, the location of the measured samples, which has the minimal value for , has been chosen as the initial coordinate for the LM algorithm. The LM algorithm is designed for the minimization of the error vector in (2) by iteratively updating the weights of a funcand tion that approximates the considered process. Thus, if represent the current and final values of the weights vector in each iteration, the error vector for all the samples can be expanded in a Taylor series in the following way: (2) where

is a matrix with elements (3)

is the th weight vector component. and The expression used to update the weights of the considered function can be obtained by the minimization of the error vector with respect to the weights (4)

Fig. 1. Scheme of the multimode cavity and Styrofoam supporting. Dimensions in centimeters.

TABLE I SAMPLE FEATURES

where the term can be considered as an approach to the Hessian matrix . In order to control the convergence step of the LM algorithm, the so-called parameter is included in (4), resulting in the general expression (5) By applying the LM algorithm to the proposed microwave applicators, the error function at the th iteration that has to be minimized can be expressed as a one-dimensional function given by (6)

locations along the -axis. Power efficiency can be readily in, as described in [10] ferred from (1) An RS-Amidata V-8756 reversible steeper motor of 2 W power consumption with 48 steps per revolution was controlled with a personal computer (PC) to exactly locate the sample along the -axis. A ZVM Rohde&Swarz vector network anaat each sample location lyzer (VNA) was used to measure for the frequency range (2.4 and 2.6 GHz).

. where the zero value is the desired optimal value for In this case, the and matrix only contain one component with the gradient and Hessian values, respectively, which results in (7)–(10) (7) (8) (9) (10)

2116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Evolution of frequencies.

j

S

j

for the polyester cylinder (sample

A) at different

where represents the iteration number, is the Hessian component, is the gradient vector along the -direction, is a convergence parameter, which is updated at every iteration, is the error function calculated in the th iteration. and This error is compared with the desired solution (in this case, zero). Each iteration of the LM algorithm reduces the error until the predetermined error level is reached or a local minimum is found. The LM optimization algorithm described above has been programmed and implemented in a PC, which stores the value for each sample position acquired from the VNA. This program calculates the next position of the sample that minimizes (10) and, finally, interacts through serial connection with the motor controller in order to place the sample in the position estimated by the LM algorithm. Therefore, the optimization algorithm is computed in the PC, but the rest of the measurements are experimentally obtained through the network analyzer. optimum position was calculated through Once the (7)–(10), the V-8756 motor was controlled in order to exactly position the sample at that location. The VNA then updated at that position and (7)–(10) were iteratively the measured . computed to find the new optimum position III. EXPERIMENTAL RESULTS A.

Measurements With Cavity I

Four different samples ( – ) have been introduced in cavity I in order to find their optimal position in terms of power efficiency. The influence of the operating frequency, the dimensions, and type of material have been analyzed for this oven. values measured for different Figs. 2 and 3 illustrate the frequencies within a 10-MHz bandwidth at discrete load locations with 4-mm intervals along the -axis for samples and , respectively. From Fig. 2, one can observe the existence of an optimal sample around mm. It can be position for the appreciated that this optimum position is very dependant on the operating frequency. On the other hand, Fig. 2 shows

S

Fig. 3. Evolution of frequencies.

j

Fig. 4. Evolution of different frequencies.

j

S

B ) at different

j

for the epoxy cylinder (sample

j

for the polyester parallelepiped (sample

C ) at

that important matching values can be achieved provided that the sample is properly placed inside the cavity. In fact, the inappropriate positioning of the sample may lead to higher than 0.9. Similar results can be observed values of sample from Fig. 3. for the The same type of measurements have been carried out for evolution for samples and . Figs. 4 and 5 show the several locations at the -axis and several frequencies. In this case, both sample and show optimum positions around mm and mm. reaches values around 0.2 for these sample locations, therefore increasing the power efficiency. Again, this optimum value shows a great dependence on the analyzed frequency. The comparison of Figs. 2–5 shows that the behavior of is very similar for samples – despite their different sizes, and confirms that the shape of the sample is of utmost importance to find the most efficient position within the multimode cavity. In fact, from these figures, one can conclude that samples and show a minimum value for around 120 mm at the -axis, while the and samples show two areas in which minimum

REQUENA-PÉREZ et al.: MULTIMODE CAVITY EFFICIENCY OPTIMIZATION BY OPTIMUM LOAD LOCATION

Fig. 5. Evolution of jS frequencies.

j

2117

for the epoxy parallelepiped (sample D ) at different

Fig. 7. sample

Fig. 6. Evolution of the optimal position (y -axis) versus frequency for samples A –D .

values are localized (around 60 and 150 mm at the -axis). Additionally, those optimum locations seem to vary linearly with frequency. Due to the similar behavior found in the evolution of the optimum location for both the cylindrical and paraldielectric samples, the optimum location has lelepiped been analyzed as a function of the frequency for all the considered samples. Fig. 6 shows the optimum location of each of the samples versus the analyzed frequency in the range (2.538 and 2.547 GHz). It can be observed that, for certain frequency ranges, the optimum sample location agrees for both cylindrical and parallelepiped samples, which is more evident for parallelepiped ones. Additionally, a lineal displacement can be found for the optimal sample position as a function of frequency. However, these lineal displacements are interrupted with abrupt changes in the optimal position, mainly for samples C and D. Although not completely, these results are in concordance with the conclusions reported in [10].

S A. j

j

versus sample position measured for a 200-MHz bandwidth and

In order to broaden the study of this optimization procedure to has been meaa wider bandwidth, the reflection parameter sured between 2.4–2.6 GHz. Fig. 7 shows a two-dimensional for sample versus the sample location at the plot of -axis and frequency. From this figure, one can conclude that oscillates more at a higher frequency and that no optimum position can be found below 2.50 GHz. The explanation for this behavior can be found in [12]. From this study, one can conclude that if we consider the 30 30 30 cm cavity as a short-circuited waveguide (with a 30 30 cm section), 2.50 GHz is the degenerate cutoff frequency for the four TE and TM modes with indices 3 and 4. In this study, it is also concluded that match at or near TM mode cutoff frequencies cannot be achieved with ordinary tuning elements. Since load matching performance is good just above the TM cutoff frequency for indices 3 and 4, the simplest solution for achieving good efficiency values within the industrial–scientific-medical (ISM) band would be to change cavity I dimensions to force these TM cutoff frequencies to be just below 2.4 GHz. In this case, increasing dimensions approximately by the factor of 2.55/2.45 would provide a cubical cavity of 31.22 31.22 31.22 cm with TM cutoff frequencies around 2.4 GHz for indices 3 and 4. This cavity scaling would be enough to extrapolate the obtained matching results in the 2.5–2.6-GHz band to the ISM band. B.

Measurements With Cavity II

In order to demonstrate that the proposed load matching method can be applied for the ISM band placed at 2.4–2.5 GHz, different reflection measurements have been carried out on a 60 60 60 cm multimode oven (cavity II). Fig. 8 illustrates measured for different frequencies within a 100-MHz bandwidth around the microwave heating central frequency (2.45 GHz). Sample was placed at discrete load locations with 8-mm intervals along the -axis of cavity II.

2118

Fig. 8. Evolution of locations.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

j

S

j

for sample

B at different frequencies and sample

Fig. 9. Optimization procedure for sample within cavity I.

A at the frequency of 2.53 GHz

In Fig. 8, one can observe the existence of several optimal positions for the sample, being that these locations are very dependant on the operating frequency. Again, good matching provided that the sample values can be achieved is properly placed inside the cavity. Several conclusions can be obtained by comparing the beboth in cavity I and cavity II. In fact, cavity havior of II shows more oscillations for this magnitude as a function of the sample location than cavity I. This may be explained by the higher number of modes present in cavity II versus the ones that resonate in cavity I. Additionally, a clear relationship between optimum location displacement and the operating frequency has not been found for measurements in cavity II. C. LM Optimization Results The described optimization algorithm has been implemented in the experimental platform consisting of the VNA, a PC, and the V-8756 motor. The aim of this study was to minimize the initial number of positions to be measured. initial samFrom previous trials, it was shown that ples were enough to obtain good optimization results. The initial value for in (7) was set to 0.1, while the factor for online modifying this parameters was 10, as described in [11]. The first iteration of the proposed algorithm always started measured value was lowest. at the sample location whose From this initial value, (7)–(10) were applied to update the sample location and to control the V-8756 motor. The results obtained for sample at 2.53 GHz are shown in Fig. 9. There, the black dots represent the ten initially measured data. Grey dots show the evolution of the sample location during the optimization loop and the dotted line is a conventional radial behavior basis function (RBF) interpolation [13] of the versus the location. This interpolation function is only used for helping the graphical interpretation of the LM algorithm behavior. The nonlinear RBFs are based on the generation of signals by using Gaussian functions whose superposition allows the interpolation of points of a real curve from a few

Fig. 10. Optimization procedure for sample B at the frequency of 2.4415 GHz within cavity II.

initial measured samples, obtaining excellent approximations of the real process. From Fig. 9, one can conclude that four iterations are enough to find the best solution in terms of efficiency. In this case, reached an optimum value of 0.1024, achieving a power effimm. ciency around 99% at In the same way, this procedure has also been applied to for an operating frequency equal to cavity II and sample 2.4415 GHz. Fig. 10 shows the evolution of the sample location during the optimization loop. In this case, eight iterations were necessary for the LM algorithm to reach the optimum mm, yielding an efsample location within cavity II, . ficiency value of 96.05% The convergence of the optimization procedure for both cavity I and II is more clearly appreciated in Fig. 11. It can be deduced from these data that the convergence is achieved in four iterations for cavity I, while it takes eight iterations for cavity II. If we add these values to the ten initial measurements, one can conclude that only 14 and 18 measurements are required to achieve a power efficiency of 99 and 96% for cavities I and II, respectively.

REQUENA-PÉREZ et al.: MULTIMODE CAVITY EFFICIENCY OPTIMIZATION BY OPTIMUM LOAD LOCATION

2119

power efficiency would be necessary to adaptively compute the optimum sample position and to relocate the sample. REFERENCES

Fig. 11.

Convergence of the optimization procedure for sample A at 2.53 GHz.

Therefore, it can be concluded from these data that the time needed to carry out the optimization process is very dependant on the operating conditions: 1) cavity and sample dimensions; 2) sample dielectric properties; and 3) operating frequency versus since these parameters influence the behavior of the sample location. IV. CONCLUSION A novel multimode cavity load-matching method has been experimentally validated for several samples with different permittivities and shapes and two different multimode cavities. The results show that the relationship between the operating frequency and cavity dimensions is critical. In fact, measurements demonstrate that high power efficiencies can be obtained provided that operating frequency is not just below TM mode cutoff frequencies for the transverse dimensions of the oven. Additionally, the load-matching method has shown a great sensitivity to the used frequency, which demands an accurate knowledge of the operating frequency for the microwave sources. In fact, a quasi-linear relationship for the optimum location displacement and the operating frequency has been found for cavity I, while this has not been possible for cavity II. The LM method has been successfully employed to locate a dielectric sample within the multimode cavity achieving a power efficiency around 99%. It must be remarked that this novel load matching method does not take into account the electric-field patterns that would appear within the dielectric samples and, consequently, their temperature uniformity, which are important magnitudes that influence microwave heating quality. In fact, such a study was out of the scope of this paper, although further research is envisaged in this direction. Finally, one can easily conclude from measured data that dielectric changes within the samples would lead to optimum position changes. As a result, in industrial microwave heating systems, in which high temperature variations are expected within the dielectric materials, both the samples’ permittivity and their optimum position would change during the process. In this case, a continuous real-time monitoring process for

[1] J. M. Osepchuk, “Microwave power applications,” IEEE Trans. Microw. Theory Tech., vol. 50, pp. 975–985, Mar. 2002. [2] A. C. Metaxas, “Radiofrequency and microwave heating: A perspective for the millennium,” Power Eng. J., vol. 14, pp. 51–60, 2000. [3] A. C. Metaxas and R. J. Meredith, Industrial Microwave Heating. London, U.K.: Peregrinus, 1983. [4] R. G. Meredith, Engineers’ Handbook of Industrial Microwave Heating. London, U.K.: IEE, 1998. [5] T. V. Chow-Ting-Chan and H. C. Reader, Understanding Microwave Heating Cavities. London, U.K.: Artech House, 2000, pp. 126–163. [6] V. V. Yakovlev, “Comparative analysis of contemporary EM software for microwave power industry,” Microwaves: Theory and Applications in Material Processing V. Ceramic Transactions, vol. 111, pp. 551–558, 2000. [7] E. Murphy and V. V. Yakovlev, “FDTD-backed RBF network technique for efficiency optimization of microwave structures,” in Proc. 9th AMPERE Microwave and High Frequency Heating Conf., Loughborough, U.K., Sep. 2003, pp. 197–200. [8] J. Monzó-Cabrera, A. Díaz-Morcillo, J. L. Pedreño-Molina, and D. Sánchez-Hernández, “A new method for load matching in multimode-microwave heating applicators based on the use of dielectric-layer superposition,” Microw. Opt. Technol. Lett., vol. 40, no. 4, pp. 318–322, 2004. [9] J. Monzó-Cabrera, J. Escalante, A. Díaz-Morcillo, A. MartínezGonzález, and D. Sánchez-Hernández, “Load matching in multimode microwave-heating applicators based on the use of dielectric-layer with commercial materials,” Microw. Opt. Technol. Lett., vol. 41, no. 5, pp. 414–417, 2004. [10] M. E. Requena-Pérez, J. L. Pedreño-Molina, M. Pinzolas-Prado, J. Monzó-Cabrera, A. Díaz-Morcillo, and D. Sánchez-Hernández, “Load matching in multimode microwave-heating applicators by load location optimization,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, 2004, pp. 1549–1552. [11] C. M. Bishop, Neural Network for Pattern Recognition. Oxford, U.K.: Oxford Univ. Press, 2004. [12] J. M. Osepchuk, “Microwave heating,” Wiley Encyclopedia of Electrical and Electronics Engineering, vol. 13, pp. 118–127, 1999. [13] D. Broomhead and D. Lowe, “Multivariable functional interpolation and adaptive networks,” Complex Syst., vol. 2, pp. 322–355, 1988.

María E. Requena-Pérez was born in Alicante, Spain. She received the Dipl. Ing. degree in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 2001, and is currently working toward the Ph.D. degree at the Universidad Politécnica de Cartagena, Cartagena, Spain. In 2003, she joined the Departmento de Tecnologías de la Información y las Comunicaciones, Universidad Politécnica de Cartagena. Her current research areas cover microwave-assisted heating and drying processes, microwave applicator design, permittivity measurement and optimization and numerical techniques in electromagnetics.

Juan L. Pedreño-Molina was born in Cartagena (Murcia), Spain, in 1969. He received the B.A.Sc. degree and Ph.D. degree in neurotechnology, control, and robotics from the Technical University of Madrid (UPM), Madrid, Spain, in 1984 and 2000, respectively. He is currently an Assistant Professor of telecommunication engineering with the Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain. Since 1999, he has been with the Departmento de Tecnologías de la Información y las Comunicaciones, UPCT. His research interests are in signal processing applied to optimization and control of nonlinear systems and modeling based on neural networks with applications to robotics and drying processes.

2120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Juan Monzó-Cabrera was born in Elda (Alicante), Spain, on January 1973. He received the Dipl. Ing. and Ph.D. degrees in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 1998 and 2002, respectively. From 1999 to 2000, he was a Research Assistant with the Microwave Heating Group (GCM). In 2000, he joined the Departamento de Teoría de la Señal y Radiocomunicaciones, Universidad Politécnica de Cartagena, Cartagena, Spain, as an Associate Lecturer. He is currently an Associate Lecturer with the Departamento de Tecnologías de la Información y Comunicaciones, Universidad Politécnica de Cartagena. He has coauthored over 30 papers in refereed journals and conference proceedings. He holds one patent regarding microwave heating industrial processes. His current research areas cover microwave-assisted heating and drying processes, microwave applicator design and optimization, and numerical techniques in electromagnetics. He is a reviewer of several international journals. Dr. Monzó-Cabrera is a member of the Association of Microwave Power in Europe for Research and Education (AMPERE), a European-based organization devoted to the promotion of RF and microwave energy.

Alejandro Díaz-Morcillo (S’95–M’02) was born in Albacete, Spain, in 1971. He received the Ingeniero (M.S.Eng.) and Doctor Ingeniero (Ph.D.) degrees in telecommunication engineering from the Universidad Politécnica de Valencia (UPV), Valencia Spain, in 1995 and 2000, respectively. From 1996 to 1999, he was a Research Assistant with the Departmento de Comunicaciones, UPV. In 1999, he joined the Departmento de Tecnologías de la Información y las Comunicaciones, Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain, as a Teaching Assistant. In 2001, he became an Associate Professor with UPCT. He leads the Electromagnetics and Matter Research Group, UPCT. His main research interest are numerical methods in electromagnetics and industrial microwave heating systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2121

Intermodulation Distortion Analysis of Class-F and Inverse Class-F HBT Amplifiers Akira Ohta, Member, IEEE, Akira Inoue, Member, IEEE, Seiki Goto, Member, IEEE, Kazuhiro Ueda, Takahide Ishikawa, and Yoshio Matsuda

Abstract—The third-order intermodulation distortions (IM3s) of class-F and inverse class-F heterojunction bipolar transistor amplifiers were compared experimentally. It was revealed that the IM3 of inverse class F is lower than that of class F at high input power ( in ), although the better class for IM3 at low in changes from class F to inverse class F according to the increase of the quiescent current ( ). The different IM3 behaviors are mainly caused by the different gain variations in both amplifiers. At a low in , the gain of class F is larger than that of inverse class F. The larger gain in class F causes a gradual gain decrease and a steep gain rise at high and low , respectively. On the other hand, the gain of inverse class F is larger than that of class F at a high in . The larger gain in inverse class F causes a gradual gain decrease at all values. These gradual gain decreases are one of main causes of a lower IM3. These phenomena can be explained by the output current ( out ) and voltage ( out ) waveforms, which differ according to the harmonic loads. The out and out positive half-sinusoidal waveforms, which consist of in-phase second harmonics, are effective for large-gain operations. The positive half-sinusoidal out waveform of the class-F amplifier prevents a gain decrease at a low , and the similar out waveform in inverse class F in and high prevents a gain decrease at a high in . Index Terms—Code division multiple access (CDMA), heterojunction bipolar transistor (HBT), intermodulation distortion, power amplifiers.

I. INTRODUCTION

which voltage and current are positive simultaneously [4], [5], [8]. On the other hand, different amplifiers require a different , e.g., amplifiers with low operation are required for code division multiple access (CDMA) handsets to realize long battery life. In addition, lower distortion is also required to reduce spectral regrowth and many techniques have been reported such as pre-distortion [16]. There are reports that minimizing the gain variation is effective in reducing third-order intermodulation distortion (IM3). In [17], the IM3 of inverse class F is lower than that of class F at low . However, there have been few reports on the effects of harmonic tuning techniques for low IM3 at various values. In this paper, we investigate the IM3, gain, and power-added efficiency (PAE) of class-F and inverse class-F heterojunction bipolar transistor (HBT) amplifiers at high , which is similar to the biasing condition of class AB. The gain variation, which is one of major causes of the IM3 difference, is discussed by and waveforms in class-F and a comparison of the inverse class-F amplifiers. The maximum gain was discussed in some papers [1], [4], [9], however, there are a few reports described about the gain variation at various values. We will also discuss the gain variations.

H

IGH efficiency is required in power amplifiers for mobile communication handsets to make them smaller and lighter. Various approaches have been proposed to meet this requirement. Harmonic tuning techniques such as class F, which has short second and open third harmonic load impedances, and inverse class F, which has open second and short third impedances, are effective in enhancing efficiency because the and are controlled to reduce the power waveforms of consumption of power amplifiers by optimizing the harmonic load impedance [1]–[10]. Input harmonic termination techniques are also effective for high-efficiency operations using optimized input current and voltage waveforms [11]–[15]. In class-F and inverse class-F amplifiers, the second and third harmonics generated by various nonlinearity effects shape the half-sinusoidal and rectangular waveforms in the voltage and current. These waveforms achieve high efficiency because the waveforms reduce the period in

Manuscript received October 1, 2004; revised December 7, 2004. A. Ohta, A. Inoue, S. Goto, T. Ishikawa, and Y. Matsuda are with the High Frequency and Optical Devise Works, Mitsubishi Electric Corporation, Itami, Hyogo 664-8641, Japan (e-mail: [email protected]). K. Ueda is with the Sun-A Corporation, Itami, Hyogo 664-8641, Japan. Digital Object Identifier 10.1109/TMTT.2005.848769

II. EXPERIMENTAL RESULTS In [17], the phases of the second and third load reflect coefdependence of IM3, gain, and PAE, and ficients —IM3, gain, and PAE characteristics of class F and inverse class F at low were reported. Reference [17] stated that the IM3 of inverse class F is lower than that of class F at almost all values and that the gain increase at a low and decrease is gradual in an inverse class-F amplifier. Here, we at a high and dependence of will describe the phases of the IM3, gain, and PAE, and —IM3, gain, and PAE characteristics of class-F and inverse class-F amplifiers at high values. The InGaP HBTs were measured under the collector voltage of 3.5 V and of 4 mA at frequencies of 1.9475 and 1.9525 GHz. The emitter size was 4 m 20 m 4 fingers. Automated tuners controlled the source and load impedances of the fundamental, second, and third harmonics. The source and load impedances are shown in Table I. The amplifiers of both classes were given the same source impedances and fundamental load impedances. These impedances were tuned to reof 16.5 dBm in both alize high efficiency and low IM3 at a classes at an of 4 mA.

0018-9480/$20.00 © 2005 IEEE

2122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE I SOURCE AND LOAD IMPEDANCES

Fig. 2. Measured results of gain at various phases of (a) P = 3:3 dBm. (b) P = 4:5 dBm.

0

Fig. 1. (a) P

Measured results of IM3s at various phases of

= 03:3 dBm. (b) P = 4:5 dBm.

A. Phases of

0

and

0

.

Dependence of Gain

The IM3s of the amplifier were measured under various phases of and , and the results are shown in Fig. 1(a) and (b). is 3.3 and 4.5 dBm, respectively. Here, is 20 mA and and The vertical and horizontal axes show the phases of , respectively.

0

and

0

.

At the low of 3.3 dBm, the minimum IM3 of 47 dBc and the was realized in the phase condition of , as shown in Fig. 1(a). At this phase condition of , the IM3 of the class-F amplifier, which has phase of phase of 0 , is lower than that of the inverse 180 and phase of 0 and a phase of class F, which has a of 4.5 dBm, the minimum IM3 180 . In contrast, at the high of 24 dBc was realized in the phase condition of and the phase condition of near inverse class F, as shown in Fig. 1(b). These results show that the class-F and , inverse class-F amplifiers achieve low IM3 at low and high respectively, although the IM3 of inverse class F is lower than values, as shown in [17]. that of class F at almost all The measured results of gain under various phases of and are shown in Fig. 2(a) and (b). Here, is 20 mA and is 3.3 and 4.5 dBm.

OHTA et al.: INTERMODULATION DISTORTION ANALYSIS OF CLASS-F AND INVERSE CLASS-F HBT AMPLIFIERS

2123

In this way, at a high , inverse class F is effective for low , and class F is efIM3, high gain, and high PAE at a high . One of the main causes of the fective for low IM3 at low difference of IM3 in the both amplifiers is the difference of the —gain characteristics in the amplifiers. Next, we will de—IM3, gain, and PAE, and —gain characterscribe the istics for both classes of amplifier at high values. B.

and

—IM3, Gain, and PAE Characteristics

—IM3, gain, and Fig. 4(a)–(c) shows the measured PAE characteristics with the same source impedances and of 20 mA. The second and third fundamental loads at the load impedances were optimized for high efficiency and low of 16.5 dBm and an of 4 mA. IM3 at a The best classes for low IM3 at a low of less than 16 dBm of more than 16 dBm are class F and inverse and a high class F, respectively, as shown in Fig. 4(a) although the IM3 of inverse class F is lower than that of class F at almost all values [17]. At the same time, the gain decrease of class F is more gradual of less than 16 dBm, and than that of inverse class F at a the gain decrease of inverse class F is more gradual than that of of more than 16 dBm, as shown in Fig. 4(b). class F at a The PAE values of both classes are almost the same at a of less than 16 dBm and the PAE of inverse class F at a of more than 16 dBm is higher than that of class F, as shown in Fig. 4(c). values is different. The The IM3 behavior at various difference is mainly caused by the gain variation difference values. The gain and gain variation at various at various values in both classes of amplifier are shown in Fig. 5(a) is from 4 to 20 mA. The gain and (b), respectively. Here, variations are calculated as follows: Gain variation

Fig. 3. (a) P

Measured results of PAE at various phases of

= 03:3 dBm. (b) P = 4:5 dBm.

0

and

0

.

At the low of 3.3 dBm, the gain of 15.1 dBm is almost constant, as shown in Fig. 2(a). In contrast, the maximum gain of 12.7 dB was realized in the phase condition of and the phase condition of near inverse class F, of where a lower IM3 is achieved than in class F, at the high 4.5 dBm, as shown in Fig. 2(b). The measured results of the PAE under various phases of and are shown in Fig. 3(a) and (b). Here, is is 3.3 and 4.5 dBm. 20 mA and of 3.3 dBm, the PAE of 18.5% is almost conAt the low stant, as shown in Fig. 3(a). In contrast, the maximum efficiency and phase of 58% was realized in the phase condition of near inverse class F at the high condition of of 4.5 dBm, as shown in Fig. 3(b). At this , a lower IM3 in inverse class F was achieved than that in class F, as shown in Fig. 1(b).

Gain

Maximum Gain

(1)

At the high of 20 mA, the gain of both classes is almost the of less than 2 dBm, but the gain decrease of class same at a F is slightly more gradual than that of inverse class F. The gain decrease of inverse class F is more gradual than that of class F of more than 2 dBm. At the low of 4–8 mA, however, at a the gain expansion of class F is more than that of inverse class F of less than 0 dBm. The gain decrease of inverse class F at a of more than 2 dBm. is more gradual than that of class F at a III. DISCUSSION The conclusions about measured results of IM3 and gain variations including results of [17] are as follows. At a low , the IM3 of inverse class F is lower than that of class F at almost all values and the gain increase at low and the gain deare gradual in inverse class-F amplifiers. On crease at high the other hand, at a high , the better class for low IM3 changes from class F to inverse class F according to the increase of and the gain decrease in class F at a low and in inverse class F are gradual. In this way, the gradual gain variations at a high are one of main causes for low IM3 operation. Consequently, we are concerned with the reason why the gain variations of both classes are different.

2124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. Measured gain and gain variations. (a) Gain. (b) Gain variations.

Fig. 4. Measured IM3, gain, and PAE characteristics at the same fundamental load impedance. (a) IM3. (b) Gain. (c) PAE.

Fig. 6(a)–(d) shows the simulated results of and waveforms of class F and inverse class F at an of 20 mA, of 4 and 6 dBm. We used the VBIC model in an and a Agilent computer-aided design (CAD) simulator ADS in these simulations. The conditions in the simulations were the same as the measurement conditions. of 4 dBm, the waveform of and is similar At a in both classes, as shown in Fig. 6(a) and (b). On the other hand,

at a of 6 dBm, when the gain of inverse class F is more waveform of inverse class F is than that of class F, the similar to the positive half-sinusoidal, as shown in Fig. 6(c). of 0. The The waveform is caused by the compression at waveforms are formed by the fundamental and harmonics, and the waveform depends on the harmonic amplitude ratio to the fundamental and the phase difference between the harmonics and fundamentals. In order to discuss the relationship between waveforms and , the amplitude ratios and phases of the second and third and were simulated and are shown harmonic of in Fig. 7(a)–(d). We discuss here only the second and third harmonics, which mostly affect the waveform, to simplify the discussion. Furthermore, we discuss the second harmonic and third harmonic in the class-F amplifier because class F has short second and open third harmonic load impedances. Similarly, we discuss the third and second harmonic in harmonic the inverse class-F amplifier. and the harmonic amplitude In Fig. 7, the phase of are calculated by ratio of phase of

(2)

harmonic amplitude ratio of

(3)

OHTA et al.: INTERMODULATION DISTORTION ANALYSIS OF CLASS-F AND INVERSE CLASS-F HBT AMPLIFIERS

Fig. 6. Simulated V and I waveforms. (a) V (d) I waveforms (P = 6 dBm).

The

and

waveforms (P

= 04 dBm). (b) I

are defined in (4) as follows:

(4) Here, is the dc value, and and are the amplitudes of the fundamental, second, and third harmonics. is similar to . The in-phase, as shown in Fig. 7(c) and (d), means that the composite waveforms with second and third harmonics are similar to the positive half-sinusoidal and rectangular, respectively. ratio is larger than the In Fig. 7(a) and (b), the one in class F, and the ratio is larger than the one in class F and in inverse class F. We discuss here in inverse class F because the second harmonics are dominant in both classes. is conIn the inverse class-F amplifier, the phase of of less than 0 dBm, and stant, which is not in-phase, at a of more than 0 dBm and the decreases to in-phase at a waveform of inverse class F at a of more than 0 dBm changes . The phase to positive half-sinusoidal with the increase of change is similar to that at an of 4 mA [17]. On the other hand,

waveforms (P

= 04 dBm). (c) V

2125

waveforms (P

= 6 dBm).

in class F is nearer to in-phase than that of the phase of in class F at a less than 0 dBm at high values. In addition, [17] stated that, at a low of 4 mA, the phase of in class F is in-phase at a of less than 4 dBm, which means the composite waveform is positive half-sinusoidal, and in inverse class F decreases to in-phase at the phase of of more than 0 dBm. Under these conditions, the amplia fier with a positive half-sinusoidal waveform realizes high gain. Next, we will discuss why the half-sinusoidal waveform realizes a high gain. here, but is similar to . Here, we We discuss is constant and 2) is assume two conditions, i.e.: 1) more than 0. Under these conditions, it is effective to maximize to increase . Fig. 8(a) shows the the minimum value of at various harmonic amplitude ratios. minimum value of The minimum value of the waveform with the second harmonic increases with an increase of the ratio at ratios of less than 0.35, and the maximum value is 0.71. Similarly, the maximum value of the waveform with the third harmonic is 0.87. This means that the positive half-sinusoidal waveform, which is composed of fundamentals and in-phase second harmonics, is more effective for a high gain amplifier than the rectangular

2126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 7. Simulated phases and harmonics ratios of output voltage and current. (a) V ;V . (d) Phase of I ;I . of V

one, which is composed of fundamentals and in-phase third harmonics. of the composite Fig. 8(b) shows the minimum value of waveforms at various phases of and . The value decreases with the phase increase from in-phase in both composite waveforms. This means that the positive half-sinusoidal with in-phase second harmonics and rectangular with in-phase third harmonics are effective for a high-gain amplifier. Fig. 8(c) shows the ideal waveforms with the maximum is 0.8 and is more than fundamental amplitude when 0. The maximum fundamental amplitude is 1.13 in a positive half-sinusoidal waveform with the second harmonic, and 0.92 in a rectangular one with the third harmonic. Consequently, a positive half-sinusoidal with an in-phase second harmonic increases gain. of more than 1 dBm and an of 4 and 20 mA, At a the gain of class F decreases more sharply than that of inverse is more class F, as shown in Fig. 5. When is 20 mA and in inverse class F and than 1 dBm, the phase of in class F decreases to and from the in-phase according to , respectively, as shown in Fig. 7(c) and (d). These phase changes are similar at 4 mA, as shown in [17]. The phase decreasing of class F decreases gain more sharply than that of inverse class F.

harmonics amplitude ratio. (b) I

harmonics amplitude ratio. (c) Phase

of less than 1 On the other hand, the gain in both classes at a dBm and an of 20 mA slightly decreases according to the increase and the gain decrease of inverse class F is more than that of class F. When is 20 mA and is less than 1 dBm, in class F is nearer to in-phase than that of the phase of in inverse class F, as shown in Fig. 7(c) and Fig. 7(d). causes a higher gain in class F and The near in-phase I prevents a gain decrease. Moreover, the gain of both classes at a of less than 1 dBm and an of 4 mA increases according to increase because of the dc current increase, and the gain the increase of class F is more than that of inverse class F. When is 4 mA and is less than 1 dBm, the phase of in in inverse class F is not in-phase, class F is in-phase and causes a higher gain in as shown in [17]. The in-phase class F than that in inverse class F. Consequently, an inverse class F with an open second load and a low impedance prevents the gain increase at a low and the gain decrease at a high , and this is one of the major and low causes of the low IM3 in inverse class F at a low and at a high . In contrast, a class F with a short second load and a high , and this is prevents the gain decrease at a low one of the major causes of the low IM3 in class F at a low and high .

OHTA et al.: INTERMODULATION DISTORTION ANALYSIS OF CLASS-F AND INVERSE CLASS-F HBT AMPLIFIERS

2127

gradual compared to that of class F. The higher gain in inverse and in class F at a low and a high class F at a high prevents a gain decrease. On the other hand, the higher gain in and a low increases the value of the gain class F at a low increase, which is caused by a dc current increase. The higher gain in inverse class F at high and in class F at low is caused by the positive half-sinusoidal and waveforms, respectively. REFERENCES

Fig. 8. Minimum V and ideal waveforms. (a) Harmonics amplitude ratio dependence of V . (b) Phase of harmonics dependence of minimum V . (c) Ideal V waveforms.

IV. CONCLUSION We have measured the IM3, gain, and PAE of class-F and inverse class-F HBT amplifiers at high values. Our experiments revealed that the IM3 of an inverse class-F amplifier at a high is less than that of class F, and that of class F at a low is less than that of inverse class F, although the IM3 of inverse class F is less than that of class F at a low . One of the major causes for low IM3 in inverse class F is that the gain increase at a low and a low , and the gain decrease at a high are

[1] F. H. Raab, “Maximum efficiency and output of class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1162–1166, Jun. 2001. [2] T. Heima, A. Inoue, A. Ohta, N. Tanino, and K. Sato, “A new practical harmonic tune for high efficiency power amplifier,” in Proc. 29th Eur. Microwave Conf., Munich, Germany, Oct. 1999, pp. 271–274. [3] A. Inoue, T. Heima, A. Ohta, R. Hattor, and Y. Mitsui, “Analysis of class-F and inverse class-F amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 775–778. [4] F. H. Raab, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [5] D. Barataud, F. Balache, A. Mallet, O. O. Bouysse, J. N. Nebus, J. P. Villptte, J. Obregen, J. Verspecht, and P. Auxemery, “Measurement and control of current/voltage waveforms of microwave transistors using a harmonic load–pull system for the optimum design of high efficiency power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 835–842, Aug. 1999. [6] A. V. Grebennikov, “Circuit design technique for high efficiency class-F amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 771–774. [7] C. J. Wei, P. DiCarlo, Y. A. Tkachenko, R. McMorrow, and D. Bartle, “Analysis and experimental waveform study on inverse class-F microwave power FET,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 525–528. [8] F. Fortes and M. J. do Rosario, “A second harmonic class-F power amplifier in standard CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1216–1220, Jun. 2001. [9] F. H. Raab, “Class-E, class-C, and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1462–1486, Aug. 2001. [10] F. Fortes and M. J. do Rosario, “A second harmonic class-F power amplifier in standard CMOS technology,” in IEEE Trans. Microw. Theory Tech., vol. 49, Jun. 2001, pp. 1216–1220. [11] S. Goto, T. Kunii, A. Ohta, A. Inoue, Y. Hosokawa, R. Hattori, and Y. Mitsui, “Effect of bias condition and input harmonic termination on high efficiency inverse class-F amplifiers,” in Proc. 31th Eur. Microwave Conf., London, U.K., Sep. 2000, pp. 113–116. [12] A. Ohta, S. Matsuda, S. Goto, A. Inoue, K. Choumei, S. Suzuki, R. Hattori, and Y. Matsuda, “Effect of input harmonic termination on high efficiency HBT amplifiers for mobile communications,” in Proc. 32th Eur. Microwave Conf., Milan, Italy, Sep. 2002, pp. 749–753. [13] B. Ingruber, J. Baumgartner, D. Smely, M. Wachutka, and G. Magerl, “Rectangularly driven class-A harmonic-control amplifier,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1667–1672, Nov. 1998. [14] P. M. White, “Effect of input harmonic terminations on high efficiency class-B and class-F operation of PHEMT devices,” in IEEE MTT-S Int. Microwave Symp. Dig., Washington, DC, Jun. 1998, pp. 1611–1614. [15] B. Ingruber, W. Pritzl, D. Smely, M. Wachutka, and G. Magerl, “High-efficiency harmonic-control amplifier,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 857–862, Jun. 1998. [16] Y. Yang, Y. Y. Woo, and B. Kim, “New predistortion linearizer using low-frequency even-order intermodulation components,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 446–452, Feb. 2002. [17] A. Ohta, A. Inoue, S. Goto, A. Inoue, K. Ueda, T. Ishikawa, and Y. Matsuda, “Inter-modulation distortion analysis of class-F and inverse class-F HBT amplifiers at low quiescent current,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Sep. 2004.

2128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Akira Ohta (M’93) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the University of Osaka Prefecture, Osaka, Japan, in 1989, 1991 and 2001 respectively. In 1991, he joined the Mitsubishi Electric Corporation, Itami, Hyogo, Japan, where he has been engaged in research and development of GaAs integrated circuit (IC) for optical communications, microwave power-amplifier modules, and high-frequency measurement technology. He is currently a Technical Staff Member with the Microwave Device Development Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation. Dr. Ohta is a member of the Institute of Electrical and Electronics Engineers and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Akira Inoue (M’99) was born in Osaka, Japan, in 1961. He received the B.S. and M.S. degrees in physics from the University of Kyoto, Kyoto, Japan, in 1984 and 1986, respectively. In 1986, he joined the LSI Laboratory, Mitsubishi Electric Corporation, where he was engaged in the design of GaAs monolithic microwave integrated circuits (MMICs). In 1988, he joined the Optoelectronic and Microwave Devices Laboratory, Mitsubishi Electric Corporation, where he participated in the characterization of GaAs transistors, evaluation, and the design of modules and MMICs. He developed microwave measurement such as on-wafer RF testers, harmonic load–pull systems, and microwave waveform measurement. He is currently engaged in the development of microwave power transistors and power amplifier modules for mobile communications with the High Frequency and Optical Device Works, Mitsubishi Electric Corporation, Itami, Hyogo, Japan. He has also developed inverse class-F power amplifiers for mobile handsets. He is also involved with the development of GaAs field-effect transistor (FET) and HBT modeling. Mr. Inoue is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Seiki Goto (M’09) was born in Osaka, Japan, in 1967. He received the B.S. and M.S. degrees from Osaka Prefecture University, Osaka, Japan, in 1990 and 1992, respectively. In 1992, he joined the Opto-Electronic and Microwave Devices Laboratory, Mitsubishi Electric Corporation, Itami, Hyogo, Japan, where he has been engaged in the design of high-power amplifiers and the development of high-power GaAs FETs.

Kazuhiro Ueda received the B.S. degree in electronic engineering from the Hiroshima Institute of Technology, Hiroshima, Japan, in 1999. In 1999, he joined the Sun-A Corporation, Itami, Hyogo, Japan, where he has been engaged in research and development of microwave power-amplifier module and high-frequency measurement technology. He is currently a Technical Staff Member with the Sun-A Corporation.

Takahide Ishikawa was born in Kagawa, Japan, 1958. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1981, 1983, and 1996, respectively. In 1983, he joined the LSI Research and Development Laboratory, Mitsubishi Electric Corporation, Itami, Hyogo, Japan, where he has been associated with the development of compound semiconductor high-frequency devices. He is currently the Section Manager of the Advanced Device Technology Section, Microwave Device Development Department, High Frequency and Optical Device Works, Mitsubishi Corporation.

Yoshio Matsuda was born in Ehime, Japan, on October 26, 1954. He received the B.S. degree in physics and the M.S. and Ph.D. degrees in applied physics from Osaka University, Osaka, Japan, in 1977, 1979, and 1983, respectively. In 1985, he joined the LSI laboratory, Mitsubishi Electric Corporation, Itami, Hyogo, Japan, where he has been engaged in the development of dynamic random access memory (DRAM) circuits design and system LSIs. He is currently involved with the development of compound semiconductor devices and microwave circuits design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2129

A 2.14-GHz Chireix Outphasing Transmitter Ilkka Hakala, David K. Choi, Member, IEEE, Leila Gharavi, Nikolai Kajakine, Julius Koskela, and Risto Kaunisto, Member, IEEE

Abstract—A Chireix outphasing system for 2.14 GHz including two saturated class-B pseudomorphic high electron-mobility transistor power amplifiers (PAs) and a Chireix power-combining circuit is reported in this paper. In an outphasing system, an arbitrary input signal is divided into two constant envelope branches. The phase-only modulated branches are then amplified with high-efficiency nonlinear PAs. By controlling the phases of these branches, the original signal waveform can be reconstructed, ideally with high efficiency and perfect linearity. In this paper, the design and implementation of an experimental Chireix outphasing system for a wide-band code division multiple access 2.11–2.17-GHz downlink band is presented. The measured system efficiency for 7-dB backed-off quadrature phase-shift keying signal was 42.2% with a channel power of 31.2 dBm. Index Terms—High efficiency, outphasing, RF power amplifiers (PAs), transmitters.

I. INTRODUCTION

C

OMPLEX AND bandwidth-efficient digital modulation methods used in modern wireless communication systems have considerably increased the linearity requirements of transmitter power amplifiers (PAs). The substantial loss of transmitter efficiency due to the stringent linearity requirements has forced the industry to look for alternative solutions to alleviate the tradeoff between efficiency and linearity. One potential solution is the outphasing system, first introduced by Chireix in 1935 [1]. Outphasing/linear amplification using nonlinear components (LINC) has been the subject of several recent papers, which have mostly concentrated on the signal separation and gain/phase-imbalance issues, e.g., [2]–[5]. Some theoretical treatises have also addressed the power-combining efficiency of the outphasing system [6]–[8], but actual implementation appears scarce. In the outphasing system, an input signal containing both amplitude and phase modulation is divided into two constant envelope phase-modulated signals. An amplified version of the original signal is achieved by varying the phases of these two signals and summing the amplified branch signals with a passive power combiner. The maximum envelope condition is obtained when the branches are in-phase and the low envelope condition when the branches are almost antiphase. Highly Manuscript received October 1, 2004; revised December 14, 2004. I. Hakala, L. Gharavi, N. Kajakine, J. Koskela, and R. Kaunisto are with the Nokia Research Center, FIN-00045 Helsinki, Finland (e-mail: [email protected]). D. K. Choi is with the Nokia Research Center, San Diego, CA 92131 USA. Digital Object Identifier 10.1109/TMTT.2005.848770

efficient nonlinear PAs can be used to amplify the constant envelope signals without traditional AM–AM or AM–PM distortion taking place in the individual branches. Ideally, this method enables the high efficiency of the nonlinear PAs to be exploited without disrupting the signal integrity. Unfortunately, if a conventional matched combiner is used at the output, much of the efficiency inherent in the outphasing system is lost. When the signal branches are in-phase, a very small amount of power is wasted in the summing operation, but when the phase difference between the branches grows, the out-of-phase components of the combined signals are directed to the isolated port load and dissipated. In effect, this means that with a conventional combiner, the power-combining efficiency degrades rapidly as the crest factor of the original input signal grows. This problem can be avoided to certain extent, although at the expense of linearity [8], [9], by using a nonisolating powercombiner structure. The resulting linearity deterioration can be overcome with the use of modern predistortion techniques. The nonisolating combiner presents time-varying impedances to the PAs as the phase difference between the branches alters. If the used PAs exhibit ideal voltage source behavior, the dc-power consumption will scale according to the load impedance, i.e., the efficiency remains high regardless of the phase difference between branches. Realistic PAs do not behave as ideal voltage sources, but the output voltage characteristics of switching PAs and saturated class-B/C PAs are such that some of the potential efficiency benefit can be reaped. The difficulty with the use of saturated class-B/C PAs is their inability to cope with reactive load impedances. To alleviate the problem with reactive loads, the original paper by Chireix [1] introduced a special nonisolating power combiner, known as the Chireix combiner, which uses compensating reactive elements to enhance the power-combining efficiency. The purpose of this paper is to investigate the practical possibilities of Chireix power combining [10]. This paper begins with a theoretical overview of the outphasing system principle and the operation of the Chireix combiner. The analysis of the Chireix combiner is extended to include the effect of source resistances. The realization of a Chireix power-combining system consisting of pseudomorphic high electron-mobility transistor (pHEMT)-based saturated class-B high-efficiency PAs and a microstrip Chireix combiner is explained. Measurement systems for sinusoidal and quadrature phase-shift keying (QPSK)-modulated signals are described, and measured results for both situations are presented.

0018-9480/$20.00 © 2005 IEEE

2130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Simplified diagram of the outphasing operation.

Fig. 1. Vector representation of the outphasing operation. Fig. 3.

II. THEORY OF OPERATION A. Outphasing System In the outphasing system, an arbitrary input signal and separated into two constant envelope signals as illustrated in Fig. 1. If the input signal is defined as

Schematic of the voltages applied to a common load.

B. Chireix Combiner is ,

(1) then (2) (3) where (4) where denotes the amplitude of the branch signal at peak can be written envelope power (PEP). The output signal as the sum of and as follows:

In order to exploit the inherent efficiency benefit of the outphasing system, the problem of power combining needs to be addressed. From this point on, the more convenient phasor representation is adopted. The instantaneous output voltages and of the two PAs connected differentially to a common load can be written as resistance (6) (7) The total output voltage is now written as the difference between , whereas the previously presented analthe PA outputs ysis takes the sum. This, however, has little impact on the result and is merely a matter of making the formulation somewhat is achieved at and the easier. The maximum value . The load impedances and minimum value zero at seen by the amplifier branches are thereby (8)

(5) Basically, this ideal presentation means that if the two amplifier branches are perfectly matched, i.e., their gain and phase characteristics are precisely the same, an amplified replica of the original signal can be achieved as the in-phase components add together and the out-of-phase components cancel each other. A simplified block diagram is shown in Fig. 2. In practice, perfect match between the branches, and thereby complete out-of-phase component cancellation, is very difficult to achieve and the dynamic range of the signal is thus degraded. Even a small imbalance in gain and/or phase will disrupt the cancellation to a degree and introduce intermodulation distortion (IMD) products. Several methods have been proposed in order to minimize the effect of these imbalances [4], [5], [11].

(9) A simplified circuit diagram is given in Fig. 3. It can be noticed from (8) and (9) that the effective load impedance seen by each amplifier constitutes of a series connection of half of the original load resistance and a varying reactive part, which is inductive for one PA and capacitive for the other. The basic idea of the Chireix combiner is to add parallel reactive elements in order to cancel the reactive part of the load at a certain predefined phase offset value, thereby allowing maximum efficiency to also when be achieved at a phase difference value other than nonideal voltage sources are used. In order to analyze the use of reactive compensation elements, can be written in a parallel expression the load impedance

HAKALA et al.: 2.14-GHz CHIREIX OUTPHASING TRANSMITTER

Fig. 4.

2131

Parallel expression of Z with compensating inductance.

form. In Fig. 4, the new expression for is shown to constiand a capacitive part . The capacitive tute a resistive part part can be compensated at a certain phase offset with a parallel in this figure. inductive element, denoted with denotes the susceptance of the inductor. The sum of these seen by the voltage source three terms form the admittance , which can be used to write the instantaneous input power as

Fig. 5. Computational Chireix combiner efficiency versus power BO. Zero source resistances.

(10) Thus, the power-combining efficiency can be written as the and the inratio of the power delivered to the load resistor stantaneous power

Fig. 6.

Common load with source resistances and Chireix elements included.

(11) Efficiency function maxima are achieved when

or (12) which indicates that there are two maxima, the positions of which can be controlled with the selection of the susceptance . As the efficiency versus phase relation is rather unintuitive, it is preferable to plot the efficiency function with respect to the output power backoff (BO). In the outphasing system, the relation between the power BO level (in decibels) and the phase offset is (13) Similar analysis can be carried out for the source, in which case, the compensating reactance needs to be capacitive. Fig. 5 shows the Chireix power-combiner efficiency curves as funcvalues. A reference tions of BO with three different curve, depicting the situation when conventional Wilkinson combiner is used, is also shown. In the above analysis, it has been assumed that the voltage and have zero internal impedance. This is not a sources

very practical assumption as ideal voltage sources are not realizable. To study a more realistic situation, the internal resistances of the voltage sources have to be taken into account. In Fig. 6, the common load situation is shown with the compensating Chireix and source resistances in place. elements With these terms included, the effective load impedance seen by takes the form

(14) Zero output power is attained when the effective load impedance goes to infinity. This takes place when (15) which means that zero output power no longer coincides with . The nonzero source resistance thereby introduces an offset angle, which can be denoted with . This correction term must be added to the input signal phase to correctly match the input and output signal minima and maxima. With this term taken into account, the power delivered to the load is (16)

2132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 8. Chireix combiner test setup.

Fig. 7. Computational nonideal Chireix combiner efficiency versus power BO. Source resistances R included.

which follows the ideal outphasing power transfer characteristics, except for the offset angle introduction. Maximum output power is achieved with load resistance value (17) at which point the impedance

reduces to

(18) The component values for the effective load network of the nonideal Chireix combiner can now be derived. The load network in series with a parallel connection of consists of and . The expressions for and are (19) and

(20) The maximum instantaneous efficiency is reached when the load network is purely real, which takes place when or

(21)

It can be observed that one of the efficiency maxima is always located at PEP when the optimum load resistance value is used. The location of the other maximum can still be controlled with . At these two points, the combining effithe selection of ciency is 100%, and the classical Chireix efficiency behavior with two maxima is still evident. New efficiency curves for nonideal Chireix combiner are plotted in Fig. 7. The shapes of the curves are different from those of the ideal situation presented in Fig. 5, and the valleys between the efficiency maxima are

deeper. It is, therefore, clear that the introduction of source resisdegrades the power-combining efficiency, especially tance at higher BO values, but does not undermine the feasibility of the concept. At this point, it is important to emphasize a couple of issues concerning the efficiency of the Chireix combiner and the outphasing system as a whole. Firstly, the outphasing system efficiency is the sum of the PA efficiency and the combiner efficiency. However, these two can be treated as separate entities only up to a certain degree because of the interaction between the PA and combiner. If a nonisolating combiner structure (see Fig. 3) is placed at the output of outphasing system, the average efficiency is not improved compared to a situation with an isolating combiner. The instantaneous combining efficiency, however, is fixed to 100%, as no power is dissipated in the reactive part of load impedance, but this “outphased” power is reflected back to the PA. Depending on the amplifier type, this reflected power is either dissipated altogether or “stored” in the amplifier by, in effect, lowering the amplifier’s dc power consumption. A true voltage source behavior, i.e., perfect independence of load impedance, can only be expected from switch-mode PAs, and even then just to an extent. Therefore, the average efficiency does not give a truthful picture of outphasing system’s performance when traditional PAs are used, and should not be used as the primary measure of efficiency. The above-presented analysis, however, shows that the addition of reactive compensation elements to the nonisolating combiner structure creates a second maximum for the instantaneous power-combining efficiency. With saturated class-B/C PAs, this means that the full potential of the amplifiers can be utilized at this maximum point where the load impedance is purely real. As the result, the system efficiency is also increased in the proximity of the instantaneous efficiency maximum. III. REALIZATION OF AMPLIFIERS AND CHIREIX COMBINER In order to test the basic functionality of the Chireix power combiner with saturated PAs, a test setup was built consisting of two saturated class-B push–pull PAs, a Chireix combiner realized with microstrip lines, and the transmission lines used for connecting the PAs to the combiner. A conceptual picture of the test setup is shown in Fig. 8. The PAs utilized discrete unpackaged power transistors wire-bonded directly to the microstrip matching circuitry in order to reduce the effect of package parasitics. The transistors were TriQuint TQPHT 0.25- m pHEMTs, sized

HAKALA et al.: 2.14-GHz CHIREIX OUTPHASING TRANSMITTER

2133

Fig. 9. Schematic of the PA with multistage microstrip matching circuitries at the input and output, denoted for simplicity by Z

Fig. 11.

2 17 cm).

40 250 m. A Rogers RO4350B high-frequency substrate and substrate thickness of with 0.768 mm was used for both the PAs and Chireix combiner. The load–pull simulation method was used for determining the optimum load and source impedances for saturated class-B operation. A rat-race-balun structure was used for connecting the push–pull amplifier branches. A simplified schematic diagram of the designed high-efficiency push–pull PA is given in Fig. 9 and a photograph of the PA is given in Fig. 10. The PA was designed to exhibit very high efficiency when driven in saturation. In Fig. 11, the measured drain efficiency and output power of the amplifier are given with respect to the input drive level. Other measured performance parameters of the class-B biased PA are recapitulated in Table I.

and Z .

Measured output power and drain efficiency of the PA.

MEASURED PERFORMANCE Fig. 10. High-efficiency pHEMT push–pull PA (10

;Z ;Z ;

V

TABLE I OF THE PA BIASED = 0:5 V

0

AT

V

= 5 V,

The realization of the Chireix power combiner was done using microstrip lines instead of lumped components. The compensating reactances were implemented using microstrip lines and a movable short circuit realized by using a shunt capacitor. The shunt capacitor was soldered between the resonating microstrip line and ground, and the placement of

2134

Fig. 12.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Microstrip realized Chireix combiner (38

2 56 mm).

the capacitor short determined the effective capacitance and inductance values of the compensating elements in the Chireix combiner. A photograph of the microstrip realized Chireix combiner having a shunt-capacitor placement corresponding to a second efficiency maximum at 7-dB BO is shown in Fig. 12. An important practical detail in the PA–Chireix combiner connection is the length of the transmission lines/cables used between the PA and combiner, as they determine the phase shift between PAs and combiner. Ideally, the compensating Chireix elements in the combiner make the PAs see complex conjugate load impedances, as one combiner branch presents a capacitive parallel element and the other an inductive one. In reality, the connectors, transmission lines, etc. between the PA drains and the combiner compensating elements introduce a phase shift, which causes the load impedances seen by the PAs to change into noncomplex-conjugate values. Therefore, to reinstate the complex-conjugate condition, the lengths of the transmission cables between the PAs and combiner need to be carefully seelectrical length between lected to represent a multiple of the PA drains and combiner. microstrip-line realization of the Due to the slim combiner and compensating elements, the bandwidth of the Chireix combiner is relatively narrow. The efficiency degrades rather steeply when the operating frequency varies from the designed center frequency of 2.14 GHz, which naturally restrict the bandwidth of the whole Chireix outphasing system. For demonstrating the functionality of the outphasing system, the bandwidth of the combiner was not critical and was thereby not optimized. The bandwidth of the Chireix combiner can be widened, for example, with a different choice of combiner topology and/or modifying the impedance level of the combiner. A simulated contour plot of the combiner bandwidth is shown in Fig. 13. The nonisolating structure of the passive Chireix combiner makes the outphasing system inherently nonlinear, as the interaction between the PAs causes nonlinearities at the output. These nonlinearities have not been very extensively studied, and although they have been mentioned in some recent publications [8], [9], no measured data has been presented. A rigorous study of these nonlinearity mechanisms is outside the scope of this

Fig. 13.

Efficiency contour of the Chireix combiner.

paper, but their effect on the linearity performance of the outphasing system is visible in the measurement results presented in Section IV. IV. OUTPHASING SYSTEM TESTBENCH The outphasing concept with the low-loss Chireix combiner was verified with a testbench, which allows realistic digitally modulated waveforms to be inputted. The achieved system efficiency (the final PA stages and Chireix combiner) was regarded as the top priority, as it is the fundamental potential benefit of the outphasing concept. Necessary tuning procedures for ensuring measurable results were applied, but more rigorous branch mismatch cancellation and phase predistortion routines for fulfilling, e.g., 3GPP specifications were not considered. Few prior-art references for implemented Chireix outphasing systems are available. Recent advances have been reported in [12]. A. Testbench Construction The block diagram of the testbench is shown in Fig. 14. The normal direct upconversion transmitter architecture was utilized for the two branches. The digital in-phase/quadrature (I/Q) input sequence, including the signal separation functions is created in the system PC with MATLAB and uploaded into the internal memories of two PCI I/O cards. The looped test vector is fed to the digital-to-analog converters (DACs) at the rate of 61.44 MHz. The four 16-bit interpolating DACs are clocked at 4 oversampling, which facilitates the filtering requirements. The analog outputs are filtered with third-order MHz) and fed into quadrature mixers LC filters ( dB where the signal is directly upconverted to RF. The RF signal is then preamplified and finally inputted into the class-B PAs and Chireix combiner. Feedback information is gathered from the individual branches, as well as from the composite output signal by a spectrum analyzer and transferred back to the PC via the general purpose interface bus (GPIB) bus. B. Testbench Calibration The system has three main error sources that need to be calibrated out before actual measurements: I/Q imbalance,

HAKALA et al.: 2.14-GHz CHIREIX OUTPHASING TRANSMITTER

Fig. 14.

2135

Outphasing system testbench.

dc-offset, and mixer nonlinearity errors typical to a direct-conversion transmitter, branch gain, and phase-imbalance errors typical to an outphasing transmitter, and phase offset and linearity errors due to the Chireix combiner. The I/Q imbalances and dc offsets in each branch were first compensated by monitoring the upconverted branch signals (image and local oscillator (LO) frequencies). A sinusoidal calibration vector was fed through the system, and phase, gain, and dc compensation factors were calculated and applied to the test vector. The branch imbalances were cancelled out by finding the output power minimum from the output feedback point and then minimizing it (fine tuning the branch phases). This algorithm was repeated in several frequency points in order to take the nonconstant group delays of the branch paths into account. The branch gain mismatch was manually set to minimum by tuning the PA supply voltages. Finally, the nonlinearity caused by the Chireix combiner was compensated by applying phase predistortion to the branch signals. The power of a nonmodulated test signal was swept and the resulting output power level was monitored. The deviation from the linear power sweep response was calculated and a static correction lookup table was provided for the branch input signals. It should be noted that these simple calibration schemes will not be sufficient for actual radio system implementation, but adequate in terms of the system efficiency check with modulated signals. C. Measured Results To test the combiner–PA interaction before introducing modulated test signals, the two PAs were initially fed with purely sinusoidal signals. The signal phase between the branches was swept while the output power and the PAs’ power consumption were monitored. The measured efficiency, i.e., the ratio

Fig. 15.

Efficiency versus output power for sinusoidal signals.

of the output power and the PAs’ power consumptions, versus output power for systems employing the Chireix and a regular Wilkinson combiner are shown in Fig. 15. For the Chireix combiner system, the efficiency at 7-dB BO was 45%, showing the efficiency improvement over the Wilkinson combiner system well. The nonlinearity due to the nonisolating structure of the Chireix combiner is visible in Fig. 16, where the output powers of both systems have been plotted with respect to the computational BO level acquired through (13). For the system employing the Chireix combiner, the curve adapts an expansive shape due to the interaction between the PAs. True test-signal measurements were performed with a QPSK-modulated and raised root cosine (RRC)-filtered test vector comparable to the wide-band code division multiple access (WCDMA) downlink specifications. The probability distribution function (PDF) of a typical signal is plotted in Fig. 17. The signal statistic has a great effect on the achieved efficiency and, therefore, signals with different power BO levels were tested.

2136

Fig. 16.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Output power versus computational BO level.

Fig. 19. Measured output spectrum from the testbench (PAs + Chireix combiner), input signal BO 7 dB, output ACPR = 36 dBc.

0

0

Fig. 17. Modulated input signal PDF signal peak-to-average power ratio (PAPR) is 5.5 dB.



Fig. 20. BO.

Fig. 18. Measured output spectrum (no PAs, a lossy Wilkinson combiner) with calibration effects shown. 1: Without any calibration (ACPR = 29 dBc). = 34 dBc). 2: With I/Q imbalance and dc offset calibration (ACPR 3: With I/Q imbalance, dc offset, and branch mismatch calibration (ACPR = 43 dBc).

0 0

0

The effect of calibration is shown in Fig. 18. The results are plotted for the testbench without PAs and with a traditional lossy Wilkinson combiner, thus, the Chireix nonlinearity is not visible. The adjacent channel power ratio (ACPR) result of 43 dBc can be regarded as the absolute limit with the current calibration methods.

System efficiency (PA + combiner efficiency) versus input power

The QPSK output spectrum of the testbench including saturated class-B PAs and the Chireix combiner is plotted in Fig. 19. The nonlinearity and branch gain imbalance induced by the Chireix combiner is not fully compensated and, thus, the system linearity is somewhat deteriorated. The measured value for the upper ACPR is approximately 36 dBc. As before, the efficiency of the system was defined as the ratio of the system output power and the dc input power of the two PAs. A more realistic measure would include the input power levels of the PAs and the power consumption of the previous transmitter parts like the preamplifiers and mixers. If the gain of the last PA stage is sufficiency high, the impact of the power consumption of the previous stages becomes small in comparison. In this case, however, the inclusion of these into the system efficiency figures would, to some extent, hide the crucial effects of the PA/combiner interaction as the gains, and thereby the PAEs, of the saturated PAs used in this experiment are low. Nevertheless, it is clear the high-PAE amplifiers will be absolutely necessary in further development of the outphasing system. The measured system efficiency at different input power BO levels is shown in Fig. 20. Good correspondence with results shown in Fig. 15 for sinusoidal signals can be noticed. The linearity performance of the testbench is illustrated in Fig. 21, where the measured ACPR and error vector magnitude

HAKALA et al.: 2.14-GHz CHIREIX OUTPHASING TRANSMITTER

Fig. 21.

Output upper ACPR and EVM versus input power BO.

TABLE II MEASURED RESULTS OF THE OUTPHASING TESTBENCH

(EVM) values are plotted as functions of the input signal BO. The values stay fairly constant at higher BO levels, which is understandable as the calibration accuracy is limiting the linearity performance. At lower BO power levels, the input signal is clipped before the signal separation takes place and the signal purity is degraded. Measurement results are summarized in Table II. Results are shown for the reference system (no PAs, lossy combining) and for the Chireix system. V. CONCLUSION A Chireix outphasing system for WCDMA downlink band has been presented. A considerable efficiency improvement over conventional transmitter systems has been achieved for a high BO QPSK signal. The results are in agreement with the theoretical analysis of the nonideal Chireix combiner structure presented. Additional research needs to be conducted in order to bring the channel power and ACPR values to a required level for 3GPP basestation implementations. It has been verified that the outphasing concept with saturated class-B PAs and a Chireix combiner offers high-efficiency performance when utilized with high-PAPR signals. This makes the concept a potential candidate for high-efficiency transmitters, provided that effective baseband calibration and predistortion algorithms are applied. REFERENCES [1] H. Chireix, “High power outphasing modulation,” Proc. IRE, vol. 23, no. 11, pp. 1370–1392, Nov. 1935.

2137

[2] F. Casadevall and J. J. Olmos, “On the behavior of the LINC transmitter,” Electron. Lett., vol. 27, no. 10, pp. 844–846, May 1991. [3] B. Shi and L. Sundström, “A LINC transmitter using a new signal component separator architecture,” in Proc. 51st IEEE Vehicular Technology Conf., vol. 3, Tokyo, Japan, May 15–18, 2000, pp. 1909–1913. [4] S. Tomisato, K. Chiba, and K. Murota, “Phase error free LINC modulator,” Electron. Lett., vol. 25, no. 9, pp. 576–577, Apr. 1989. [5] L. Sundstöm, “Automatic adjustment of gain and phase imbalances in LINC transmitters,” Electron. Lett., vol. 31, no. 3, pp. 155–156, Feb. 1995. [6] F. H. Raab, “Efficiency of outphasing RF power-amplifier systems,” IEEE Trans. Commun., vol. COM-33, no. 10, pp. 1094–1099, Oct. 1985. [7] B. Stengel and W. R. Eisenstadt, “LINC power amplifier combiner method efficiency optimization,” IEEE Trans. Vehicular Technol., vol. 49, no. 1, pp. 229–234, Jan. 2000. [8] A. Birafane and A. Kouki, “On the linearity and efficiency of outphasing microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1702–1708, Jul. 2004. [9] C. P. Conradi, R. H. Johnston, and J. G. McRory, “Evaluation of a lossless combiner in a LINC transmitter,” in Proc. IEEE Can. Electrical and Computer Engineering Conf., vol. 1, May 1999, pp. 105–110. [10] I. Hakala, L. Gharavi, and R. Kaunisto, “Chireix power combining with saturated class-B power amplifiers,” in Proc. 34th Eur. Microwave Conf., vol. 1, Amsterdam, The Netherlands, Oct. 1–15, 2004, pp. 1–4. [11] X. Zhang, L. E. Larson, P. M. Asbeck, and P. Nanawa, “Gain/phase imbalance-minimization techniques for LINC transmitters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2507–2516, Dec. 2001. [12] J. Gründlingh, K. Parker, and G. Rabjohn, “A high efficiency Chireix out-phasing power amplifier for 5 GHz WLAN applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 6–11, 2004, pp. 1535–1538.

Ilkka Hakala was born in Helsinki, Finland, in 1978. He received the M.Sc. degree in radio engineering from the Helsinki University of Technology, Helsinki, Finland, in 2003. In 2002, he joined the Nokia Research Center, Helsinki, Finland, where he is currently a Research Engineer. His research interests are in the area of high-efficiency transmitter systems and RF PAs.

David K. Choi (S’98–M’01) received the B.A. degree in physics from the University of California at Berkeley, in 1993, the M.S. degree in electrical engineering from California State University, Fullerton, in 1996, and the Ph.D. degree in electrical engineering from the University of California at Santa Barbara, in 2001. Since 2001, he has been with the Nokia Research Center, San Diego, CA, where he is currently a Senior Research Engineer. His research interests include high-efficiency RF PAs, highly linear and efficient transmitter architectures, and power-conversion circuits.

Leila Gharavi was born in Tehran, Iran, in 1974. She received the B.Sc. degree in telecommunications from the Sharif University of Technology, Tehran, Iran, in 1996, and the M.Sc. degree in digital communication systems and technologies from the Chalmers University of Technology, Gothenburg, Sweden, in 2000. In 1999, she joined the Nokia Research Center, Helsinki, Finland, where she is currently a Research Engineer. Her research interests are RF PAs and transceiver system architecture.

2138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Nikolai Kajakine received the M.S.E.E. and Ph.D. degrees from the Academy of Radio engineering, Ryazan, Russia, in 1973 and 1988, respectively. From 1974 to 1988, he was a Research Engineer with the Academy of Radio Engineering, where he designed several blocks for the radio-Doppler navigation system, the seismic recording system, and the electromagnetic prospecting systems for electric polarization methods. From 1989 to 1995, he was an Assistant Professor with the Academy of Radio engineering. His research interests have included the design of electromagnetic prospecting systems for electromagnetic prospecting methods. In 1998, he was a Research Engineer with the Tampere University of Technology, Tampere, Finland, where he was responsible for class-E PA design. In 1999, he joined the Nokia Research Center, Helsinki, Finland, where his interests include power-efficient linearization techniques. He has authored or coauthored 29 technical papers. He holds 28 Russian patents.

Julius Koskela received the M.Sc., Lic.Tech., and Dr.Tech. degrees in technical physics from the Helsinki University of Technology, Helsinki, Finland, in 1996, 1998, and 2001, respectively. He then joined the Nokia Research Center, Helsinki, Finland, where he has been involved with surface acoustic wave (SAW) physics and modeling SAW devices. His current research interests concentrate on RF-related digital signal processing (DSP) algorithms and RF system modeling. Dr. Koskela is a member of the Finnish Physical Society.

Risto Kaunisto (S’94–M’01) was born in Nummi, Finland, in 1968. He received the M.Sc. degree in electrical engineering and Doctor of Science degree from the Helsinki University of Technology, Helsinki, Finland, in 1994 and 2000, respectively. From 1995 to 2000, he was a Research Engineer with the Electronic Circuit Design Laboratory, Helsinki University of Technology, where he was engaged in research on active RF resonators for filter applications. In 2000, he joined the Nokia Research Center, Helsinki, Finland, where he is currently a Principal Scientist. His current interests are in transmitter architectures and PAs for telecommunication systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2139

Suppression of Harmonics in Wilkinson Power Divider Using Dual-Band Rejection by Asymmetric DGS Duk-Jae Woo and Taek-Kyung Lee, Member, IEEE

Abstract—In this paper, we present an effective technique of second and third harmonic suppression for a Wilkinson power divider by using an asymmetric spiral defected ground structure (DGS). With the proposed technique, a single asymmetric DGS provides two different resonance frequencies because of the different sizes of spiral-shaped defects on the ground plane. The transfer function of the asymmetric DGS shows signal rejection characteristics at two different resonance frequencies and the characteristics of asymmetric DGS is modeled by two parallel RLC resonance circuits in cascade. With the insertion of asymmetric spiral DGS into a quarter-wave line of the Wilkinson power divider, the second and third harmonics are suppressed simultaneously. In experimental results, 18-dB suppression for the second harmonic and 15-dB suppression for the third harmonic, respectively, are achieved. Using asymmetric DGS, the size of a quarter-wave line is reduced by 9.1% compared to that of the conventional divider without a DGS. Index Terms—Asymmetric spiral defected ground structure (DGS), harmonic suppression, two resonance frequencies, Wilkinson power divider.

I. INTRODUCTION

T

HE electromagnetic bandgap (EBG) and the defected ground structure (DGS) have various applications recently in microwave and millimeter-wave frequency bands with various configurations [1]–[4]. The DGS of the microstrip line is implemented by making artificial defect on the ground and the ground defect provides a resonance property in transfer characteristics. In the microstrip line, DGS on the ground plane provides band rejection characteristic at some resonance frequency corresponding to the size of defect on the ground. The DGS also provides additional effective inductance of the transmission line. The increase in effective inductance from insertion of the DGS can provide longer electrical length of transmission line than that of a conventional line, which enables size reduction of the microwave and millimeter circuit [4], [5]. In the design of filters, dividers, and amplifiers, the band rejection property and the slow-wave effect of the DGS are used in microwave applications [6]–[14]. The unwanted harmonics caused by nonlinear property of the active circuit need to be removed. In microwave circuits, the

Manuscript received October 1, 2004; revised January 17, 2005. The authors are with the School of Electronics, Telecommunication, and Computer Engineering, Hankuk Aviation University, Goyang 412–791, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848772

Fig. 1. Microstrip line with asymmetric spiral DGS on the ground.

band-rejection property of the DGS can be utilized in selective suppression of the harmonics [13], [15]. With a symmetric spiral-shaped defect, a single DGS suppresses one harmonic; therefore, two DGSs are cascaded to suppress the second and third harmonics simultaneously. However, the cascaded DGS configuration gives rise to increased insertion loss and its application is limited in the design of small-sized circuits. In this paper, an asymmetric spiral DGS is proposed to suppress the second and third harmonics simultaneously. A single asymmetric DGS effectively suppresses two frequency bands and it requires a small area. The Wilkinson power divider with the asymmetric spiral DGS in a quarter-wave line suppresses two harmonics effectively and the size is reduced due to the slow-wave effect. The proposed Wilkinson power divider operates well as a conventional Wilkinson power divider at the operating frequency. II. ASYMMETRIC SPIRAL DGS AND CIRCUIT MODELING In Fig. 1, the geometry of an asymmetric spiral DGS on the ground plane of the microstrip line is shown, where the dimensions of the spiral-shaped defects on the right- and left-hand sides are different. For an asymmetric spiral DGS, there are two different resonance frequencies corresponding to the left-hand-side defect and the right-hand-side defect, respectively. The transfer characteristics of the microstrip line with the asymmetric DGS have band-rejection properties at the resonance frequencies. The resonance frequencies may be adjusted by changing the dimensions of the spiral defects independently. The transfer characteristics of the symmetric spiral DGS and asymmetric spiral DGS are compared in Fig. 2. The characteristic impedance of the line is assumed to be 50 and the simulation is performed by using IE3D. For the symmetric spiral mm and mm in Fig. 1), DGS (

0018-9480/$20.00 © 2005 IEEE

2140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. Equivalent circuit of an asymmetric spiral DGS section.

Fig. 2. Simulated transfer characteristics of the symmetric (A = A = B = B = 3:0 mm) and asymmetric (A = A = 3:4 mm, B = B = 2:6 mm) spiral DGS (" of substrate = 3:2, thickness of substrate = 1:63 mm, D = 3:9 mm, D = S = G = 0:2 mm).

Fig. 3. Resonance frequencies due to the left-hand-side defect and right-hand-side defect as functions of B=A. A (=A ) is fixed to be 2 mm. A = A and B = B (" of substrate = 3:2; thickness of substrate = 1:63 mm, D = 3:9 mm, D = S = G = 0:2 mm).

there is one resonance frequency, i.e., GHz. However, when the DGS becomes asymmetric, the resonance frequency splits into two different frequencies. For an asymmetric DGS mm and mm, it can be seen with in Fig. 2 that there are two different resonance frequencies, i.e., and GHz. This simulation result shows that a single asymmetric spiral DGS can provide two different resonance frequencies due to the different sizes of defects on the ground plane. For an asymmetric DGS, it would be of interest to see how the resonance frequencies corresponding to the left-hand-side defect and right-hand-side defect behave as the sizes of the defects change. The resonance frequencies due to the left-hand-side defect and right-hand-side defect are plotted in Fig. 3 as functions of the size of the right-hand-side defect when the size of the left-hand-side defect is fixed as mm. The shapes of spiral defects are assumed to be square,

i.e., and . When the size of the right-handside defect is smaller than that of the left-hand-side defect , the resonance frequency due to the right-hand-side defect is higher than that due to the left-hand-side defect. Two resonance frequencies collapse into one frequency when becomes unity (DGS becomes symmetric). When the size of the increase), the resonance right-hand-side defect increases ( frequency due to the right-hand-side defect decreases. Since the size of the left-hand-side defect is fixed, it is observed that the resonance frequency due to the left-hand-side defect changes is around unity. The resonance slowly, except when frequencies can be controlled independently by adjusting the size of each defect. The frequency characteristic of the symmetric DGS can be modeled by a single parallel RLC resonator circuit that blocks the signal as an open at the resonance frequency [14], [15]. The circuit parameters of the equivalent circuit can be extracted from the simulated transfer characteristics. The asymmetric DGS can be modeled by two parallel RLC resonators connected in series, as shown in Fig. 4, since its transfer characteristic has two different resonance frequencies. In the equivalent circuit, the circuit parameters of the first resonator are extracted from the resonance frequency characteristic of the left-hand-side defect, while those of the second resonator are determined from the resonance characteristic of the right-hand-side defect. From the simulation results of the scattering parameter, the circuit parameters of the resonators for the left-hand-side defect and right-hand-side defect are determined, respectively, as [15]

(1)

(2)

(3) Here, subscripts and denote the parameters for the leftis hand-side defect and right-hand-side defect, respectively. is the 3-dB cutoff angular the angular resonance frequency, is the characteristic impedance of the mifrequency, and crostrip line.

WOO AND LEE: SUPPRESSION OF HARMONICS IN WILKINSON POWER DIVIDER USING DUAL-BAND REJECTION BY ASYMMETRIC DGS

Fig. 5.

2141

Asymmetric spiral DGS with a metal wall below the ground plane.

Fig. 8. Resonance frequency shifts as functions of distance between the metal wall and ground plane (h ).

Fig. 6. Calculated transfer characteristics as functions of distance between the metal wall and ground plane (h ).

Fig. 9. (a) Asymmetric spiral DGS for the rejection of second and third harmonics (" of substrate = 3:2; thickness of substrate = 1:63 mm). (b) Equivalent-circuit parameters for the asymmetric spiral DGS in Fig. 9(a).

Fig. 7. Calculated resonance frequencies as functions of distance between the metal wall and ground plane (h ).

III. EFFECTS OF METAL WALL BELOW DGS GROUND If the microwave circuit board is installed in the metallic case, the conductor wall may be placed closely below the ground of the circuit. Since the DGS utilizes the defects on the ground of the microstrip line, the transfer characteristics may be influenced due to the metal wall below the ground plane. It is of interest to examine the effects of the metal wall below the

DGS ground of the microstrip line. In Fig. 5, a metal wall is located below the ground plane of the microstrip line, which has an asymmetric spiral DGS on the ground. The thickness of the substrate is assumed to be , and the distance of the metal wall from the ground plane is . The region between the ground and metal wall is filled with air. Fig. 6 shows the calculated transfer characteristics of the asymmetric DGS when the metal wall is located below the ground. The dimensions of the asymmetric DGS are mm, mm, mm, mm, mm, and mm, the relative is 1.63 mm. dielectric constant of the substrate is 3.2, and When there is no metal wall below the ground plane, the resonance frequencies due to the left- and right-hand-side defects mm, the transfer are 3.0 and 4.5 GHz, respectively. For characteristics and resonance frequencies are nearly the same is as those for the structure without a metal wall. When

2142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 10. S -parameters by the EM simulation and the circuit simulation for the asymmetric spiral DGS.

Fig. 12. Simulated S -parameters of: (a) the original divider and (b) the proposed divider with an asymmetric spiral DGS.

resonance frequency shift rates are illustrated in Fig. 8. For mm, the shift rates are 0.016% for and 0.007% for , which are negligible. The shift rates for 2 mm are 0.919% and , respectively. The calculated results and 0.209% for show that the placement of the metal wall below the ground plane does not change the transfer characteristics of the asymmetric DGS when the distance is larger than 3 mm for the resonance frequencies of 3 and 4.5 GHz. Fig. 11. Geometry of: (a) the original Wilkinson power divider and (b) the Wilkinson power divider with an asymmetric spiral DGS.

IV. SUPPRESSION OF HARMONICS IN WILKINSON POWER DIVIDER

reduced to 2 mm, the transfer characteristics slightly change; however, the changes are nearly not observable. If decreases from 2 mm, it can be observed that the resonance frequencies for the left- and right-hand-side defects increase. In Fig. 7, the resonance frequencies for the asymmetric DGS and denote the resare plotted as functions of , where onance frequencies due to the left- and right-hand-side defects, is larger than 2 mm, the resonance frerespectively. When quency changes from those for the structure without the metal mm, the wall below the ground plane are small. For changes in the resonance frequencies are not negligible. The

The Wilkinson power divider has many applications such as the power distribution of the received signal from the antenna and microwave high power amplifiers. By including the harmonic rejection filter inside the divider, the area for the filter at the output can be reduced [16]. The open stubs can be used at branches of the Wilkinson power dithe centers of the two vider to reject a harmonic signal. If a DGS is used as a harmonic rejection filter, we can reject a harmonic signal in the divider and, furthermore, the size of the divider can be reduced due to the slow-wave effect of the DGS. Since a symmetric DGS can suppress only one harmonic signal, we need to place two DGSs branch to suppress the second and third in cascade at each

WOO AND LEE: SUPPRESSION OF HARMONICS IN WILKINSON POWER DIVIDER USING DUAL-BAND REJECTION BY ASYMMETRIC DGS

Fig. 13. Fabricated 3-dB Wilkinson power divider with asymmetric spiral DGS. (a) Top view. (b) Bottom view.

harmonics simultaneously. However, the area is limited to place two DGSs in cascade at each branch of the divider. The asymmetric DGS is an effective structure to suppress the second and third harmonics simultaneously in the divider [17]. Fig. 9(a) illustrates the geometry of an asymmetric spiral DGS to suppress the second and third harmonics simultaneously in the Wilkinson power divider in which the operating frequency of the divider is assumed to be 1.5 GHz. The left- and right-hand-side defects are designed to resonate at second and third harmonics, i.e., 3.0 and 4.5 GHz, respectively. mm, The designed dimensions of the structure are mm, mm, mm, mm, and mm, and the characteristic impedance of the line is 70.7 . Fig. 9(b) is the equivalent circuit for the asymmetric DGS section of Fig. 9(a). The circuit parameters are calculated from the simulated scattering parameter by using (1)–(3). Fig. 10 shows the -parameters calculated by electromagnetic (EM) simulation for asymmetric DGS in Fig. 9(a) and those calculated by using the equivalent circuit in Fig. 9(b). The IE3D is used in the EM simulation and the equivalent-circuit simulation is performed by employing ADS. In both simulations, it may be observed that the second and third harmonics are suppressed simultaneously by using a single asymmetric DGS. simulation, two data are in good agreement in a wide For frequency range and simulation shows good agreement in rejection bands.

2143

Fig. 14. Measured S -parameters of the fabricated divider with an asymmetric spiral DGS. (a) S . (b) S .

The original Wilkinson power divider is illustrated in Fig. 11(a) and the proposed Wilkinson power divider with an asymmetric spiral DGS is illustrated in Fig. 11(b). Due to the slow-wave effect of the DGS, the size of the proposed divider is ( mm) is smaller than that reduced. The dimension of of ( mm) by 9.1%. Simulated -parameters of the original and proposed dividers are shown in Fig. 12. In Fig. 12(b), approximately 18 –dB suppressions are observed for both the second and third harmonics by inserting an asymmetric spiral DGS into a quarter-wave transmission line of the Wilkinson power divider. It may be observed that the return losses for the operating frequency (1.5 GHz) in two cases are nearly the same, even for the insertion of the asymmetric spiral DGS. Fig. 13 shows the top and bottom views of the fabricated Wilkinson power divider with an asymmetric spiral DGS. The substrate is a GML1000 circuit board with the thickness of 1.63 mm and the dielectric constant of 3.2. In Fig. 14(a), the is shown and the return loss is 40 dB at the measured is plotted operating frequency (1.5 GHz). The measured in Fig. 14(b) as a function of frequency. The suppression for the second harmonic (3 GHz) is 18 dB and that for the third harmonic (4.5 GHz) is 15 dB. The experimental results in Fig. 14 show that the proposed Wilkinson power divider suppresses the second and third harmonic simultaneously using the asymmetric spiral DGS.

2144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

V. CONCLUSION This paper has presented a new technique to suppress two harmonics simultaneously by using dual-band rejection property of a single asymmetric spiral DGS. The frequencies of the rejection bands have been determined from the dimensions of the spiral defects in the left- and right-hand-side sides, respectively. The proposed technique has suppressed two frequency bands by only a single DGS and may be used in the small-sized microwave circuit. The asymmetric DGS has been modeled by two resonators in cascade and the simulation results of circuit modeling were in good agreement with those of EM simulation. From the investigation on the effects of the metal wall below the ground plane on the transfer characteristics of the asymmetric DGS, the distance of the metal wall for the negligible changes in the resonance frequencies have been suggested. The application of the proposed asymmetric spiral DGS in a Wilkinson power divider has shown that the second and third harmonics are successfully suppressed without loss of the performance at the operating frequency. The size of the proposed divider has been reduced compared to the original divider without an asymmetric DGS. In miniaturization and harmonic rejection of various microwave circuits, the proposed technique may have wide applications. REFERENCES [1] V. Radisic, Y. Qian, and T. Itoh, “Broadband power amplifier using dielectric photonic bandgap structure,” IEEE Microw. Guided Wave Lett., vol. 8, no. 1, pp. 13–14, Jan. 1998. [2] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [3] Q. Xue, K. M. Shum, and C. H. Chan, “Novel 1-D microstrip PBG cell,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 403–405, Oct. 2000. [4] C. S. Kim, J. S. Park, D. Ahn, and J. B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guided Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2000. [5] C. S. Kim, J. S. Lim, K. Y. Kang, J. I. Park, G. Y. Kim, and D. Ahn, “The equivalent circuit modeling of defected ground structure with spiral shape,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2002, pp. 2125–2128. [6] J. S. Lim, H. S. Kim, J. S. Park, D. Ahn, and S. W. Nam, “A power amplifier with efficiency improved using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 4, pp. 170–172, Apr. 2001. [7] J. S. Park, H. S. Yun, and D. Ahn, “A design of the novel coupled line bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002. [8] J. S. Park, C. S Kim, H. T. Kang, G. Y. Kim, K. H. Park, and D. Ahn, “A novel resonant microstrip RF phase shifter using defected ground structure,” in 30th Eur. Microwave Conf. Dig., vol. 2, Oct. 2000, pp. 72–75. [9] C. S. Kim, J. S. Lim, J. S. Park, D. Ahn, and S. Nam, “A 10 dB branch line coupler using defected ground structure,” in 30th Eur. Microwave Conf. Dig., vol. 3, Mar. 2001, pp. 68–71.

[10] J. S. Lim, S. W. Lee, C. S. Kim, J. S. Park, D. Ahn, and S. Nam, “A 4 : 1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, pp. 124–126, Mar. 2001. [11] C. S. Kim, J. S. Park, D. Ahn, and G. Y. Kim, “A design of 3 dB power divider using slow wave characteristic,” J. Korea Electromagn. Eng., vol. 3, no. 3, pp. 694–700, Sep. 1999. [12] J. S. Lim, J. S. Park, Y. T. Lee, D. Ahn, and S. Nam, “Application of defected ground structure in reducing the size of amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 261–263, Jul. 2002. [13] J. S. Lim, Y. C. Jeong, D. Ahn, and S. Nam, “A technique reducing the size of microwave amplifiers using spiral-shaped defected ground structure,” J. Korea Electromagn. Eng., vol. 14, no. 9, pp. 904–911, Sep. 2003. [14] D. Ahn, J. S. Park, C. S. Kim, J. N. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [15] I. S. Lim and B. S. Lee, “Design of defected ground structures for harmonics control for active microstrip antenna,” in Proc. IEEE AP-S Int. Symp., vol. 2, Jun. 2002, pp. 852–855. [16] K. H. Yi and B. K. Kang, “Modified Wilkinson power divider for nth harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 178–180, May 2003. [17] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider by using asymmetric spiral DGS,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, 2004, pp. 467–470. [18] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

Duk-Jae Woo was born in Ansung, Korea, on October 1, 1974. He received the B.S. and M.S. degrees in electronic engineering from Hankuk Aviation University, Goyang, Korea, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree at Hankuk Aviation University. His main research interests are antennas and microwave circuit components.

Taek-Kyung Lee (S’83–M’90) was born in Gyeongbuk, Korea, on January 11, 1958. He received the B.S. degree in electronic engineering from Korea University, Seoul, Korea, in 1983, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology, Seoul, Korea, in 1985 and 1990, respectively. From May 1990 to April 1991, he was a Post-Doctoral Fellow with the University of Texas at Austin, under a grant from the Korea Science and Engineering Foundation. From August 1991 to February 1992, he was with the Korea Advanced Institute of Science and Technology. In March 1992, he joined the faculty of Hankuk Aviation University, Goyang, Korea, where he is currently a Professor with the School of Electronics, Telecommunication, and Computer Engineering. From July 2001 to July 2002, he was an Associate Visiting Research Professor with the University of Illinois at Urbana-Champaign. His research interests include computational electromagnetics, antennas, analysis and design of microwave passive circuits, and geophysical scattering.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2145

40-Gb/s Wide-Band MMIC pHEMT Modulator Driver Amplifiers Designed With the Real Frequency Technique Eric Kerhervé, Member, IEEE, Cristian Pavao Moreira, Pierre Jarry, Senior Member, IEEE, and Laurent Courcelle

Abstract—With the use GaAs pseudomorphic high electron-mobility transistor technology, the bandwidth performances of Cherry–Hooper driver amplifiers need to be improved. To fulfill these requirements, we propose an original driver circuit topology dedicated to 40-Gb/s optical communication systems. To flatten the transducer gain response of the circuit, passive networks have been added in the design. These networks have been optimized by means of the real frequency technique (RFT). A modified procedure of the classical RFT is introduced to perform the optimization in the presence of an overall resistive feedback. Index Terms—Driver amplifier, monolithic microwave integrated circuit (MMIC), real frequency technique (RFT), wide-band.

I. INTRODUCTION

R

EQUIREMENTS for 40-Gb/s lightwave communication systems lead to the use of essentially distributed or differential Cherry–Hooper derived topologies for the design of transmitter amplifiers [1]–[3]. For Cherry–Hooper differential drivers designed in GaAs pseudomorphic high electron-mobility transistor (pHEMT) technology, the output impedance of the first transimpedance stage (TIS) cannot be made as low as desired. The degree of impedance mismatch between the TIS input and the next follower stage output is then not strong enough, leading to the reduction of the pulse bandwidth and steepness at the output of the amplifier [4], [5]. To compensate the gain degradation at high frequency, methods such as the ac-coupled current source technique have been deployed in differential stages [5]. Together with this technique, alternative current source reusing techniques can also be applied in nondifferential amplifiers topologies, enabling to increase the bandwidth of the amplifier. For a best improvement, lumped inductances or inductive transmission lines are also included in series with the drain bias resistance for shunt peaking. This paper is organized as follows. In Section II, we present the principles of the active follower stage. After introducing the basic amplifier topology, we propose an original method to increase the bandwidth of a follower stage included in a two-gain stages modulator driver. The circuit involves the use of an inductance that can be formed by the inductive effect of the dc Manuscript received October 1, 2004; revised February 17, 2005. The authors are with the IXL Laboratory of Microelectronics, University of Bordeaux, 33405 Talence, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848804

voltage feeds. Simulation results show that the transducer gain is degraded in the middle of the band by a drop of around 5 dB [6]. For flattening the response, equalizers have been added in the circuit topology. These equalizers, or passive networks, have been optimized by means of the real frequency technique (RFT). In Section III, we briefly introduce the RFT optimization procedure. First, we cover some aspects related to the classical RFT optimization procedure. Next, we propose a modified version of the RFT method that allows the optimization of a passive equalizer with a feedback included in its circuit topology. Finally, in Section IV, two design examples using the two optimization procedures (classical and modified, respectively) are presented. The first example comprises a 2-V monolithic-microwave integrated-circuit (MMIC) amplifier including the improved follower topology and an equalizer optimized with the classical RFT procedure. It is designed using the OMMIC Foundry 0.2- m GaAs enhanced/depletion (E/D)-mode pHEMT process on a microstrip substrate. Simulation and measurement results are presented and compared. The second example consists of a 3-V MMIC amplifier with the improved follower stage and a resistive feedback. The circuit has been optimized using the modified RFT procedure and designed on a coplanar-waveguide (CPW) substrate. II. PRINCIPLE OF THE ACTIVE FOLLOWER STAGE A. Basic Amplifier The basic amplifier circuit is composed by two common source stages (supposed to be transimpedance and transadmittance cells) and a follower stage inserted between them (Fig. 1). The output transistor must be large enough to provide high-voltage swing on a 50- load. The strongly capacitive loaded follower stage includes a source follower transistor biased with a resistance , diode, and relatively wide source is delivered follower transistor . The quiescent current of by a current source. The follower stage provides a 2.5-V dc-level shift. This voltage is required for maximum linearity range and maximum transconductance biasing of the preceding common source stage. The output impedance of the input common source stage is then relatively high and is a dominant factor that limits the bandwidth of the follower cell. B. Active Follower Stage In order to increase the bandwidth of the amplifier, here we present a method that enables the follower stage providing gain

0018-9480/$20.00 © 2005 IEEE

2146

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Basic amplifier topology. Fig. 3. Transducer gain of the amplifier with and without the proposed active follower.

Fig. 2. Schematic of the amplifier including the active follower part.

at high frequency. It consists in inserting a bootstrap high-pass network ( , , , , ) between the first common source stage and the bias current source (Fig. 2). A similar technique of current source reusing was applied in GaAs field-effect transistor (FET) technology [7]. In our is used to bias the current source case, the capacitance as a common gate stage at high frequency. The connection can then be interpreted as a bandpass cascode pair. creates a peak and can be used to The inductive line control the stability of the cascode stage, as described in [5] and [8]. In our case, these lines can also be used to control the overall stability of the amplifier. of the high-pass network can The total shunt inductance be built with an “on-chip” inductive line in series with the parasitic inductance of the bonding wire. The simulated small-signal gain response of the amplifier, including the active follower, is plotted in Fig. 3 (solid line). It is compared to the basic amplifier topology response.

Fig. 4.

Schematic of the amplifier with active follower and feedback.

passive elements. In fact, a sensitive capacitor or inductor in the kilohertz range reach sizes that are incompatible with the integration requirements. Including a resistive feedback (Fig. 4) also allows reducing gain at low frequency without disturbing the high-frequency gain. The feedback resistance does not affect the quiescent point and are biased with V. The effect of a since 100- feedback resistor on the amplifier response is shown in Fig. 5. Moreover, without feedback, the larger is the gatewidth of the output transistor, the higher is the difference between lowand high-frequency gain values. For this reason, the architecture built without feedback cannot be applied to drive a 50- load at a voltage superior to 2 V if we want to maintain the ripple at an acceptable value over the band.

C. Resistive Feedback We can verify from Fig. 3 that the gain of the amplifier, including the active follower at low-frequency value, is several decibels higher than the mean gain value over the band. This variation cannot be compensated by means of lossless integrated

III. RFT A. Formalism The RFT is a numerical optimization method used for the synthesis of passive networks, also called equalizers. In this

KERHERVÉ et al.: 40-Gb/s WIDE-BAND MMIC pHEMT MODULATOR DRIVER AMPLIFIERS

2147

where (2) (3) The overall transducer gain of the cascaded two-port – – is given by (4) as follows:

(4) Fig. 5. Simulated transducer gain of the amplifier with and without resistive feedback.

For optimizing the transducer gain, the procedure is summarized as follows. • First, the desired gain value is defined for each optimization frequency . A function is obtained. • The unknown vector is initialized. • The lossless property of the equalizer leads to relation (5) as follows: (5)

Fig. 6. Equalizer in the double-matching situation.

method, the parameters of the network are directly expressed through its rational positive real function property. This avoids the use of a prescribed transfer function such as the Butterworth or Chebyshev function. On the one hand, this method is particularly useful for designing an equalizer on complex terminations described by numerical data. On the other hand, it allows deliberately choosing a structural form for the equalizer such as a low-pass ladder network [9]. The RFT has been extended for the matching of active devices. It has been also generalized to multistage design, leading to series cascaded two-port circuit topology. When the equalizer is constrained by a complex generator and complex load (i.e., double matching situation), the network can be described by the Belevitch representation of its scattering , called parameters. Three polynomials of the variable , , and , are used to characterize the passive network. The rational positive real property is brought by the Hur(i.e., all the coefficients witzian character of the polynomial have positive real values). In the case of a lossless pasof sive ladder network, the equalizer is uniquely defined by the . The coefficients of are then used in the polynomial method as optimization variables. Let us consider the situation illustrated by Fig. 6. represents the scattering matrix of the equalizer to be opand represent either the scattering matrix of the timized. generator and load equivalent Darlington two-port or the scattering matrices of two active stages. These can be numerical data. Considering a low-pass ladder structure with elements for can be expressed by (1) the equalizer, the scattering matrix

(1)

is obtained by spectral factorization. The polynomial The scattering matrix of the equalizer is then completely defined as follows. • For each optimization frequency , the transducer gain is computed by relation (4). • An error function is then built using the least square criterion (6) where is the number of optimization frequencies. • The error function is minimized according to the unknown vector thanks to the More routine. Other parameters such as input and output voltage standingwave ratio (VSWR) or group delay are numerically determined from the matching network scattering parameters. The algorithm has been extended to a multiobjective optimization. Moreover, an iterative procedure can be built to comply with a multistage design [10], [11]. B. Application From the architectures presented in Section II, we have decided to include passive equalizers in the structure of their circuits. However, the restricted design area imposed by the monolithic constraints does not allow us to consider either a high number of passive networks or a high number of passive elements by equalizer. Moreover, the classical multistage RFT procedure leads to having to deal with series cascaded two-port building blocks. For this reason, the gain stages in the chain must be independent from the others. Here, we present two examples of application. • The first synthesis is based on the architecture including the active follower stage (without feedback). It has been

2148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 7. Application of the classical RFT procedure.

Fig. 9. Flow graph of the four-port/two-port connection.

Fig. 8. Illustration of the modified RFT procedure using a four-port/two-port equalizer connection.

integrated on a microstrip substrate. The circuit is considered as two independent parts seen as a two cascaded two-port (Fig. 7). A single passive network to be optimized is inserted between these two independent parts. • The second design example relays on the topology including the resistive feedback. To take into account the dependence between the two gain stages introduced by the feedback, the RFT procedure was modified. Instead of considering two independent two-port for the gain blocks, the overall circuit is represented by a four-port. Two ports are then defined to be the circuit input and output, and the two others are connected to the passive equalizer (Fig. 8). In such a configuration, the parameters to be optimized (transducer gain, input and output VSWR) can be computed thanks to the Mason rules applied to the flow graph of the four-port/twoport (equalizer) connection. A brief introduction of the modified RFT procedure is presented as follows. • Mason rules: For an electrical network represented by its flow graph, the gain, defined as the relation of a reflected wave vector and an incident wave vector , can be represented by

(7) with the determinant of the graph (8) represents the th path going from

to

.

• The terms represent the loops of the graph. The terms are the two-by-two disjoined loops, terms are the three-by-three disjoined loops, and so on. is computed in a similar manner as The determinant determinant . Here, we may consider only the loops that are nonadjacent to paths . • Flow graph: Fig. 9 illustrates the flow graph of the fourport/two-port connection. One defines port 1 of the fouras being the circuit input, and port 4 port as being the circuit output. Ports 2 and 3 of the four-port are connected to the two-port matching structure, of which as the matrix is defined as being . One defines being the matrix of the four-port. • Determinant of the graph: If we analyze the different loops possibilities of the flow graph (Fig. 9), we obtain

(9) One can verify that only and are two-by-two disjoined loops. There are no three-by-three disjoined loops. The determinant of the graph is then

(10)

• Transducer gain: The transducer gain of the amplifier, considering the four-port/two-port connection, is defined as (11)

KERHERVÉ et al.: 40-Gb/s WIDE-BAND MMIC pHEMT MODULATOR DRIVER AMPLIFIERS

2149

One identifies nine different paths that enable going to as follows: from

Fig. 10.

Photography of the die. The circuit size is 1

2 1.5 mm .

(15) The reflection coefficient is computed as follows: (12) (16)

Therefore, the transducer gain can finally be computed according to the following expression:

• Output return loss: The circuit output reflection coefficient is defined as (13) • Input return loss: The reflection coefficient at the input of the circuit is given by (14) One identifies nine different paths that allow going from to as follows:

(17) Once the flow graph is symmetric, the computation of the output reflection coefficient is identical to that of the input reflection coefficient. One must change only ports 4 and 1, ports 2 and 3, and the coefficient indexes of the matrix . IV. CIRCUIT DESIGN AND RESULTS A. 2-V

MMIC Amplifier

In the first application, a driver amplifier has been designed using a 0.2- m GaAs pHEMT technology manufactured by the OMMIC Foundry (Fig. 10). It provides 2 V on a 50- load. The source follower stage uses enhancement HEMTs with V and measured GHz. The common source stages and the current source run with depletion high electron-mobility V and GHz. transistors (HEMTs) with The gatewidth of the output transistor is set to 200 m. The circuit is integrated on a microstrip substrate. Lumped passive components are metal–insulator–metal (MIM) capacitors and NiCr or active layer resistors. is composed by an The “parasitic” shunt inductance on-chip inductive transmission line in series with the parasitic inductance of a bond wire. We have assumed that the inductance is larger than 0.5 nH.

2150

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Simulated and measured gain response of the manufactured amplifier.

S

Fig. 13. Simulated and measured 22-parameter of the amplifier.

Fig. 12.

Fig. 14.

S

Simulated and measured 11-parameter of the amplifier.

The circuit also includes a shunt dumping resistor at the drain biasing side to prevent the follower stage from unwanted oscillations. The equalizer synthesized by the classical RFT procedure is composed by two passive elements. The solution found is pF nH

(18)

For this circuit, we present on-wafer measurement results. Momentum co-simulation results using Agilent ADS Momentum software are also presented and compared to measurements. The small-signal gain from 0.5 to 60 GHz is plotted in Fig. 11. The measured value is 9.8 2.5 dB over the band. Input and output return losses, respectively, are plotted in is less than 9 dB from dc to 20 GHz Figs. 12 and 13. and less than 5 dB from 20 to 40 GHz. The stability factor is presented in Fig. 14.

B. 3-V

K

Simulated and measured stability factor ( ).

MMIC Amplifier

The amplifier including the resistive feedback has been designed on a CPW substrate. This medium has advantages compared to the microstrip since vias are not required. Moreover, the drain biasing access can be made low inductive by means of extended bias plans built with the second metallization layer. The compactness of the resulting design allows implanting the resistive feedback and some subsequent passive equalizers (Fig. 15). A first inter-stage equalizer has been optimized using the modified RFT procedure. If we consider a four-element low-pass ladder, the solution obtained for the inter-stage equalizer is pF nH pF nH

(19)

KERHERVÉ et al.: 40-Gb/s WIDE-BAND MMIC pHEMT MODULATOR DRIVER AMPLIFIERS

Fig. 15.

Circuit configuration including the optimized equalizers.

Fig. 16.

Layout of the 3-V

MMIC amplifier (0.85

2 1 mm ).

Fig. 18. Simulated equalizers.

Fig. 17. Simulated transducer gain of the amplifier with and without equalizers.

An input and output equalizer have been added and optimized with the classical RFT procedure. The solution found for the input network is pF nH pF nH

2151

S 11-parameter

of the amplifier with and without

S

Fig. 19. Simulated 22-parameter of the amplifier with (solid line) and without (dotted line) equalizers.

(20)

For the output equalizer, we obtain pF nH pF nH

(21)

The circuit has been simulated using the electrical models provided by the OMMIC Foundry for the ed02AH process. Due to the feedback effect, a higher gatewidth m for the output stage transistor could be chosen. This could be set without relevant penalty on low-frequency/high-frequency gain difference, as mentioned in Section II-C.

Fig. 20.

K

Simulated stability factor ( ) of the amplifier with equalizers.

The layout of the CPW circuit is presented in Fig. 16. Figs. 17–19 present the -parameters of the 3-V MMIC amplifier. To enlighten the task of the modified RFT procedure, some simulation results obtained with and without equalizers are compared. We can notice that the additional passive

2152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

networks can significantly improve the flatness of the transducer gain response and decrease the input and output return losses. The transducer gain is 13.6 1.2 dB from 100 kHz to 42 GHz. The maximum input return loss is 5.5 dB and the maximum output return loss is 7 dB. Fig. 20 shows the simulated stability factor ( ) of this second amplifier.

V. CONCLUSION A method to extend the bandwidth of a MMIC amplifier including a source follower stage has been proposed. To flatten the transducer gain response, passive equalizers have been added in the circuit topology. These passive networks have been optimized by means of the RFT. A first amplifier has been designed using the classical RFT procedure on a microstrip substrate. It provides a 2-V output voltage on a 50- load. The amplifier was manufactured using the 0.2- m GaAs E/D-mode pHEMT process from the OMMIC Foundry. Measurement results validate the proposed active follower stage. The manufactured amplifier achieves a transducer gain of 9.8 dB 2.5 dB over the band (dc–40 GHz), an input return loss less than 9 dB from dc to 20 GHz, and less than 4 dB from 20 to 40 GHz. A second amplifier including a resistive feedback and providing a 3-V output voltage has also been synthesized and simulation results are presented. It incorporates an equalizer optimized with a modified RFT procedure. Intended for 40-Gb/s optical transmission systems, this second driver amplifier achieves a transducer gain of 13.6 1.2 dB, a maximum input return loss of 5.5 dB, and a maximum output return loss of 7 dB from 100 kHz to 42 GHz. Both amplifiers are unconditionally stable over the band of interest.

REFERENCES [1] J. M. Carroll, A. Coutant, M. S. Rein, C. F. Campbell, and E. Reese, “0.25 m pHEMT 40 Gb/s E/0 modulator drivers,” in IEEE MTT-S Int. Microwave Symp. Dig, vol. 1, Jun. 2002, pp. 489–492. [2] Y. Baeyenss, P. Paschke, V. Houtsma, A. Leven, R. Hocke, S. Weisser, and P. Roux, “Compact high-gain lumped differential 40 Gb/s driver amplifiers in production 0.15 spl m pHEMT technology,” in IEEE Radio Frequency Integrated Circuits Symp., Jun. 2003, pp. 67–70. [3] H. M. Rein and M. Möller, “Design considerations for very-high-speed Si-bipolar IC’s operating up to 50 Gb/s,” IEEE J. Solid-State Circuits, vol. 31, no. 8, pp. 1076–1090, Aug. 1996. [4] Z. Lao, M. Berroth, V. Hurm, R. Bosch, P. Hofmann, A. Huelsmann, C. Moglestue, and K. Köhler, “25 Gb/s AGC amplifier, 22 GHz transimpedance amplifier and 27.7 GHz limiting amplifier IC’s using AlGaAs/GaAs-HEMT’s,” in IEEE Int. Solid-State Circuit Conf. Tech. Dig., Feb. 1997, pp. 356–357. [5] Z. Lao, A. Thiede, U. Nowotny, H. Lienhart, V. Hurm, M. Schlechtweg, J. Hornung, W. Bronner, K. Köhler, A. Hulsman, B. Raynor, and T. Jakobus, “40-Gb/s high-power modulator driver IC for lightwave communication systems,” IEEE J. Solid-State Circuits, vol. 33, no. 10, pp. 1520–1526, Oct. 1998. [6] L. Courcelle, E. Kerhervé, and P. Jarry, “An improved follower stage for the design of 40 Gb/s wide-band MMIC pHEMT modulator driver amplifiers,” in Proc. 34th Eur. Microwave Conf., vol. II, 2004, pp. 905–908. [7] I. E. Ho and R. L. Van Tuyl, “Inductorless monolithic microwave amplifiers with directly cascaded cells,” in IEEE MTT-S Int. Microwave Symp. Dig, 1990, pp. 515–518. [8] R. Majidi-Ahy, C. Nishimoto, M. Riaziat, M. Glenn, S. Silverman, S. L. Weng, Y. C. Pao, G. Zdasiuk, S. Brandy, and Z. Tan, “100-GHz highgain InP MMIC cascode amplifier,” IEEE J. Solid-State Circuits, vol. 26, no. 10, pp. 1370–1378, Oct. 1991. [9] H. J. Carlin and P. P. Civalleri, Wideband Circuit Design. Boca Raton, FL: CRC, 1998.

[10] E. Kerhervé, M. Hazouard, and P. Jarry, “The real frequency technique applied to narrow band MMIC active filter with transmission zeros at finite frequencies,” in 8th IEEE Int. Electrical Circuits Systems Conf., Sep. 2001, pp. 161–164. [11] E. Kerhervé, P. Jarry, and P. M. Martin, “Design of broad-band matching network with lossy junctions using the real-frequency technique,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 3, pp. 242–249, Mar. 1998.

Eric Kerhervé (M’96) was born in Paris, France, in 1966. He received the M.Sc. degree in electronics from the University of Brest, Brest, France, in 1989, and the Ph.D. degree in electrical engineering from the University of Bordeaux, Talence, France, in 1994. His doctoral thesis concerned microwave low-noise amplifier (LNA) design for satellite communications. In 1996, he became an Associate Professor with the University of Bordeaux. He is currently with the IXL Microelectronics Laboratory, French National Science Research Center (UMR-CNRS 5818), University of Bordeaux. His research interests include microwave and RF circuits, especially LNA, power amplifiers, and filters. He has authored or coauthored over 70 technical journal and conference papers.

Cristian Pavao Moreira was born in Terra Rica, Parana, Brazil, in 1978. He received the M.Sc. degree in telecommunications from the Universidade Federal do Parana (UFPR), Curitiba, Parana, Brazil, in 2002, and is currently working toward the Ph.D. degree at the University of Bordeaux, Talence, France. He is currently with the IXL Laboratory of Microelectronics, University of Bordeaux. His research interests are in the communication systems and RF integrated-circuit (RFIC) design including low-noise and wide-band amplifiers, mixers, and RF receivers in BiCMOS and GaAs technologies.

Pierre Jarry (M’86–SM’03) was born in Saint-Yrieix-la-Perche, France, in 1946. He received the Master degree in physics and Doctorate degree in electronics from the University of Limoges, Limoges, France, in 1969 and 1974, respectively. After lecturing and doing research in the microwave area with Limoges University, he became a Professor with the University of Brest, where he led the Laboratory of Electronics and Telecommunication Systems (LEST), which is affiliated with the French National Science Research Center (CNRS). He is currently with the IXL Laboratory of Microelectronics, University of Bordeaux, Talence, France. He has authored or coauthored over 260 technical papers and internal reports concerning microwave and RF circuit synthesis. His is particularly involved with computer-aided design of microwave integrated power amplifiers, distributed filters, transmission zeros microwave filters, and linear phase filters. He authored Microwave Filters and Amplifiers (Kerala, India: Res. Signpost (Org.), 2005). He serves on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Design. He was a referee for the International Journal of Circuit Theory and Applications. Dr. Jarry is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was a referee for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PAR I: FUNDAMENTAL THEORY AND APPLICATIONS.

Laurent Courcelle was born in Paris, France, in 1977. He received the Ph.D. degree in electrical engineering from the University of Bordeaux, Talence, France, in 2004. He is currently with the IXL Laboratory of Microelectronics, University of Bordeaux, where he is involved with the RFT and its applications to MMIC design. His research interests include narrow-band amplifiers and ultrawide-band amplifiers dedicated to optical communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2153

CAD of Complex Passive Devices Composed of Arbitrarily Shaped Waveguides Using Nyström and BI–RME Methods Máriam Taroncher, Student Member, IEEE, Ana Vidal, Member, IEEE, Vicente E. Boria-Esbert, Senior Member, IEEE, Stephan Marini, Santiago Cogollos, Jordi Gil, and Benito Gimeno, Member, IEEE

Abstract—In this paper, a novel computer-aided design (CAD) tool of complex passive microwave devices in waveguide technology is proposed. Such a tool is based on a very efficient integral-equation analysis technique that provides a full-wave characterization of discontinuities between arbitrarily shaped waveguides defined by linear, circular, and/or elliptical arcs. For solving the modal analysis of such arbitrary waveguides, a modified version of the well-known boundary integral–resonant-mode expansion (BI–RME) method using the Nyström approach, instead of the traditional Galerkin version of the method of moments, is proposed, thus providing significant savings on computational costs and implementation complexity. The novel theoretical aspects of this Nyström approach, as well as their impact on the original BI–RME formulation, are fully described. Comparative benchmarks between this new technique and the classical BI–RME formulation using Galerkin are successfully presented for the full-wave analysis of frequently used irises (i.e., rectangular cross-shaped and circular multiridged) and for the CAD of complex waveguide components (such as rectangular waveguide filters considering mechanization effects and dual-mode circular waveguide filters with elliptical irises). Index Terms—Integral equations (IEs), method of moments (MoM), waveguide components, waveguide discontinuities.

I. INTRODUCTION

A

RBITRARILY shaped waveguides, whose cross sections are defined by a combination of linear, circular, and/or elliptical waveguides, are increasingly used in passive waveguide components (e.g., filters, diplexers and multiplexers, directional couplers, power dividers and combiners, orthomode transducers, polarizers, twisters, and mode launchers) [1]. Most available computer-aided design (CAD) tools used for such devices are based on numerical meshing techniques, i.e., the finite-element (FE) method [2], the finite-difference time-domain (FDTD) method [3], and the transmission-line

Manuscript received October 1, 2004; revised February 28, 2005. This work was supported by the Ministerio de Ciencia y Tecnología, Spanish Government, under the Special Action of Space National Plan ESP2001-4547-PE. M. Taroncher, A. Vidal, V. E. Boria-Esbert, S. Marini, S. Cogollos, and J. Gil are with the Departamento de Comunicaciones, Escuela Téchnica Superior de Ingenieros Telecomunicación, Universidad Politécnica de Valencia, E-46022 Valencia, Spain (e-mail: [email protected]; [email protected]). B. Gimeno is with the Departamento Física Aplicada–Instituto de Ciencias de los Materiales de la Universtat de Valencia, Universidad de Valencia, E-46100 Burjassot, Valencia, Spain. Digital Object Identifier 10.1109/TMTT.2005.848795

matrix (TLM) method [4], which do have strong requirements on CPU time and memory storage. To alleviate these problems, several modal methods, such as those based on the generalized scattering matrix (GSM), generalized admittance matrix (GAM), or generalized impedance matrix (GIM) have been successfully proposed [5]. The above-mentioned modal methods do always require to know the complete modal chart (cutoff frequencies) of the involved arbitrarily shaped waveguides, as well as the coupling integrals between the modal vectors of such cascaded waveguides. Among the many different approaches proposed in the technical literature for the modal chart computation, the wellknown boundary integral–resonant-mode expansion (BI–RME) method has revealed to provide very accurate results in short computation times [6]. Recently, this method has been revisited in order to cope with arbitrary profiles defined by the combination of linear, circular, and/or elliptical waveguides [7]. Another advantage of the BI–RME technique is that, without hardly any additional CPU effort, the coupling coefficients between the arbitrarily shaped waveguide and a standard rectangular contour enclosing the arbitrary profile can be computed (see [8] and [9]). Both practical BI–RME implementations described in [6] and [7] are based on the Galerkin version of the method of moments (MoM) [10], where the basis and testing functions are chosen to be overlapping piecewise parabolic splines. Such a choice does obviously introduce additional complexities to the practical solution of the BI–RME integral equations (IEs): first, the contribution of each parabolic piece to several matrix entries must be carefully accounted for (see [6]) and, secondly, the connection of different types of segments (linear, circular, and elliptical ones) must be treated as described in [7]. Furthermore, following the Galerkin approach, the regular terms of the BI–RME matrix elements become single and double integrals that can be solved numerically via a Gauss quadrature rule (see [7]), which can require a large number of integrand evaluations for high-accuracy applications. An alternative way to solve the cited IEs, thus avoiding the previous restrictions related to the Galerkin approach, is the so-called Nyström method described in [11]. This solution is much simpler than the Galerkin scheme since each matrix entry in the eigenvalue problem does only involve a function evaluation. However, the main disadvantage is that the Nyström method tends to increase the singularity problems that also arise with Galerkin.

0018-9480/$20.00 © 2005 IEEE

2154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1) must be completely enclosed within a standard rectangular waveguide of cross section . To characterize the arbitrary waveguides under consideration, we will only present the novel theoretical aspects related to the application of the Nyström method. Special emphasis will be given to the suitable treatment of the singular integrals that appear in some BI–RME matrix elements. A. TM Modes Fig. 1. Arbitrarily shaped waveguide (with cross section S ) to be analyzed by the combination of Nyström and BI–RME methods.

The longitudinal component of the electric field at a generic observation point inside (see Fig. 1) may be represented as follows (cf. (7) in [6]):

The Nyström method is a simple and efficient point-based segmentation solution for solving IEs, where integrals are approximated by weighted sums of function evaluations

(1)

(2)

When IEs involve singular kernels [11], it is necessary to locally adapt the quadrature weights to the singularity regions [12], [13]. In this study, the new formulation leads to singular and hyper-singular operators (TE case of the BI–RME formulation) that are specifically treated. The authors have firstly proposed the application of the Nyström and BI–RME methods to the modal chart determination of arbitrarily shaped waveguides in [14] and [15] where no theoretical details about the hyper-singularity treatment of the TE case are explicitly given. This paper describes the fast and simple implementation of the Nyström-based BI–RME method for the accurate analysis of passive devices composed of waveguides with arbitrary contours (defined by linear, circular, and/or elliptical arcs). The integration of such a method within a CAD tool of complex passive devices, which is based on the characterization of waveguide discontinuities by means of an IE technique described in [16], is also discussed in this paper. Making use of the novel Nyström approach proposed, the complete modal charts of a rectangular cross-shaped iris and of a multiridged circular waveguide have been successfully computed. The more efficient CAD tool developed has been used in the accurate design of an inductively coupled rectangular waveguide filter considering rounded corners, and a dual-mode filter in a circular waveguide with elliptical irises and triangular tuning elements. The gain in efficiency while preserving accuracy due to the Nyström approach has been successfully measured by comparing our results with those provided by the Galerkin solution.

where is the rapidly convergent scalar two-dimensional is the longitudinal component (2-D) Green’s function and and are, respecof the current density . Moreover, tively, the cutoff wavenumber and normalized scalar potential of the th TM mode of the surrounding standard rectangular waveguide ( in Fig. 1). To compute the TM modes, the boundary condition for the is first imposed axial component of the electric field on . The arbitrary contour is then segmented following the Nyström method, where the central point and the length of a segment are only needed. With the Nyström approach, the integral is approximated at each point by a simple one-point trapezoidal quadrature, being the quadrature weights equal to the length of the segments of the discretization , as described in [17]. The scalar Green function is singular when and observation points coincide. the discrete source In such a case, the contribution of the segment that produces the singularity is isolated and treated separately. The following system of equations is then obtained:

II. NYSTRÖM FORMULATION

where is the number of points used for the segmentation of the perturbed contour. Equations (3) and (4) lead to an eigenvalue problem similar to the one obtained with the original Galerkin-based BI–RME formulation, which can be expressed in matrix form as

Here, the formulation related to the combination of the Nyström and BI–RME methods is fully described. The arbitrarily shaped waveguide to be considered has a cross section defined by a combination of linear, circular, and/or elliptical arcs (see in Fig. 1). The arbitrary contour of such a waveguide (defined by the tangent vector and a suitable abscisa , also shown in

(3) (4)

(5)

TARONCHER et al.: CAD OF COMPLEX PASSIVE DEVICES COMPOSED OF ARBITRARILY SHAPED WAVEGUIDES

where is the unitary matrix, is the null matrix, and is the null matrix. The detailed expressions for the other matrices are (6)

2155

2) Circular Arcs: (12) 3) Elliptical Arcs:

(7) (13) (8) It must be noticed that the expressions for the elements of the and matrices are different to the equivalent ones deduced for the Galerkin approach (cf. [6, eqs. (16b) and (16c)]), and their new computation is much more efficient and simpler. In the generalized eigenvalue problem defined by (5), is a , whereas column vector including the modal coefficients contains the terms , where the quadrature weights have been included to preserve the symmetry of the involved are the matrices. The solution of the eigenvalue problem TM cutoff wavenumbers of the arbitrary waveguide. As it happens with the Galerkin solution of the BI–RME method, the previous TM generalized eigenvalue problem can also be cast into the following standard form:

where

, and , with and being the elliptical parametric initial and final angles for , as defined in [7]. If the arbitrary waveguide supports TEM modes, the Nyström approach just outlined can also be employed for solving such problem. Following the same procedure explained in [6], the TEM solution may be obtained from a linear system of equamatrix. tions that involves the B. TE Modes The transversal component of the electric field at a generic observation point inside (see Fig. 1) may be represented as follows (cf. [6, eq. (6)]):

(9) Finally, we must focus on the accurate computation of the diof the matrix, which must cope with agonal elements the singularity due to the evaluation of the scalar Green function with coincident observation and source points. In this case, a similar procedure to the one described in [7] for the Galerkin approach will be followed. First, the original scalar 2-D Green and a singular expresfunction can be split into a regular sion. This singular expression is then regularized following the technique explained in [18], giving as a final result a regular part and a final singular term that of the singular expression can be analytically integrated. Consequently, the following exmatrix is obtained pression for the diagonal elements of the in our case:

(10) can be directly computed, and the where the regular term must be analytically solved. It should be singular integral noted that the integral solution depends on the geometry of the (linear, circular, or elliptical geometry), whose desegment tailed expressions for each kind of arc is as follows. 1) Linear Arcs: (11)

(14) is the solenoidal dyadic Green function and is the transversal component of the unknown current density . On the other hand, and are, respectively, the cutoff wavenumber and normalized transversal electric field of the th TE mode of the surrounding standard rectangular waveguide related to the BI–RME method. To compute the TE modes, the boundary condition to the tanis first imgential component of the electric field posed on . It should be noticed that the evaluation of the first term of (14) requires the numerical computation of a double partial derivative of with respect to the observation and source contour parameters and . The derivatives of the Green functions are usually avoided in the literature (see [13]) because they present stronger singularities than the original functions. However, the double derivative of the scalar Green function has been obtained and accelerated, as shown in Appendix I. Such double derivative presents a hypersingularity that has been treated via the traditional method of adding and subtracting an asymptotic term [11], [12] where

(15)

2156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

where it can be easily proven that the second term is equal to zero when the arbitrary geometry is composed of closed contours and/or arcs connected to the surrounding standard waveguide, which applies to the considered devices. Once this treatment is employed in the application of the Nyström method, the following expressions are obtained:

same technique proposed before for the rigorous treatment of the singularities can also be followed, but considering in this case the unitary tangent vector present in (21b). In this case, the singular integrals are defined as

(23) whose an analytical solution for each kind of arc is as follows. 1) Linear Arcs:

(24)

(16) where is the angle between the linear arc and the -axis. 2) Circular Arcs: (17) which can be cast into matrix form as

(25) (18)

where is the unitary matrix and is the null matrix. The expressions for the other matrices that compose the eigenvalue problem are (19) (20a)

where the selection of the sign is related to the direction of the segment, clockwise or counterclockwise . Moreover, and are, respectively, the and components of vector , and with and being the parametric circular initial and final angles for , as defined in [7]. The definition of the function can also be found in [7, eq. (41)]. 3) Elliptical Arcs: (26)

(20b) (27)

(21a) (21b)

where the parameterization and notation previously described in Sections II-A.3 and II-B.2 has been used. C. Coupling Coefficients

(22) The solution of (18) provides as eigenvectors the modal and the amplitudes of the transversal current coefficients density in the discrete points of the contour modified by the ), and as eigenvalues quadrature weights (i.e., of the arbitrary waveguide. the TE cutoff wavenumbers elements according to (21b), the When computing the must be carefully singular contribution of the function considered. The dyadic Green function is composed of four , , , and . Two of them, i.e., components, i.e., and , do not contribute with any singularity, whereas and are of the same the singularities introduced by kind as considered previously for the TM case. Therefore, the

In order to use this Nyström-based BI–RME method within CAD tools of complex passive waveguide components, an efficient technique for computing the coupling coefficients between two cascaded arbitrarily shaped waveguides is required. To solve this problem, we choose the same standard rectangular contour for the application of the Nyström and BI–RME methods to both arbitrary waveguides. Once the cutoff frequencies are obtained, and after some post-processing explained below, the required coupling coefficients are easily computed by means of the following expression:

(28)

TARONCHER et al.: CAD OF COMPLEX PASSIVE DEVICES COMPOSED OF ARBITRARILY SHAPED WAVEGUIDES

2157

where the term represents the coupling integral between the th mode of the th arbitrary waveguide and the th mode of the common rectangular waveguide. To derive the expressions for the required coupling coefficients between each arbitrary waveguide and the auxiliary rectangular contour, the fast method originally proposed in [8] will be adapted to our Nyström-based formulation. Such a set of coupling integrals is defined as follows: (29)

Fig. 2.

Geometry of a cross-shaped iris.

(34)

and are, respectively, the normalized electric where modal vectors of the rectangular and arbitrarily shaped waveguides. Starting with the TM case, if the Nyström method is applied to the IE (2), the following expression is directly obtained for the potential scalar of such modes:

(30) This expression leads to normalized vector mode function for satisfy the TM modes if the modal expansion coefficients (see justification in Appendix II). that Therefore, the normalized transversal electric field for the TM modes can finally be obtained as follows:

(35) (36) and terms are the entries of the and mawhere the trices just defined before, and the , , , and coefficients are the solutions of the Nyström-based TM and TE eigenvalue terms are easily evaluproblems. Therefore, only the new ated as follows: (37)

III. VALIDATION RESULTS

(31) is the 2-D nabla operator in the transversal coordiwhere nate system and is the normalized modal vector of the auxiliary standard rectangular waveguide that must accomplish . For the TE case, we must apply the Nyström method to IE (14), thus obtaining the following expression for the tangent electric field:

(32) which will be adequately normalized if coefficients and satisfy the condition derived in Appendix II. Now, using expressions (31) and (32) for the normalized TM and TE modal vectors, the required coupling integrals can finally be written as

(33)

Here, we discuss the accuracy and efficiency of the Nyström-based BI–RME approach through several application examples. First, we have computed the complete modal chart of two well-known irises, i.e., a crossed rectangular waveguide and a “triseptum” circular waveguide. Secondly, making use of the novel CAD tool, we have analyzed and designed two complex passive waveguide devices: an inductively coupled rectangular waveguide filter with rounded corners, and a dual-mode filter in circular waveguide technology with elliptical irises and tuning elements. All these examples have been successfully validated through comparisons with numerical and experimental results, which are either available in the technical literature or provided by the authors. In order to show the gain in efficiency of the new modal method proposed in this paper, CPU computation times for the considered examples are being compared with those obtained applying the traditional Galerkin-based BI–RME approach. All CPU costs offered here have been obtained with a Pentium IV platform at 2.4 GHz with 1-GB RAM. A. Cross-Shaped Iris First, we have considered the cross-shaped iris whose geometry is shown in Fig. 2. These irises are typically used as inter-cavity coupling elements in circular waveguide dual-mode filters (see, for instance, [19]). Making use of the Nyström-based BI–RME method, we have computed the normalized cutoff frequencies as a function of . In Fig. 3, the results predicted by our method (with solid

2158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE I CUTOFF FREQUENCIES FOR THE “TRISEPTUM” WAVEGUIDE

Fig. 3. Normalized cutoff frequencies as function of b=a for the cross-shaped iris shown in Fig. 2.

Circular iris with three rectangular metallic insertions (d = 1:64 mm, = 2:72 mm, d = 1:74 mm, t = 2 mm, R = 12 mm, and a = 25 mm).

Fig. 4. d

lines) are successfully compared with experimental data from [20] (with points). For labeling the modes, the same nomenclature used in [20] has been followed. B. Ridged Circular Iris The next example deals with the modal analysis of a circular waveguide with three metallic insertions (the “triseptum” waveguide) shown in Fig. 4, which is typically used in circular waveguide dual-mode filters. The dimensions of this example have been selected from a real device operating at 12 GHz [21]. Using the Nyström-based BI–RME formulation, the first 75 modes have been computed using 500 modes of the auxiliary square waveguide shown in Fig. 4. Table I shows the ten lower cutoff frequencies, and their relative error when compared with the Galerkin implementation [7]. The analysis of the accuracy of the computed frequencies reveals that the mean value of the relative error is 0.2%. The CPU time required for computing the modal spectrum has been of 5.5 s using the Nyström method, while the Galerkin technique takes 32.4 s, which represents an overall reduction of 83%. The first coupling coefficients between the “triseptum” waveguide and a circular waveguide of diameter equal to 24 mm

TABLE II COUPLING COEFFICIENTS BETWEEN THE “TRISEPTUM” WAVEGUIDE AND THE CIRCULAR ONE

are collected in Table II. The absolute error between such Nyström-based results and the Galerkin ones [7] have also been included in Table II and are denoted by italic letters. C. Inductively Coupled Rectangular Waveguide Filter With Rounded Corners For evaluating the new CAD tool, we have first chosen an inductively coupled rectangular waveguide filter with rounded corners (see geometry and dimensions in Fig. 5) originally designed for operation at 11 GHz with a bandwidth of 300 MHz in [22]. This structure is composed of the cascaded connection of two kinds of transitions: one between rectangular waveguides and rounded coupling irises, and another one between rectangular waveguides with rounded corners. Therefore, the full-wave analysis of this structure will allow to validate the new theoretical aspects described in Section II-C. For verification purposes, a prototype of this filter has been manufactured (see Fig. 6). The simulated scattering parameters of such a structure are shown in Fig. 7, where they are successfully compared with the experimental results of the manufactured prototype. Such results were obtained using 15 accessible modes, 70 basis functions, and 250 kernel terms in the IEs related to the solution of each discontinuity. These simulating parameters involved a total CPU effort of 204 s (1000 frequency points) for the Galerkin method, and 144 s

TARONCHER et al.: CAD OF COMPLEX PASSIVE DEVICES COMPOSED OF ARBITRARILY SHAPED WAVEGUIDES

2159

Fig. 8. Geometry of the four-pole dual-mode filter in circular waveguide technology with elliptical irises and tuning elements. Fig. 5. Inductive filter with rounded corners. The dimensions are: a = 22:86 mm, b = 10:16 mm, l = 4:00 mm, l = 14:29 mm, = 15:84 mm, t = 1:70 mm, t = 1:77 mm, t = 1:78 mm, l w = 10:50 mm, w = 6:70 mm, and w = 6:15 mm. The radius of the rounded corners is R = 2:00 mm.

Fig. 9. Internal pieces of the dual-mode circular waveguide filter with elliptical irises and tuning elements. Fig. 6. Internal pieces of the inductive filter with rounded corners. Each one is composed of two half-cavities and a coupling iris.

Fig. 7. Magnitude of the reflection (S ) and transmission (S ) coefficients of the inductively coupled rectangular waveguide filter with rounded corners shown in Fig. 5. Solid line: authors’ results. Dashed lines: authors’ measurements of the manufactured prototype (see Fig. 6).

for the new Nyström-based approach. In this example, the total gain in computational cost is approximately 30%, which clearly validates the Nyström method as a good alternative to the Galerkin approach for providing accurate results in quite lower CPU times.

D. Circular Waveguide Dual-Mode Filter With Elliptical Irises and Tuning Elements After validating the new developed CAD tool based on the Nyström method, we have used such a tool for the design of a four-pole dual-mode filter in circular waveguide technology. As can be seen in Fig. 8, such a structure is basically composed of two circular waveguide cavities coupled through a rotated elliptical iris and fed to the input/output waveguides by means of two elliptical irises. In order to tune and couple each pair of degenerated modes, in the middle plane of each cavity we have placed a short circular waveguide with three metallic insertions (see Fig. 8). In order to verify the geometrical dimensions provided by the novel CAD tool, we have manufactured a prototype of the circular waveguide dual-mode filter just described. This filter is composed of several pieces containing the input/output waveguides, the irises, and the resonators of the structure (see the photograph shown in Fig. 9), which are connected in cascade to build the whole filter. The simulated reflection and transmission coefficients of this filter are compared with the authors’ measurements in Fig. 10. Some slight misalignments can be observed between both results in the bandpass frequencies, which can be attributed to manufacturing tolerances. Nevertheless, as usually happens with these very sensitive structures, the desired electrical response could be recovered by replacing the fixed triangular tuning elements by real adjustable screws.

2160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

has been accelerated using the function [23]. The dyadic Poisson summation formula [24]. The directional derivatives have been then computed as follows: (38) where

(39)

Fig. 10. Magnitude of the reflection (S ) and transmission (S ) coefficients of the dual-mode circular waveguide filter with elliptical irises and tuning elements shown in Fig. 8. Solid line: authors’ results. Dashed lines: authors’ measurements of the manufactured prototype (see Fig. 9).

During the CAD stages of this dual-mode filter, it was required to use 100 accessible modes, 300 basis functions, and 900 kernel terms in the corresponding IEs for obtaining enough accurate and convergent results. The computational effort related to each simulation of the whole structure has been of 3.5 s per frequency point, which was rather adequate for design purposes.

being each term a component of the dyadic [25]. , a corresponding For each component of the dyadic rapidly convergent expression, which is going to be presented below, has been obtained. A. Component

(40) where

IV. CONCLUSIONS A fast and rather accurate Nyström-based BI–RME method has been applied to the complete CAD of complex passive devices composed of cascaded arbitrarily shaped waveguides defined by linear, circular, and elliptical arcs. This novel method offers some advantages compared to the standard Galerkin BI–RME approach: the first one is the simplicity of the implementation, and the second one is the reduction of the computational time. The new developed method has been successfully verified through several application examples of great practical interest such as the modal chart computation of cross-shaped and ridged circular irises, the efficient full-wave analysis of inductively coupled rectangular waveguide filters with rounded corners, and the complete CAD of circular waveguide dual-mode filters with elliptical irises and tuning elements. CPU times have been included to validate the efficiency improvement provided by the inclusion of the Nyström approach within modern CAD tools.

APPENDIX I DOUBLE DERIVATIVE OF THE SCALAR 2-D GREEN FUNCTION When solving the TE modes in the BI–RME method folis lowing the Nyström approach, the evaluation of required [see (20)], where is the scalar Green function. For that purpose, a rapidly convergent expression for the dyadic has been derived from the bilinear form of the scalar 2-D Green

(41)

B. Component

(42) with

(43)

C. Components

,

The remaining components can be obtained from the previous ones by just using symmetry properties of the Green function

(44)

TARONCHER et al.: CAD OF COMPLEX PASSIVE DEVICES COMPOSED OF ARBITRARILY SHAPED WAVEGUIDES

APPENDIX II NORMALIZATION OF THE EIGENVECTORS

2161

B. TE Modes

A. TM Modes The scalar potential of the TM modes of the arbitrarily shaped waveguide must be normalized according to

In the case of the TE modes of the arbitrarily shaped waveguide, the electric modal vector must be normalized according to (52)

(45)

This normalization condition can be developed using the exderived from (2), thus obtaining pression for

In this case, we must proceed in the same way proposed earlier for the TM normalization. First, we insert the definition given by (14) within (52). Next, the following of is eigenfunction expansion for the dyadic Green function considered:

(53) and the following condition for the modal vectors of the rectangular waveguide is used: (46) (54) If we consider the following eigenfunction expansion of the Green function:

The following normalization condition for the eigenvector solutions of the problem defined by (18) is then finally obtained:

(47) and taking into account that the scalar potentials of the rectangular waveguide are also normalized according to

(55) result in expressing the cited eigenvalue where , , and problem (18) in compact form .

(48) ACKNOWLEDGMENT The authors would like to thank Prof. G. Conciauro, University of Pavia, Pavia, Italy, for his valuable comments concerning the normalization issues discussed in Appendix II, and Dr. M. Guglielmi, European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, for providing the prototypes used for verification purposes.

(46) can be rewritten as follows:

(49) Now applying the Nyström technique and making use of the definitions of submatrices collected in (6)–(8), (49) can be written in matrix form as (50) Finally, if we employ (5) in (50), the normalization condition is established as (51)

REFERENCES [1] J. Uher, J. Bornemann, and U. Rosenberg, Waveguide Components for Antenna Feed Systems: Theory and CAD. Norwood, MA: Artech House, 1993. [2] P. P. Silvester and G. Pelosi, Finite Elements for Wave Electromagnetics. Piscataway, NJ: IEEE Press, 1994. [3] A. Taflove, Computational Electromagnetics: The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 1995. [4] T. Itoh, Numerical Techniques for Microwave and Millimeter-Wave Passive Structures. New York: Wiley, 1989. [5] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis—CAD Techniques for Waveguide Components and Filters. Chichester, U.K.: Wiley, 2000. [6] G. Conciauro, M. Bressan, and C. Zuffada, “Waveguide modes via an integral equation leading to a linear matrix eigenvalue problem,” IEEE Trans. Microw. Theory Tech., vol. 32, no. 11, pp. 1495–1504, Nov. 1984.

2162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[7] S. Cogollos, S. Marini, V. Boria, P. Soto, A. Vidal, H. Esteban, J. V. Morro, and B. Gimeno, “Efficient modal analysis of arbitrarily shaped waveguides composed of linear, circular and elliptical arcs using the BI–RME method,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2378–2390, Dec. 2003. [8] P. Arcioni, “Fast evaluation of modal coupling coefficients of waveguide step discntinuities,” IEEE Microw. Guided Wave Lett., vol. 6, no. 6, pp. 232–234, Jun. 1996. [9] M. Bozzi, G. Conciauro, and L. Perregrini, “On the evaluation of modal coupling coefficients by contour integrals,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1853–1855, Jul. 2002. [10] R. F. Harrington, Field Computation by Moment Methods. New York: IEEE Press, 1992. [11] L. Delves and J. Mohamed, Computational Methods for Integral Equations, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 1992. [12] P. J. Davis and P. Rabinowitz, Methods of Numerical Integration, 2nd ed. San Diego, CA: Academic, 1984. [13] J. Ottusch and M. Wandzura, “High-order Nyström method for computing waveguide modes,” ACES J., vol. 17, no. 1, pp. 84–92, Mar. 2002. [14] M. Taroncher, A. Vidal, V. E. Boria, S. Marini, P. Soto, S. Cogollos, and B. Gimeno, “Efficient full wave modal analysis of arbitrarily shaped waveguides using BI–RME and Nystrom methods,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 2003, pp. 455–458. [15] M. Taroncher, A. Vidal, V. E. Boria, S. Marini, S. Cogollos, J. Gil, and B. Gimeno, “Efficient CAD tool of complex passive devices composed of arbitrarily shaped waveguides using Nyström and BI–RME methods,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1237–1240. [16] G. Gerini, M. Guglielmi, and G. Lastoria, “Efficient integral equation formulations for impedance or admittance representation of planar waveguide junction,” in IEEE MTT-S Int. Microwave Symp. Dig., Baltimore, MD, Jun. 1998, pp. 1747–1750. [17] B. Alpert, B. Beylkin, R. Coifman, and V. Rohklin, “Wavelet-like bases for the fast solution of second-kind integral equations,” SIAM J. Sci. Comput., vol. 14, no. 1, pp. 159–184, Jan. 1993. [18] J. J. H. Wang, Generalized Moment Methods in Electromagnetics: Formulation and Computer Solution of Integral Equations. New York: Wiley, 1991. [19] P. Couffignal, H. Baudrand, and B. Théron, “A new rigorous method for the determination of iris dimensions in dual-mode cavity filters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1314–1320, Jul. 1994. [20] H. Stalzer, M. Greenman, and F. Willwerth, “Modes of crossed rectangular waveguide,” IEEE Trans. Antennas Propag., vol. 24, no. 2, pp. 220–223, Mar. 1976. [21] J. R. Montejo-Garai and J. Zapata, “Full-wave design and realization of multicoupled dual-mode circular waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1290–1297, Jun. 1995. [22] S. Cogollos, V. E. Boria, P. Soto, B. Gimeno, and M. Guglielmi, “Efficient CAD tool for inductively coupled rectangular waveguide filters with rounded corners,” in Proc. 31st Eur. Microwave Conf., London, U.K., Sep. 2001, pp. 315–318. [23] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [24] P. Morse and H. Feshbach, Methods of Theoretical Physics. New York: McGraw-Hill, 1978. [25] C.-T. Tai, Dyadic Green Functions in Electromagnetic Theory, 2nd ed, ser. Electromagn. Waves. New York: IEEE Press, 1994.

Máriam Taroncher (S’03) was born in Lliria, Valencia, Spain, on October 8, 1979. She received the Telecommunications Engineering degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2003, and is currently working toward the Ph.D. degree at UPV. From 2002 to 2004, she was a Fellow Researcher with the UPV. Since 2004, she has been a Technical Researcher in charge of the experimental laboratory for high power effects in waveguide devices at the Research Institute iTEAM, UPV. Her current research interests include numerical methods for the analysis of waveguide structures and the acceleration of the electromagnetic analysis methods using wavelets.

Ana Vidal (M’01) was born in Valencia, Spain in 1970. She received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993. In 1993, she spent one year with the University of Strathclyde, Glasgow, U.K., under the Erasmus international exchange program. In 1993, she was involved in broad-band communications development with the main research center of Telecom Portugal. She then became a Research Assistant with the Universidad Politécnica de Valencia. In 1995 and 1996, she held a Spanish Trainee position with the European Space research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, the Netherlands, where she was involved in the study and implementation of software for synthetic aperture radar (SAR) image processing. In 1996, she returned to the Universidad Politécnica de Valencia, where she held several lecturing positions and, in 2001, became an Associate Professor. Her current interests are SAR data processing, SAR speckle noise reduction, and numerical methods for microwave structures analysis including the wavelet transform.

Vicente E. Boria-Esbert (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993 he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he has been an Assistant Lecturer (1993–1995), Lecturer (1996–1997), Associate Professor (1998–2002), and Full Professor (since 2003). In 1995 and 1996, he held a Spanish Trainee position with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored several chapters in technical textbooks, 25 papers in refereed international technical journals, and over 100 papers in international conference proceedings. His current research interests include numerical methods for the analysis of waveguide and scattering structures, automated design of waveguide components, radiating systems, measurement techniques, and power effects in passive waveguide systems. Since 2003, he has served on the Editorial Boards of the Proceedings of the IEE (Microwaves, Antennas, and Propagation) and Radio Science. Dr. Boria-Esbert is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. Since 2003, he has served on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is also member of the Technical Committees of the IEEE-MTT International Microwave Symposium and of the European Microwave Conference. He was the recipient of the 1993 Spanish Ministerio de Educación y Ciencia and the 1993 First National Prize of Telecommunication Engineering Studies for his outstanding student record. He was also the recipient of the 2001 Social Council of Universidad Politécnica de Valencia First Research Prize for his outstanding activity during 1995–2000.

Stephan Marini was born in Cagli, Italy, on January 3, 1976. He received the Laurea degree in electronics engineering from the University of Perugia, Perugia, Italy, in 2001, and is currently working toward the Ph.D. degree in telecommunications at the Universidad Politécnica de Valencia, Valencia, Spain. In June 2001, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia. His current research interests include numerical methods for the analysis of arbitrary-shaped waveguide and scattering structures.

TARONCHER et al.: CAD OF COMPLEX PASSIVE DEVICES COMPOSED OF ARBITRARILY SHAPED WAVEGUIDES

Santiago Cogollos was born in Valencia, Spain, on January 15, 1972. He received the Ingeniero de Telecomunicación and Doctor Ingeniero de Telecomunicación degrees from the Universidad Politécnica de Valencia, Valencia, Spain, in 1996 and 2002, respectively. In 2000, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he was an Assistant Lecturer (2000–2001), a Lecturer (2001–2002), and an Associate Professor (since 2002). He has collaborated with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, in the development of modal analysis tools for payload systems in satellites. His current research interests include numerical methods for the analysis of waveguide structures and design of waveguide components for space applications.

Jordi Gil was born in Valencia, Spain, on April 27, 1977. He received the Licenciado degree in physics from the Universidad de Valencia, in 2000, and is currently working toward the Ph.D. degree at the Universidad Politécnica de Valencia, Valencia, Spain. From 2001 to 2003, he was with Ingegneria dei Sistemi IDS-S.p.A., Pisa, Italy, where he was involved with the European Union project MMCODEF “Millimeter-wave and Microwave Components Design Framework for Ground and Space Multimedia Network” in collaboration with the European Space Agency (ESA). His current research interests include numerical methods in computer-aided techniques for the analysis and design of microwave passive components such as waveguide structures with dielectric resonators for space applications.

2163

Benito Gimeno (M’01) was born in Valencia, Spain, on January 29, 1964. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Valencia, Valencia, Spain, in 1987 and 1992, respectively. From 1987 to 1990, he was a Research Fellow with the Universidad de Valencia. Since 1990, he has been an Assistant Professor with the Departamento de Física Aplicada y Electromagnetismo, Universidad de Valencia, where, in 1997, he became an Associate Professor. From 1994 to 1995, he was a Research Fellow with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA). In 2003, he spent three months as a Visiting Scientist with the Università degli Studi di Pavia, Pavia, Italy. His current research interests include the areas of computer-aided techniques for analysis of microwave passive components, waveguide and cavities structures including dielectric resonators, and photonic-bandgap crystals.

2164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Ultrawide-Band Tunable True-Time Delay Lines Using Ferroelectric Varactors Dan Kuylenstierna, Student Member, IEEE, Andrei Vorobiev, Peter Linnér, Senior Member, IEEE, and Spartak Gevorgian, Senior Member, IEEE

Abstract—This paper reports on compact tunable true-time delay lines based on ferroelectric (Ba0 25 Sr0 75 TiO3 ) varactors integrated on high-resistivity silicon. The delay lines are based on lumped elements, physically implemented as synthetic coplanar-strip lines. An approximate analytical design procedure, exactly valid for 0, is proposed. The physical size of the 0.33 mm2 , including bias pads. fabricated delay lines is 2.0 Measurements are performed from room temperature (RT) down to 80 K. The measurements reveal ultrawide-band characteristics for both group delay and insertion loss. At RT, the absolute group delay is (RT 0 V) 70 ps with tunability of 20% under 20-V dc bias, the insertion less than 3.5 dB, and the reflection loss better than 12 dB below 20 GHz. At 145 K, the absolute group 100 ps with a tunability delay is increased to (145 K 0 V) of 50% under 20-V applied bias. At 7 GHz, the insertion loss is 3 dB, resulting in figures-of-merit of 0.03 dB/ps and 50 ps/mm. The leakage current at RT is less than 0.1 A. Index Terms—Delay line, ferroelectric, group delay, tunable, ultrawide-band.

I. INTRODUCTION

ferroelectric varactors offers superior performance in terms factor, negligible leakage current, etc. [11] at of higher frequencies above 10–20 GHz. Ferroelectric varactors can also be integrated with semiconductor (silicon) substrates [11], [12]. Compact true-time tunable delay lines using parallel-plate Ba Sr TiO (BST) varactors as tuning elements was reported in [13]. This paper reports on an improved design demonstrating better figures-of-merit in terms of decibels/picosecond, picoseconds/millimeter, and larger tunability. At room temperature (RT), both group delay and insertion loss are nearly constant over an ultrawide frequency band, extending from 1 to 25 GHz. Low-temperature measurements demonstrate further improved performance. The design is implemented as a synthetic coplanar-strip (CPS) line, integrated on an Si substrate. An approximate analytical design procedure, exactly , is proposed. valid for This paper is organized as follows. Section II presents the approximate design procedure. Section III presents the design and fabrication. Section IV covers measured results and analysis.

D

ELAY LINES are widely used in delay-locked loops (DLLs) [1], voltage-controlled oscillators (VCOs) [2], feed-forward amplifiers [3], and phased-array antennas and radars [4], [5]. For wide-band operation, it is advantageous to use true-time delay steering techniques. The simplest possible true-time delay line is a low-loss transmission line. A tunable delay line may be designed as a slow-wave structure, using tunable elements periodically loading a transmission line. Different physical phenomena in dielectrics (ferroelectrics, liquid crystals), ferromagnetic materials, ferrites, and semiconductors are utilized to accomplish the tunability. Only some of these technologies allow high-density integration and, more specifically, integration with standard semiconductor circuits. The overall performance of tunable monolithic-microwave integrated-circuit (MMIC) delay lines [5], [6] are limited by semiconductor varactors. Recently, ferroelectric varactors have been considered for applications in phase shifters [7]–[9] and delay lines [10]. Compared to semiconductor varactors, Manuscript received October 1, 2004; revised December 16, 2004. This work was supported by the Swedish Agency for Innovation Systems VINNOVA and by the Swedish Foundation for Strategic Research SSF. D. Kuylenstierna, A. Vorobiev, and P. Linnér are with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, 412 96 Göteborg, Sweden (e-mail: [email protected]). S. Gevorgian is with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, 412 96 Göteborg, Sweden and also with the Microwave and High-Speed Electronics Research Center, Ericsson Microwave Systems AB, 431 84 Mölndal, Sweden. Digital Object Identifier 10.1109/TMTT.2005.848805

II. THEORY The simplest possible true-time delay line is a dispersion-free transmission line with a group delay (1) where is the physical length of the line and velocity defined as

is the group

(2) where is the propagation constant. Considering the dispersion-free region, may be approximated with the phase ve. Equation (1) may then be written locity (3) For reasonable delay times, has to be very large, which makes distributed delay lines inconvenient for on-chip integration. A. Synthetic Delay Lines More compact true-time delay lines may be accomplished as synthetic transmission lines. Fig. 1 shows a T unit cell of

0018-9480/$20.00 © 2005 IEEE

KUYLENSTIERNA et al.: ULTRAWIDE-BAND TUNABLE TRUE-TIME DELAY LINES USING FERROELECTRIC VARACTORS

2165

the characteristic impedance of the biased and unbiased states are related as (11) is the maximum bias voltage. Using (9), the charwhere acteristic impedance of the unbiased state may be expressed in terms of the system impedance as

Fig. 1. Low-pass T unit cell of synthetic transmission line.

a synthetic transmission line. Its component values may be derived from cascade analysis [14] resulting in

(12)

(4)

Inserting (12) into (4) and (5), the component values for a tunable delay line may be written

(5) where is the characteristic impedance, is the center frequency, and is the electric length at . At low frequencies, synthetic transmission lines are nearly dispersion free and the propagation constant may be written (6) where and are inductance and capacitance, respectively, per unit cell and is the physical length of the unit cell. Combining (3) and (6), the delay time per unit cell in a synthetic transmission line may be written (7)

(13) and (14) Inserting (10), (13), and (14) into (7) and (8), respectively, the bias-dependent delay time and characteristic impedance in the may be written low-frequency limit

(15) and

Similarly, the characteristic impedance is written (16) (8) For the dispersion-free approximation to be valid, the electric length of a unit cell must not be larger than a critical value at the maximum frequency of operation . Knowing and , which are determined by the application, and may be calculated from (4) and (5), respectively. B. Tunable Delay Lines Tunable delay lines are normally realized by the use of varactors. A problem is that tuning only the capacitance affects not only the group delay according to (7), but also the characteristic impedance according to (8). To maintain the matching under both bias states, it is necessary to also tune the inductance. However, for reasonable tuning ranges, acceptable matching may be obtained if the characteristic impedance of the line is chosen so that the geometric mean of the characteristic impedance in the two bias states equals the system impedance (9) and are the characteristic impedance with where and without bias, respectively. Defining the tunability of the varactor as (10)

Fig. 2 shows the performance of a 16-unit-cell-long theoretical delay line composed of ideal components. The line is designed using (13) and (14) with (which means that occurs at half the Bragg frequency), rad/s, and a varactor tunability of . It is seen that the group delay is nearly constant up to 30 GHz. The , respectively, is estimated group delay under bias 0 V and to be ps and ps using (15). This is in Fig. 2(c). In the Smith exactly what is obtained for chart, it is seen how the characteristic impedance for the two bias states is symmetrically shifted around the 50- point. III. DESIGN AND FABRICATION A. Layout The ideal lossless delay line, with performance as shown in Fig. 2, is physically implemented as a synthetic CPS line [see Fig. 3(a)]. The symmetric coplanar CPS topology may include inductors in both strips. This results in an effectively doubled inductance per unit length compared, for instance, to a coplanarwaveguide (CPW) line or microstrip (MS) line. Fig. 3(b) shows the substrate in cross section. U-form inducand , effectively capactors are patterned in metal layers itively connected through the BST film. The width and gap of m, chosen for tradeoff the U-form inductors are

2166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Theoretical performance of a synthetic transmission line. (a) S (0) [—], S (V [—],  (V ) [- - -]. (d) Smith chart S (0) [—], S (V ) [- - -].

between large inductance and low metal losses. The height of m. Varactor loads are formed at overthe unit cell is laps between and [see Fig. 3(c) and (b)]. The physical size of the load varactors is 3 3 m. A small window in and enables overlap of and at the same time as the signal strips 1 and 2 are rather wide. This arrangement results in a tradeoff between losses and process tolerance against misalignment. In [13], two alternate designs were published, one that was superior with regard to losses, and one that was superior with regard to stability against misalignment. Fig. 3(b) shows the equivalent circuit of the unit cell of the synthetic CPS line. The voltage-dependent capacitance of the varactor is given by the formula for a parallel-plate capacitor (17) where is the area of the varactor, is the permittivity of is the thickness of the BST film. The the BST film, and varactor conductance may be written as

) [- - -]. (b) S

(0) [—], S

(V

) [- - -]. (c) Delay time  (0)

inductance is affected by mutual coupling between adjacent strips; however, it is basically independent of voltage, temperature, and frequency. In the model, it is assumed to be constant (19) The metal resistance may be approximated as a combination of dc and RF resistance (20) is the thickness where is the conductivity of the metal, is the skin depth. of the metal, and is temperature dependent due to the temperature-dependent conductivity. Microwave conductance of the varactor (18) and metal losses (20) are the two main loss mechanisms in the tunable delay lines. For optimization of the design, it is important to determine which of the two is dominating. B. Process Technology

(18) is the loss tangent of the BST film. The last step where [15]. The unit cell uses the approximation that

The processing starts with commercially available oxidized k cm Si covered by TiO n-type high-resistive (15 nm) and Pt (100 nm). The TiO is used as an adhesion layer. For reduction of metal losses in the bottom electrode, a

KUYLENSTIERNA et al.: ULTRAWIDE-BAND TUNABLE TRUE-TIME DELAY LINES USING FERROELECTRIC VARACTORS

2167

Fig. 3. Fabricated delay line. (a) Entire line including 16-unit cells. (b) Substrate cross section. (c) Magnification around one of the load varactors. (d) Equivalent circuit of the unit cell.

0.5- m-thick Au film is deposited on top of the thin Pt film. On top of Au, 50-nm Pt is required for lattice matched heteroepitaxial growth of the Ba Sr TiO film. Au and Pt are deposited in situ by electron-beam evaporation at RT. Before deposition of the ferroelectric film, is prepatterned by ion etching to form required shapes in the bottom electrode. After prepatterning of the bottom electrode, the ferroelectric film is grown over the wafers entire surface with laser ablation using a KrF excimer laser operating at 10 Hz and 1.5 J/cm . During the film deposition, the substrate temperature is maintained at 650 C and oxygen pressure at 0.4 mbar. After deposition, the samples are cooled down to RT and the pressure is increased to 950 mbar. When the film deposition is finished, , consisting of 50 nm Pt and 0.5 m Au, is deposited by e-beam evaporation at RT. Finally, is patterned by a liftoff process, using AZ1514E photoresist, in order to form the top electrode. IV. RESULTS AND ANALYSIS The delay lines have been measured using an HP-8510 vector network analyzer (VNA). Low-temperature measurements have been performed on an in-house built cryogenic microwave probe station based on a CTI 350 CP cryo cooler. Figs. 4–6 shows measured and modeled -parameters and group delay for RT, 250 K, and 145 K, respectively. Measurements were also performed at 85 K, and these results are similar to those obtained at 145 K. At RT, the measured group delay without bias is rather constant 0V 70 ps up to 25 GHz. At 250 K, 250 K 0 V ps up to 20 GHz. At 145 K, 145 K 0 V 100 ps up to 12 GHz. Under applied bias, 20 V 55 ps, which is almost independent of temperature. At RT and 250 K, the insertion loss is less than 3.5 dB

until 20 GHz. At 145 K, the insertion loss increases fast after 10 GHz. The measured leakage current at RT is 0.1 A. The measured results were matched to the equivalent-circuit model in Fig. 3(d) by circuit optimization in ADS. The results of this optimization are shown in Table I. The group delay is estimated to an accuracy of 5% up to 20 GHz. The insertion loss is estimated to an accuracy of 1 dB at RT and 250 K (the average match is better, but the ripple is not aligned), at 145 K, the error is slightly larger. The model also gives a qualitative estimation of the reflection loss, even though the exact ripple that is sensitive to the impedance level and pad capacitance is not predicted. It should be mentioned that the measured data is not deembedded. For frequencies above 20 GHz, the measured group delay increases, which indicates that the Bragg frequency is approaching. However, the increase is faster than what is predicted by the model. Also, the measured insertion loss, especially at 145 K, increases faster than predicted. A possible explanation is the effect of mutual coupling between adjacent unit cells, which is not covered by the model. Overall, the match between the model and measured data is rather good up to 25 GHz, but the model is not useful above this frequency. In the model parameters (see Table I), some clear temperature-dependent trends are seen. As expected, due to the temperature-dependent permittivity and loss tangent of the BST film [16], the capacitance and loss constant increase with decreased temperature. Contrary, the dc resistance is reduced with decreased temperature due to increased conductivity of the metal. This means that the two dominant loss mechanisms, i.e., resistive losses and varactor losses, go in different directions with reduced temperature. The fact that the insertion loss at RT increases as , as is predicted from (20), indicates that metal losses dominates and the performance may be further improved

2168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4. Measured (0 V [], 20 V [

]

) and modeled (0 V [-], 20 V [- - -]) results at RT. (a) Transmission. (b) Reflection. (c) Group delay.

Fig. 5. Measured (0 V [], 20 V [

]

) and modeled (0 V [-], 20 V [- - -]) results at 250 K. (a) Transmission. (b) Reflection. (c) Group delay.

Fig. 6. Measured (0 V [], 20 V [

]

) and modeled (0 V [-], 20 V [- - -]) results at 145 K. (a) Transmission. (b) Reflection. (c) Group delay.

TABLE I MODEL PARAMETERS FOR DELAY LINES

TABLE II FIGURES-OF-MERIT FOR DELAY LINES

if the metal thickness is increased. The total thickness of and , together forming the U-shape inductors, is only 1 m, which is comparable to at 10 GHz. Using the equivalent circuit in Fig. 3(d), together with the extracted model parameters in Table I, it is easy to estimate the loss reduction that may be achieved with thicker metal. For instance, if the metal thickness

is increased to 3 m, the insertion loss at 20 GHz would be reduced with 1.5 dB.

KUYLENSTIERNA et al.: ULTRAWIDE-BAND TUNABLE TRUE-TIME DELAY LINES USING FERROELECTRIC VARACTORS

2169

Fig. 7. Reflection coefficient in Smith chart. (a) RT and (b) 145 K. S (0 V) [-] and S (20 V) [- - -].

Table II summarizes figures-of-merit at the three different temperatures, as well as a comparison to previously published true-time delay lines. In terms of decibels/picoseconds and picoseconds/millimeter, the delay lines published here are superior to the delay lines in [5] and [13]. On the other hand, it is fair to mention that the distributed phase shifters, implemented as periodically loaded CPWs, in [6] and [9] have high figures-ofmerit in terms of phase shift per decibels; however, information about group delay is lacking in these papers. The main advantage of the delay lines reported in this paper is the compact size. These delay lines are significantly smaller than the distributed phase shifters in [6] and [9]. It should also be mentioned that the delay lines in this paper were designed for operation at RT. For this reason, the reflection loss at lower temperature is not optimized according to (12), which is easily seen from the Smith chart (see Fig. 7). At RT [see Fig. 7(a)], the characteristic impedances of the two bias states are positioned nearly symmetric around the 50- point, as shown in Fig. 2(d). At 145 K [see Fig. 7(b)], the characteristic impedance of the unbiased state is increased due to the higher permittivity of the BST film. Better low-temperature performance of delay lines may be obtained by optimization for low-temperature operation. V. CONCLUSIONS True-time tunable delay lines have been implemented as synthetic CPS lines using ferroelectric varactors as a tunable element. It has been demonstrated that the design results in approximately constant group delay and insertion loss from 1 up to 20 GHz depending on temperature and bias voltage. At RT, metal losses are dominant both with and without applied bias. This means that the performance may be improved with thicker metal. At 145 K, metal losses dominates under applied bias, but without bias, losses in the ferroelectric varactors are larger. An equivalent-circuit model has been proposed and may be used for further optimization of the devices.

ACKNOWLEDGMENT The authors would like to thank H. Jacobsson, Ericsson Microwave Systems AB, Mölndal, Sweden, for useful discussions. The authors also thank the reviewers for their fruitful remarks, which improved the quality of this paper. This study was carried out within the Competence Center Chalmers Center for High-Speed Technology (CHACH), the High-Speed Electronics Program (HSEP), and the project Pacific Boat. REFERENCES [1] Y. J. Jung, S. W. Lee, D. Shim, W. Kim, C. Kim, and S. I. Cho, “A dual loop delay locked loop using multiple voltage controlled delay lines,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 784–791, May 2001. [2] J. E. Rogers and J. R. Long, “A 10 Gb/s CDR/DEMUX with LC delay line VCO in 0.18 m CMOS,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1781–1789, Dec. 2002. [3] D. Wills, “A control system for a feedforward amplifiers,” Microwave J., vol. 41, no. 4, pp. 22–34, Apr. 1998. [4] P. Teo, K. Jose, Y. Gan, and V. Varadan, “Beam scanning of array using ferroelectric phase shifters,” Electron. Lett., vol. 36, no. 19, pp. 1624–1626, Sep. 2000. [5] C. C. Chang, C. Liang, R. Hsia, C. W. Domier, and N. C. Luhmann, “True time phased array system based on nonlinear delay line technology,” in Proc. Asia–Pacific Microwave Conf., Taipei, Taiwan, R.O.C., Nov. 2001, pp. 795–799. [6] A. Nagra and R. York, “Distributed analog phase shifters with low insertion loss,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1705–1711, Sep. 1999. [7] V. K. Varadan, K. Jose, V. V. Varadan, R. Hughes, and J. F. Kelly, “Novel microwave planar phase shifter,” Microwave J., vol. 38, no. 4, pp. 244–254, Apr. 1995. [8] Y. Liu, A. Nagra, E. Erker, P. Periaswamy, T. Taylor, J. Speck, and R. York, “BaSrTiO interdigitated capacitors for distributed phase shifter applications,” IEEE Microw. Guided Wave Lett., vol. 10, no. 11, pp. 448–450, Nov. 2000. [9] B. Acikel, T. R. Taylor, P. J. Hansen, J. S. Speck, and R. A. York, “A new high performance phase shifter using Ba Sr TiO thin films,” IEEE Microw. Wireless Comp. Lett., vol. 12, no. 7, pp. 237–239, Jul. 2002. [10] A. Kozyrev, V. Osadchy, A. Pavlov, D. Kosmin, L. Sengupta, X. Zhang, and L. Chiu, “S -band microwave phase shifters based on ferroelectric varactors,” in 15th Int. Integrated Ferroelectrics Symp., vol. 55, Mar. 9–12, 2003, pp. 839–846.

2170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[11] A. Vorobiev, P. Rundqvist, K. Khamchane, and S. Gevorgian, “Silicon substrate integrated high -factor parallel-plate ferroelectric varactors for microwave/millimeterwave applications,” Appl. Phys. Lett., vol. 83, no. 15, pp. 3144–3146, Oct. 2003. [12] D. Kuylenstierna, A. Vorobiev, and G. Subramanyam, “Tunable electromagnetic bandgap structures based on Ba Sr TiO ,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 2003, pp. 1111–1114. [13] D. Kuylenstierna, A. Vorobiev, P. Linnér, and S. Gevorgian, “Ferroelectrically tunable delay lines,” in Proc. 34th Eur. Microwave Conf., Amsterdam, Netherlands, Oct. 11–15, 2004, pp. 157–160. [14] S. J. Parisi, “180 lumped element hybrid,” in IEEE MTT-S Int. Microwave Symp. Dig., Long Beach, CA, Jun. 1989, pp. 1243–1246. [15] A. Vorobiev, P. Rundqvist, K. Khamchane, and S. Gevorgian, “Microwave loss mechanism in Ba Sr TiO thin film varactors,” J. Appl. Phys., vol. 96, no. 8, pp. 4642–4649, Oct. 2004. [16] O. G. Vendik, S. P. Zubko, and M. A. Nikol’ski, “Microwave loss-factor of Ba Sr TiO as a function of temperature, biasing field, barium concentration, and frequency,” J. Appl. Phys., vol. 92, no. 12, pp. 7448–7452, Dec. 2002.

Q

Dan Kuylenstierna (S’04) was born in Göteborg, Sweden, in 1976. He received the M.Sc. degree in physics and nanoscale science from the Chalmers University of Technology, Göteborg, Sweden, in 2001, and is currently working toward the Ph.D. degree in microwave electronics at the Chalmers University of Technology. His main scientific interests are periodic structures, lumped elements, metamaterials, and use of these to shrink the size of passive MMICs. Mr. Kuylenstierna was the recipient of the Second Prize in the Student Paper Award Competition presented at the 2004 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), Fort Worth, TX.

Andrei Vorobiev was born in Gorky, Russia, on 1963. He received the M.Sc. degree in physics of semiconductors and dielectrics from Gorky State University, Gorky, Russia, in 1986, and the Ph.D. degree in physics and mathematics from the Institute for Physics of Microstructures of Russian Academy of Sciences (IPM RAS), Nizhny Novgorod, Russia, in 2000. Since 1986, he has been an Engineer and then the Head of the Laboratory of Microelectronics, Design Office of Measuring Instruments, Gorky, Russia, where his research interests were in the area of development of technology of hybrid film microwave integrated circuits. In 1991, he joined the Technology Division, IPM RAS, initially as a Leading Engineer and then as a Senior Research Associate, where his research interests were in the area of preparation and investigation of high-temperature superconductor films and multilayer structures. Since 2001, he has been with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg, Sweden, initially as a Post-Doctoral Fellow and then as a Guest Researcher. His current interest is in the area of development of fabrication of ferroelectrically tunable devices for microwave applications.

Peter Linnér (S’69–M’74–SM’87) became a Teaching Assistant of mathematics and telecommunications with the Chalmers University of Technology, Göteborg, Sweden, in 1969. In 1973, he joined the research and teaching staff of the Division of Network Theory, Chalmers University of Technology, with research interests in the areas of network theory, microwave engineering, and computer-aided-design methods. In 1974, he joined the Military and Industrial (MI) Division, Ericsson Telephone Company, Mölndal, Sweden, where he was a Systems Engineer and Project Leader involved with several military radar projects. He returned to the Chalmers University of Technology, as a Researcher in the areas of microwave array antenna systems, and since 1981, he has been a Associate Professor of telecommunications. For a portion of 1992, he was a Guest Researcher with the University of Bochum, Bochum, Germany. His current interest is the application of computer-aided network methods and microwave circuit technology with emphasis on filters, matching, modeling, and lumped-element methods.

Spartak Gevorgian (M’96–SM’97) received the M.S. degree in radioelectronics from Yerevan Polytechnic, Yerevan, Armenia, in 1972, and the Ph.D. and Dr. Sci. degrees from the Electrotechnical University, St. Petersburg, Russia, in 1977 and 1991, respectively. From 1972 to 1993, he held different research and teaching positions with the Polytechnic Institute and Electrotechnical University. From 1993 to 1998, he had research positions with the Chalmers University of Technology, Göteborg, Sweden. Since 1998, he has been a Professor with the Chalmers University of Technology. Since 1996, he has also worked part time with Ericsson Microwave Systems AB, Mölndal, Sweden. He has authored or coauthored over 220 papers and conference presentations. He holds over 30 patents/patent applications. He has been or is currently engaged in research projects supported by different national (Russia, Armenia, Sweden) and European Union (EU) projects. His research interests are in physics, design, and experimental investigation of microwave devices and components including tunable filters, delay lines, phase shifters, etc. based on bulk and thin-film ferroelectrics integrated with silicon substrate, silicon RF integrated circuits (RFICs) and MMICs, optimization of passive components in foundry-based MMICs (voltage-controlled oscillators (VCOs), amplifiers, etc.), microwave photonic devices (optically controlled components based on silicon, photonic generation of microwaves), and modeling of passive coplanar and CPS components based on conformal mapping. Dr. Gevorgian was the recipient of scholarships from University College London (1981–1982) and the Electrotechnical University (1988–1991).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2171

A Multitone Model of Complex Enveloped Signals and Its Application in Feedforward Circuit Analysis A. Hakan Coskun, Ahmet Mutlu, and Simsek Demir, Member, IEEE

Abstract—Analytical tools that characterize nonlinear systems are essential and need to be developed for initial rapid optimizations and understanding of the system performance. Modeling of the input signal is a crucial part of this task. In this paper, a multitone representation for an arbitrary double-side banded (symmetric spectrum around carrier frequency) stochastically not well-defined signal and its application to a feedforward circuit, which involve two nonlinear amplifiers, couplers, phase, and delay units are presented. Amplitude and phase aspects of the model are particularly highlighted and verified with RF simulations performed by real power-amplifier models and components. Index Terms—Envelope peak-to-average ratio, feedforward, linearization, multitone modeling, power amplifier.

I. INTRODUCTION

T

RANSMISSION of varying envelope signals is one of the main challenging aspects of modern communication systems due to the nature of digital modulation schemes. Failure to transmit digitally modulated data in a linear manner would cause spectral regrowth and co-channel allocation, which is a severe problem, particularly in cellular structures. In order to avoid designing power amplifiers with large backoff power, linearizers are utilized. Feedforward is one of them offering an ultra-linear and a broad-band stable operation with the limitation of efficiency [1]. Feedforward linearizers are inherently complex structures due to the presence of two nonlinear amplifiers (i.e., the main and error amplifiers), together with control components (i.e., vector modulator or attenuator and phase shifter), couplers and delay units in two loops. Hence, there are lots of parameters to be adjusted for optimum operation and it is essential to provide the designer a mathematical fast tool characterizing this complexity and allowing system relaxations and tolerances. This can be achieved by modeling both the input signal and the whole system. In [2], a simple feedforward system has been modeled for multicoded code-division multiple-access (CDMA) signals, which can be stochastically modeled as band-limited white Gaussian noise. This model has been extended in [3] to include delay mismatches. However, the approach presented in [2] and [3] is valid for a particular stochastically well-defined signal, and phase mismatches are somewhat difficult to be included in the analysis due to the nature of the method.

Manuscript received October 1, 2004; revised December 28, 2004. A. H. Coskun and A. Mutlu are with the Communications Division, ASELSAN Electronics Industries Inc., 06172 Ankara, Turkey. S. Demir is with the Department of Electrical and Electronics Enginering, Middle East Technical University, 06531 Ankara, Turkey. Digital Object Identifier 10.1109/TMTT.2005.848793

In this paper, we present an alternative multitone model and its application to the analysis of a simple feedforward circuit excited by an arbitrary enveloped signal whose stochastic properties are not well defined or difficult to handle. In literature, there has been various work on examining nonlinear products based upon a multitone signal. In [4], analysis for the output of a third-order nonlinear system with a multitone excitation is provided. In [5], an analytical approach for a fifth-order nonlinear memoryless system excited with equally spaced tones with constant amplitude and with correlated and uncorrelated phases is presented. This approach has been used to predict the modulated spectrum for the worst case. Apart from the multitone modeling studies in the literature, in this study, the input arbitrary signal (from now on will be referred as the “actual signal”) is represented with a minimum (possible) number of in-phase equispaced sinusoidal signals, which constitute an equivalent signal (from now on will be referred as the “model signal”). The model signal has the same average power with the actual signal and a peak power determined by an effective envelope peak-to-average ratio. For simplicity, this model has been developed for baseband signals multiplied by a carrier frequency. Hence, the actual signal to be modeled is assumed to be spectrum symmetrical around the carrier frequency. In the analysis, main and error amplifiers existing in the feedforward systems are assumed to be memoryless and have third-order AM/AM nonlinearities because we are interested in distortion at the adjacent channels. Despite this fact, the analysis has to handle a ninth-order system since nonlinearities of the main and error amplifiers are coupled to each other. Hence, our model has been extended to support higher orders than those previously published. This model is introduced in [6]; however, in this study, various alternatives are discussed for determining the equivalent representation of real and complex enveloped signals. This signal modeling tool is a general approach, but considering the present derivations, it would specifically help the designer to analyze a feedforward circuit with amplitude, phase, and delay mismatches in a more convenient way since one will have to deal with a series of tones rather than complex envelopes. Without loss of generality, using this representation, explicit expressions for input–output relation of the delay-matched feedforward system are derived and the whole system is modeled including amplitude and phase mismatches. With this approach, analysis of a complex system can be carried out rapidly for any given signal sample. In order to verify the model, arbitrary real and complex enveloped signals have been generated. Results of close-form expressions are verified by simulations, where the RF Envelope Simulation tool suggested by ADS 2002C (Agilent Technologies, Palo Alto, CA)

0018-9480/$20.00 © 2005 IEEE

2172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

is used for a feedforward circuit using real transistor models and stimulus of the generated signal. Amplitude and phase mismatches are also verified. II. MODELING OF A SIMPLE FEEDFORWARD CIRCUIT A. Input Signal Modeling A double-sidebanded signal with an arbitrary crest factor can be represented by a number of tones as follows:

Fig. 1. Generic feedforward system.

where (1) (6) where and are the angular frequencies of the fundamental tone and carrier, respectively. In this representation, the tones is a paare equiphased, but the amplitude of each tone rameter to be determined. The signal specified in (1) has a mean power (2) and a crest factor [7]

(7) and is the insertion loss of the coupler in the generic feedforward circuit illustrated in Fig. 1. Hence, at the output of the nonlinear amplifier, an extended series of tones possessing new amplitudes are obtained. From this extended series, the and ACP at the output of the main main channel power can be computed using the following amplifier relationships:

(8)

(3)

(9) is the peak power. where is the reference impedance and The average power of the represented model signal [see (2)] is the same as that of the actual signal. On the other hand, crest factors of the model and the actual signals are different because, in a multitone representation, peaks occur periodically. Consequently, the peaks of the model signal drive the amplifier to nonlinearity more frequently compared to random occurrence of peaks in an arbitrary signal. This results with higher adjacent channel power (ACP). Therefore, for the model signal has to be decreased, leading to an effective envelope peak-to-average . The number of tones , coefficients , and can be ratio and . adjusted to give the desired effective crest factor We claim that any signal with average power and a crest can be represented by (1), and we demonstrate our factor claim through application on a complex system, namely, the feedforward linearization circuit. In this study, the main and error amplifiers of the feedforward circuit are assumed to have third-order nonlinearity as (4)

B. Modeling of Feedforward System In the generic feedforward system, in Fig. 1, there are two loops, i.e., carrier cancellation loop consisting of the main amplifier and the first delay/phase unit, and the error cancellation loop consisting of the error amplifier and the second delay/phase unit. The multitone signal representing the input signal is applied to this system. Equation (5) is obtained at the output of the main is obtained at the output of the first phase unit amplifier and for a delay-matched case

(10) where is the phase mismatch in the carrier cancellation loop. Under this condition, the signal at the input of the error amplifier, i.e., , is

Using (1) and (4), the expression of the signal at the output of the main amplifier is determined as follows:

(5)

(11)

COSKUN et al.: MULTITONE MODEL OF COMPLEX ENVELOPED SIGNALS

where

2173

where

(12) The signal at the output of the error amplifier can be written

(19)

as (13) (20) where represents the nonlinearity of the error amplifier. Substituting (11) into (13) yields For this output signal, main channel power and ACP are given as (21) (22)

(14) where

If there were no phase mismatches within the loops, the above equations will reduce to give closed-form expressions for and similar to (8) and (9), respectively, with replaced where by (23) (24) where and respectively.

are the losses and couplings of the couplers, coefficients are the cubic expansions of .

III. PARAMETER SELECTION CRITERIA (15) The signal at the output of the second phase unit can be in the written as follows assuming only a phase mismatch error cancellation loop: (16) Finally, feedforward output is (17) and substituting (14) and (16) into (17), we get

(18)

The proposed multitone representation was introduced Section II. The parameters of the model signal must be determined by examining the input signal and the nonlinear system. One real enveloped signal with 8192 samples at a base bandwidth of 2.5 MHz, a sampling rate of 60 ns, and of 7.55 dB and one complex enveloped signal with 8192 samples at a base bandwidth of 900 kHz, a sampling rate of 167 ns, and of 9.1 dB have been generated to be used in the validation of our model. The envelope histograms are illustrated in Fig. 2. As stated above, since peaks of the representing series of tones drive the amplifier periodically, its crest factor must be reduced to have a nonlinear effect equivalent to that of the actual signal. The amount of decrease in the crest factor depends on the system and signal properties; some criteria for determining the model signal parameters are required. This section focuses . on a number of parameter selection criteria to determine One selection criterion would be the distribution of the instantaneous nonlinear power, which is defined as , at the output of the main amplifier for a given third-order intercept point (IP3). For a third-order nonlinear system, can be expressed as (25)

2174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Envelope histograms of the: (a) real and (b) complex enveloped signals.

where is the instantaneous envelope voltage. Note that the linear component at the output voltage expression is extracted, and the remaining part, which is represented by , constitute the nonlinear amplification terms both in-band and out-of-band distortions. The model signal will be determined by considering the discussion below; however, to point out the difference in the nature of the signals, histograms for the real and complex enveloped signals and the corresponding model signal have been illustrated in Fig. 3. Note that, as increases, a corresponding number of samples decreases dramatically for the actual signals, whereas the histogram of the model signals are concentrated at specific points. Also, of the model signal is smaller than that of the actual signal. Not only the number of peaks, but also the peak value determines the nonlinear products. One shot of high peak level may create an equivalent nonlinear output with repetitive relatively low-level peaks (as in the periodic signal case). Additionally, a constant can be defined to represent the overall distortion power as follows: (26) where is the histogram index associated with nonlinear power and is the corresponding number of samples. Intervals for can be adjusted. reaches to its maximum, i.e., , when

Fig. 3. S histograms for the: (a) real and (b) complex enveloped signal compared with their signal models.

input voltage is at its peak and its smallest value is zero when the amplifier is completely working in its linear region. values of the model and the actual signals are expected to be close to each other, meaning that they both contribute a similar amount of nonlinear power. An alternative interpretation to would be the average of the calculated , which can be assigned to a new parameter . This parameter is the level of average distortion power. Hence, can be expressed as (27) where is the total number of samples. Note that and represent the overall nonlinear power, which covers both in-band and out-of-band products. If ACP is the basis of comparison between the nonlinearities contributed by the actual and model signals, then only out-of-band products can be taken into consideration by extracting the in-band distortion. This requires

COSKUN et al.: MULTITONE MODEL OF COMPLEX ENVELOPED SIGNALS

2175

TABLE I MODEL RESULTS FOR DIFFERENT SETS OF M (BOLD FACE INDICATES THE MODEL USED TO REPRESENT THE ASSOCIATED SIGNAL)

computing the frequency distribution of the nonlinear power and yields an alternative parameter , which is defined as follows:

Fig. 4.

Envelope histogram of the actual data and the corresponding curve fit.

Fig. 5.

(a) Main amplifier and (b) feedforward output—actual signal.

(28) This summation is on the out-of-band frequencies where distortion power exists. Table I tabulates the comparison of , , and values for the actual and model signals together with the distortion power at the output of the main amplifier and feedforward system. None of the presented criteria gives the exact representation by itself. However, it can be observed that deviations of the parameters , , and of the model signal from those of the actual signal are consistent with the deviations in the ACP. To summarize, the parameters and are based on the peak statistics of the actual and model signals. gives the average distortion power and is relatively easier to compute. , together with , can be used to compare the nonlinear distortion power distribution both illustratively and numerically, which emphasizes the motivation behind the development of this model. However and involve both out-of-band and in-band distortion power. In cases where in-band distortion is not essential, , which is a numerical constant representing the spectral content of the out-of-band distortion can be used. The inconvenience about is that Fourier transform has to be taken. In this sense and can be used more conveniently, while can be utilized to verify the model. However, all parameters (criteria) are consistent with each other. As will be demonstrated in Section IV, a model signal chosen by this method gives satisfactory results. Another model signal in the same converged region would also yield similar satisfactory results. IV. APPLICATION OF THE MODEL Here, the multitone model is verified with ADS envelope simulation where actual SEMELAB RF transistor SPICE models and RF components are used. The main amplifier is designed using a SEMELAB D2001UK , IP3 , and delay RF power transistor. Its linear gain are measured to be 13.1 dB, 32 dBm, and 1.8 ns, respectively, for a gate voltage of 2.6 V at 350 MHz. The error amplifier is a two-stage amplifier, designed using SEMELAB D2019UK RF

power transistors, such that its linear gain , IP3 , and delay are 33.4 dB, 36 dBm, and 4.1 ns, respectively, for a gate voltage of 2.6 V at 350 MHz. The nominal coupler couplings are dB and dB. The real and complex enveloped data, which have been introduced in Section III, are used as stimuli for our simulation environment. Input power

2176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 6. (a) Main amplifier and (b) feedforward output—model signal.

and output power are 5.2 and 17.1 dBm, respectively, for nominal parameters. The generated signals have been modeled by an in-phase equispaced multitone signal in the form of (1). To , envelope histogram and the correhave an intuition about sponding curve fit can be analyzed. There are two main criteria, namely, percentage of the peaks and the rate of the increment of the number of peaks. Fig. 4 illustrates the envelope histogram for the real enveloped signal together with the corresponding curve fit. Note that the fit function decreases linearly up to a critical instantaneous peak and then the trend of this decrement varies beyond this point. To our observation, the extension of the linear decrement to the -axis gives us an approximate value for . Using this information, a minimum number of is and the corresponding determined. Fine tuning for coefficients can be done by sweeping for appropriate combinaand taking the selection criteria parameters , , tions of and into consideration. Table I illustrates the comparisons and the actual data. For the real and for different sets of complex enveloped data, the model coefficient sets and are found to be , 6.3 dB and , 5.8 dB, respectively. Once proper sets of are determined, ACP at the output of the main amplifier and feedforward system can be computed rapidly using closed-form expressions derived in is found by dividing the baseband bandwidth Section II.

Fig. 7. Feedforward output power and ACP for various C values for: (a) real and (b) complex enveloped signals.

by (in our case, three) to give approximately 0.8 MHz for the real enveloped case. Figs. 5 and 6 illustrate main amplifier and feedforward simulation outputs for the actual and model signal. Figs. 7 and 8 compare the model with simulations carried out with the actual signal and real amplifiers, which are designed using RF transistor SPICE models for different , , and phase mismatches in both loops. The results point out the agreement between the simulation and model. Some discrepancies are still observed, particularly at the notch points where higher order nonlinearity effects take place. To illustrate how accurate the actual signal is modeled, simulations are carried out with the actual signal and corresponding system amplifiers whose parameters are the same as the ones used in the model are also added to these figures. Note that variation of has an impact on and there is an optimum point for a does not have a similar good correction. However, varying . From Fig. 9, it can be deduced that system impact on can tolerate approximately 10 of phase mismatch in the first

COSKUN et al.: MULTITONE MODEL OF COMPLEX ENVELOPED SIGNALS

Fig. 8. Feedforward output power and ACP for various C values for: (a) real and (b) complex enveloped signals.

loop, i.e., , whereas linearity performance degrades with the phase mismatch in the second loop, i.e., . As increases, the average power at the input of the error amplifier increases, driving the error amplifier to a higher nonlinearity and changing in Fig. 9 its linearity parameters. The discrepancies for high are possibly due to this phenomenon. V. COMMENTS ON DELAY MISMATCH The model discussed above assumes the system is delay matched. Consideration of the delay issue requires the modification of (1) as follows:

(29) The delay term in the carrier frequency corresponds to the phase component, and this component is included in the above analysis. The delay term in the baseband causes asymmetry

2177

Fig. 9. Feedforward output power and ACP for various phase mismatches in the loops (8 , 8 ) for: (a) real and (b) complex enveloped signals.

since the expansion within the brackets in the above equation involves both sine and cosine terms. The spectrum content of the cosines are in-phase around zero frequency, whereas the sines are out-of-phase. Hence, this model can be utilized to include delay effects. However, since it would be very complex mathematically to transmit the delayed terms via the nonlinearity of the error amplifier, this issue has not been considered in this study. VI. CONCLUSION Feedforward linearization is preferred for its superior linearization performance. However, feedforward linearizers are complex structures since nonlinear characteristics of two amplifiers, coupler parameters, and delay and phase mismatches in two loops influence the overall system performance for optimum linearity and efficiency. Therefore, fast, accurate, and convenient analytical tools need to be developed to enable the designer to observe the impact of each system parameter

2178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

rapidly and determine the system relaxations and tolerances accordingly. Such a task can be accomplished by modeling not only the system, but also the input signal to be amplified. In this paper, a novel approach has been brought into consideration for signal and the overall linearizer modeling. An arbitrary stimulus whose stochastic characteristics are not well known is modeled using a minimum number of in-phase equispaced tones with nonconstant amplitudes. Hence, it is aimed to simplify the model as much as possible and give the designer the opportunity to deal with a number of tones rather than complex enveloped signals. Criteria for selecting model parameters have been developed by taking the statistics of the envelope histogram and the nonlinearity of the main amplifier into account. Based upon this model, the overall system has been characterized including amplitude and phase mismatches and closed-form expressions for output and distortion power have been derived. Even though ACP is the focus of interest, the model handles a ninth-order nonlinearity because of the nature of the system. Model verifications have been performed by an ADS envelope simulation tool where actual RF transistor models, RF components, and randomly generated real and complex enveloped data as stimulus have been used. Distortions at the output due to different sources of amplitude and phase mismatches have been compared. The comparison results emphasize the prominent potential of the developed model.

A. Hakan Coskun was born in Ankara, Turkey, in 1972. He received the B.Sc. degree (with high honors) in electrical engineering from Middle East Technical University (METU), Ankara, Turkey, in 1993, the M.Sc. degree in electrical engineering from Arizona State University, Tempe, in 1996, and the Ph.D. degree in electrical engineering from METU, in 2003. From 1993 to 1994, he was with the Turkish Scientific and Technical Research Institute (TUBITAK), Ankara, Turkey, where he was involved with modeling of inertial navigation systems. Since 1996, he has been with ASELSAN Electronics Industries Inc., Ankara, Turkey, where he is a Hardware Design Leader responsible for the design and development of RF wide-band high-power amplifiers for wireless products. His current research interests are in the areas of power-amplifier design and power-amplifier linearization for wireless applications. Dr. Coskun was the recipient of a Fulbright Scholarship.

Ahmet Mutlu was born in Omurtag, Bulgaria, in 1978. He received the B.Sc. degree (with high honors) in electrical engineering from Middle East Technical University (METU), Ankara, Turkey, in 2002, and is currently working toward the M.Sc. degree in electrical engineering at METU. Since 2002, he has been with ASELSAN Electronics Industries Inc., Ankara, Turkey, where he is an Engineer responsible for the design and development of RF wide-band high-power amplifiers for wireless products.

REFERENCES [1] N. Pothecary, Feedforward Linear Power Amplifiers. Boston, MA: Artech House, 1999, pp. 123–140. [2] H. Coskun and S. Demir, “A mathematical characterization and analysis of a feedforward circuit for CDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 767–777, Mar. 2003. , “Application of an analytical model to an actual CDMA system [3] feedforward linearizer,” in Proc. Eur. Microwave Conf., 2003, pp. 773–776. [4] J. C. Pedro and N. B. Carvalho, “On the use of multitone techniques for assessing RF components’ intermodulation distortion,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2393–2402, Dec. 1999. [5] N. Boulejfen, A. Harguem, and F. M. Ghannouchi, “New closed-form expressions for the prediction of multitone intermodulation distortion in fifth-order nonlinear RF circuits/systems,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 121–132, Jan. 2004. [6] H. Coskun, A. Mutlu, and S. Demir, “Presentation of a multitone model and its application to feedforward circuit analysis,” in Proc. Eur. Microwave Conf., 2004, pp. 209–212. [7] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Boston, MA: Artech House, 1999, pp. 197–203.

Simsek Demir (S’91–M’02) received the Ph.D. degree in electrical engineering from Middle East Technical University (METU), Ankara, Turkey, in 1998. He is currently an Assistant Professor with the Department of Electrical and Electronics Engineering, METU. In 1995, he was involved with linear monolithic-microwave integrated-circuit (MMIC) amplifier research with the University of Massachusetts. In 1999, he was with the International Research Center for Telecommunication Transmission and Radar (IRCTR), Technical University of Delft, Delft, The Netherlands, where he contributed to the beam-forming network of the TARA system. His current interests are MMIC and RF microelectromechanical systems (MEMS) applications, power-amplifier linearization, beam-forming network design, and sparse antenna arrays. Dr. Demir was the recipient of a North Atlantic Treaty Organization (NATO) A2 Fellowship.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2179

The Impact of RF Front-End Characteristics on the Spectral Regrowth of Communications Signals Kevin G. Gard, Member, IEEE, Lawrence E. Larson, Fellow, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—The nonlinear characteristic of an RF front-end results in in-band distortion and spectral regrowth of digitally modulated signals with distortion being dependent on the statistical variation of the signal. In this paper, the interaction of the nonlinear response with the signal is explored using a time-averaged autocorrelation analysis applied to several limiter-amplifier models having characteristics ranging from soft-to-hard amplitude limiting. The analysis is verified by comparing measured and predicted adjacent channel power rejection for a code-division multiple-access amplifier. Index Terms—Adjacent channel interference, adjacent channel power ratio (ACPR), autocorrelation function, bandpass nonlinearity, behavioral models, cellular radio, code-division multiple-access (CDMA) signal, code division multiple access, complex Gaussian process, correlation, correlation theory, digital radio, digital radio system, digital signals, digitally modulated carrier, Gaussian processes, limiting amplifier, limiting-amplifier model, microwave amplifiers, modulation, nonlinear amplifier, nonlinear distortion, nonlinear transformation, quadrature input signal, RF envelope model, spectral analysis, spectral regrowth, statistical analysis.

I. INTRODUCTION

D

ISTORTION of digitally modulated communication front-end circuits is dependent on the amplitude variation characteristics of the input signal and the nonlinear input/output characteristics of the circuits. Circuit-dependent distortion is investigated in this paper by examining several limiting amplifier models with characteristics ranging from soft-to-hard limiting. Hard limiting amplifiers were found to generally produce significantly less distortion than soft limiting amplifiers. However, the distortion characteristics of hard limiters exhibit signal-dependent notches due to partial cancellation between higher and lower order intermodulation-distortion products. The depth of cancellation and input amplitude where distortion cancellation occurs is dependent on the nonlinearity and the amplitude variation characteristics of the input signal. The impact of amplifier nonlinearity and of signal amplitude characteristics on output distortion are investigated by first developing a time-averaged autocorrelation analysis of the output carrier envelope. This analysis results in a decomposition of the Manuscript received October 1, 2004; revised January 6, 2005. This work was supported by the Center for Wireless Communications, University of California at San Diego, and by Qualcomm Inc. K. G. Gard and M. B. Steer are with the Electrical and Computer Engineering Department, North Carolina State University, Raleigh, NC 27695-7914 USA (e-mail: [email protected]). L. E. Larson is with the Center for Wireless Communications, Electrical and Computer Engineering Department, University of California at San Diego, La Jolla, CA 92093 USA. Digital Object Identifier 10.1109/TMTT.2005.848801

output power spectrum into a summation of distinct spectral components. Each spectral component is in terms of elements of the underlying nonlinear process and of the input signal leading to relationships among the output spectrum, nonlinear model parameters, and characteristics of the input signal. The output spectrum is a summation of spectral terms, each of which is described by a unique combination of coefficients of the nonlinear model and of the Fourier transform of an autocorrelation term of the input signal [1]. Grouping spectral terms yields information on gain-compression/expansion and intermodulation-distortion characteristics, which are not directly observable from the Fourier transform of the output time-domain waveform [2]–[4]. This paper presents a time-averaged autocorrelation analysis of a modulated carrier passed through a wireless nonlinear circuit modeled by a complex power-series behavioral model. Such a model has proven to be adequate for modeling a bandpass nonlinear system with digitally modulated signals [5]. The timeaverage autocorrelation function of the output complex envelope is formulated, and the output power spectrum is obtained from the Fourier transformation of the autocorrelation function. Spectral regrowth, adjacent channel power ratio (ACPR), and gain-compression analysis results are compared for five limiter amplifier models. Finally, the complex gain characteristic of a code-division multiple-access (CDMA) amplifier is measured and modeled as a complex power series. The model is used to calculate the output power spectrum when a CDMA mobile station and a complex Gaussian input signals are applied to the circuit. Measured and predicted ACPR results are compared and shown to be in excellent agreement. II. BANDPASS NONLINEARITY MODELING The nonlinear response of a circuit generates distortion terms at harmonics of the carrier. However, only intermodulation-distortion terms centered at the carrier frequency determine adjacent channel interference and signal waveform quality. Here we present an overview of bandpass nonlinearity analysis of a modulated carrier passed through a complex power-series-based behavioral model of a nonlinear wireless circuit. This yields a model for the transfer function (of the first harmonic response) in terms of the complex envelope of the input signal. The analysis is then applied to several analytical limiter models commonly used in modeling communication systems. A. Bandpass Nonlinearity A wireless digital communication signal is most commonly generated by a quadrature modulator, as shown in Fig. 1. In-phase and quadrature carrier signals are mixed with two

0018-9480/$20.00 © 2005 IEEE

2180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the carrier frequency are obtained from a binomial expansion of (3) using (1) as the input (4) The output response at the fundamental frequency can also be [8] defined as a function of the complex envelope Fig. 1.

Block diagram of quadrature modulator and bandpass nonlinearity.

input signals representing the in-phase and quadrature components of the data. The mixed signals are then summed to form the modulated carrier. This “classic” transmitter front-end architecture is used in most wireless communications applications, and we will now analyze the response of a nonlinear amplifier to the signals it generates. Consider the complex envelope representation of an with carrier freamplitude and phase modulated carrier quency as follows:

(1)

(5) where (6) This expression describes the complex envelope of the first harmonic of a modulated carrier signal passed through a bandpass nonlinear circuit described by a complex power series. These coefficients provide a describing function representation of the nonlinearity [9]. We will now use these results to derive the large-signal fundamental response of some well-known nonlinear amplifier models. C. Limiter Amplifier Models

where the complex envelope is (2) with and being the in-phase and quadrature components of the modulation and . The modulated carrier signal is applied to a nonlinear where the AM–AM circuit with a gain characteristic and AM–PM nonlinearities respond instantly to amplitude changes from the modulated carrier signal [6]. As a result, so-called “memory effects,” including thermal time constants, low-frequency biasing effects, and “slow” carrier trapping and de-trapping are ignored. In communication system analysis, the is most commonly represented envelope transfer function by an analytical model or power-series expansion of a function with amplitude-limiting characteristics. B. Complex Power-Series Models

The hyperbolic tangent function is a convenient function, which is often used for simple modeling of the limiting behavior of amplifiers. It also describes the large-signal low-frequency response of a bipolar transistor differential pair amplifier (7) where is the linear gain and is the limit value of the output signal. One drawback of the hyperbolic tangent function is that the “sharpness” of the transition from the linear to the limiting characteristic of the model is fixed in relation to the gain and cannot be adjusted without introducing additional parameters. Another popular behavioral limiter model, which permits independent control of gain, limiting value, and the sharpness of the transition characteristic is the Cann model [10] given by (8)

A complex power-series expansion can be used to model both the instantaneous AM–AM and AM–PM characteristics

(3) where are the complex power-series coefficients. The use of complex coefficients in the power series provides the necessary degrees of freedom to represent both the AM–AM and AM–PM properties of a nonlinear gain characteristic [7]. The complex power-series coefficients are obtained by either a Taylor series expansion of a nonlinear function or a least squared error fit of the series coefficients to a measured, simulated, or derived complex gain characteristic for the device-under-test (DUT). Distortion terms from the model that are centered about

where is the small-signal gain, is the limit value of the output signal, and controls the sharpness of the transition from linear to limiting. One drawback to the Cann limiter model is that it exhibits derivative behavior that leads to nonphysical behavior of the intermodulation products for different values of [11]. The power-series coefficients for (7) and (8) may be obtained from a Taylor series expansion of each function. However, the range of validity of a Taylor series expansion about a single point is too small to adequately represent an amplifier operating near the gain-compression region. Alternatively, a least squares fit of the power-series coefficients to the limiter response provides a wider range of validity that extends several decibels into the gain-compression region of the limiter response. A least squares fit of odd order 23, 12 coefficients, was applied to each

GARD et al.: IMPACT OF RF FRONT-END CHARACTERISTICS ON SPECTRAL REGROWTH OF COMMUNICATIONS SIGNALS

2181

where

(12) The output power spectrum is obtained from the Fourier transform of the output autocorrelation function

(13) Fig. 2. Carrier gain characteristics of Cann and hyperbolic tangent power-series limiter models.

of the nonlinear carrier transfer functions. An order of 23 was selected as a tradeoff between the range of validity and computational ease. A plot of the carrier gain characteristics from each of the power-series models is shown in Fig. 2. These curves can be used to estimate the gain-compression characteristics and range of model validity for differing values for the Cann or hyperbolic tangent models. III. AUTOCORRELATION ANALYSIS OF DISTORTION We will now use time-averaged autocorrelation analysis to derive expressions for the spectrum of a digitally modulated signal passed through a bandpass nonlinearity. The analysis is performed on several different analytical limiting amplifier models, and the output spectrum and ACPR are calculated as a function of output power. The output autocorrelation function of the nonlinear model is

where

In general, there are autocorrelation and spectral odd order power-series exterms in the expansion for an pansion. For a particular modulation input signal, the individual autocorrelation and spectrum terms are computed only once and stored in a file. At run time, the spectral components are read, then scaled by the power-series coefficients and input power level, and summed to yield the output spectrum. The output spectrum terms can be separated into distinct groups describing different nonlinear effects. For instance, the spectral terms correlated to the input signal represent the gain expansion or compression of the desired signal at the output, while all other terms represent the uncorrelated nonlinear distortion about the carrier. The gain compression or expansion terms from (13) are

(9) (14)

where, from (6),

while the nonlinear distortion terms from (13) representing the spectral regrowth are

(10) Expanding (9) by substituting (10) leads to the output autocorrelation function

(11)

(15)

IV. SPECTRAL RESULTS Spectral analysis of the limiter models requires evaluation of (13) using the least squares fitted power-series model for each precomputed spectral terms. The limiter and the spectral terms are computed by evaluating the autocorrelation terms using discrete estimates of (11) from a

2182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 4.

Spectrum components from autocorrelation analysis.

Fig. 5.

Total output power spectrum at 6 dBm for each limiter model.

Fig. 3. Flowchart for power-spectrum calculation.

time-domain realization of the input signal [8], then taking the fast Fourier transform (FFT) of each correlation term, and finally saving all the spectral terms in a single file. The power of the input signal used to generate the spectral file is normalized to a convenient value, in this case, 0 dBm or 1 mW, such that the power of each spectral term is simply scaled by the ratio of the desired input power to the normalized input power raised to the appropriate power of the spectral term

(16) where the subscript denotes the normalized input power of the spectral term. The output spectrum is calculated by summing the product of the scaled spectral terms from (16) by the . A flowcorresponding power-series coefficient chart of the spectral calculation is shown in Fig. 3. A sweep over the of the input power is easily performed by sweeping power range of interest and recalculating the sum of spectral terms. A. Spectral Analysis These results can be used to efficiently calculate the output spectrum of a nonlinear system once the autocorrelation of the input signal and the nonlinear power-series coefficients are determined. An example plot of the composite, gain compression/expansion, and distortion power spectrums for the hyperbolic tangent limiter model are shown in Fig. 4. The power spectrum for the gain-compression/expansion terms from (14) is nearly identical to the spectrum of the input signal. The out-of-band spectrum of the composite signal is limited to approximately 70 dBc by the finite rejection of the CDMA baseband finite impulse response (FIR) filter, as indicated in the plot, where the composite and gain-compression/expansion spectrums converge around 3 MHz. However, the distortion spectrum, from (15), clearly shows the distortion that lies underneath the finite rejection of the input signal baseband filter.

The distortion spectrum also reveals the in-band distortion, which is normally “hidden” by the desired signal when viewing the composite power spectrum. In-band distortion is important for determining signal waveform quality factor degradation [12] in nonlinear amplifiers. A composite plot of the output power spectrum for each of the limiter models described in Section III, with a CDMA IS-95 reverse link input signal and an output power of 6 dBm, is shown in Fig. 5. For equal output power, the out-of-band distortion is highest for the softer limiter models like the Cann and hyperbolic tangent models, and lowest for models with a sharper nonlinear transition. These curves can be very useful in predicting CDMA ACPR and waveform quality factor for an amplifier whose gain has been approximated by a Cann or hyperbolic tangent model. B. Power-Sweep Analysis Power-sweep characterization of ACPR is important for understanding the nonlinear behavior of a transmitter circuit. Typically, much of the design effort is spent optimizing ACPR performance at the maximum output power specification in an effort to maximize efficiency, while meeting the ACPR specification limits. An input power sweep was performed from 50 to 5 dBm in 0.5-dB steps to obtain an ACPR

GARD et al.: IMPACT OF RF FRONT-END CHARACTERISTICS ON SPECTRAL REGROWTH OF COMMUNICATIONS SIGNALS

Fig. 6. Adjacent channel power at 885-kHz offset for limiter models.

as a function of output power for each of the models. The ACPR results are shown in Fig. 6 for a distortion offset of 885 kHz, as defined for the adjacent channel measurement in the IS-95 CDMA specification [13]. There are notable differences in the ACPR produced by the different models. The hyperbolic tangent and Cann models both exhibit a 2 : 1 ACPR slope, which is expected for most class-A or class-AB amplifiers where the third-order term and dominates the distortion. However, the Cann models exhibit steeper slope at high output power, indicating higher order nonlinear terms dominating the distortion characteristic. Notches in the ACPR response indicate partial cancellation between nonlinear terms. The location of the notch is dependent on the sharpness of the limiter function. Sharper limiter functions provide cancellation at higher output power levels. Input signal amplitude characteristics also play an important role in determining the intermodulation characteristics. Signals with higher peak-to-average power ratio (PAR) generally require more input power backoff to achieve the same intermodulation-distortion performance as a signal with lower PAR [14]. Three signals with distinctly different amplitude characteristics were used to investigate the signal-dependent intermodulation characteristics of two nonlinear models. The first is a CDMA reverse-link signal with a modest PAR of 5.4 dB. The second is a complex Gaussian signal with a Rayleigh amplitude distribution with a PAR of 11.8 dB. The complex Gaussian signal is an approximate model for orthogonal frequency division multiplexing (OFDM) signals [15] used in wireless local area network (WLAN) systems. The third signal has a real Gaussian amplitude distribution with a PAR of 13.8 dB. ACPR results for each signal applied to the Cann and models are shown in Fig. 7. Signals with higher amplitude variation yield higher distortion. Notably, the notch locations for the case occurs at lower input power levels and with a shallower depth for signals with higher amplitude variation. In summary, it appears that hard limiting results in lower overall adjacent channel power than a soft-limiting front-end. There is an exception in cases where distortion is reduced at lower power levels due to cancellation effects between various

2183

Fig. 7. ACPR for three input signals applied to two limiter models.

Fig. 8. CDMA gain-compression characteristic.

distortion components. The effect is signal dependent and is related to amplitude distribution of the input signal. Nevertheless, it is seen that tailoring of the nonlinear response to a particular communication signal will result in reduced adjacent channel distortion at higher input powers. C. Gain Compression The gain-compression characteristic of a nonlinearity is also important [16]. The CDMA gain-compression characteristic is measured by comparing the difference in input power and output power for the desired CDMA signal channel. A plot of CDMA gain compression is shown in Fig. 8. The CDMA gain compression is more significant for models with a softer nonlinear transition compared to models with a sharper transition. Real and complex bandpass Gaussian waveforms have significantly wider amplitude variation than sinusoidal or CDMA waveforms so the gain with these signals should compress at a lower input signal level than a CDMA signal. Similar to the CDMA compression results, the complex Gaussian gain compression is more significant for models with a softer nonlinear transition compared to models with a sharper transition. A comcompression point parison of the CDMA input referred to the sinusoidal compression results for the nonlinear models is shown in Table I. The wider amplitude variations of the

2184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE I GAIN COMPRESSION FOR DIFFERENT INPUT SIGNALS

TABLE II COMPLEX POWER SERIES COEFFICIENTS FOR 835-MHz CDMA AMPLIFIER

real Gaussian signal contribute more to the gain compression, resulting in a 3.0–3.5 dB lower input referred compression point compared to a CDMA signal. Interestingly, the complex Gaussian signal has approximately 1.5 dB higher input compression point than the real Gaussian signal. The input compression points could not be accessed for the Cann limiter model for the real Gaussian signal because the dynamic range of the power-series fit is not wide enough to accommodate the 13-dB peak-to-average ratio of the input signal at 1-dB gain compression. V. MEASUREMENTS The general time-average autocorrelation function and the complex Gaussian moment methods were used to calculate the output power spectrum and ACPR of an integrated RF amplifier with CDMA and complex Gaussian input signals. The DUT is a 835-MHz CDMA driver amplifier device fabricated using a GaAs MESFET technology [17]. The device is a two-stage amplifier designed to provide 23.4 dB of power gain, in a 50system, and meet CDMA ACPR specification requirements at an output power of 8 dBm. A vector network analyzer, with a built in power-sweep function, was used to measure the AM–AM and AM–PM over an input power range of 25 to 2 dBm. The measured AM–AM and AM–PM characteristics using were fit to a complex power series of odd order a least squares solution. The resulting complex power-series coefficients are shown in Table II and a plot of the power-series model and measured data are shown in Fig. 9. A carrier modulated with an IS-95 CDMA reverse-link signal is applied to the amplifier circuit and the output distortion measured using a spectrum analyzer. Specifically, an Agilent ESG series signal generator with the capability to generate an IS-95 CDMA signal was used as the signal source and an Agilent vector signal analyzer (VSA) was used to measure ACPR. The VSA equipment has built-in measurement routines to measure ACPR for specified offsets to the carrier frequency. ACPR is the ratio, in decibels, of the distortion power, in a 30-kHz bandwidth offset by 885 kHz, and the desired channel power, in a 1.23-MHz bandwidth, as defined in the IS-95 Standard [13]. The measured and calculated ACPR is shown in Fig. 10. The

Fig. 9. Modeled and measured AM–AM/AM–PM response for a CDMA amplifier.

Fig. 10.

Measured and calculated ACPR for CDMA reverse-link signal.

ACPR was calculated using the general time-average correlation function formulation for the gain-compression/expansion terms (14), the distortion terms (15), and the power-series coefficients from Table II. The simulated ACPR results using the composite of the gain compression/expansion (14) and distortion terms (15) agree well with the measured data shown Fig. 10. The ACPR plateaus at lower output power because of the finite rejection of the CDMA baseband filter used by the waveform generator.

GARD et al.: IMPACT OF RF FRONT-END CHARACTERISTICS ON SPECTRAL REGROWTH OF COMMUNICATIONS SIGNALS

Fig. 11.

Measured and calculated ACPR for a complex Gaussian input signal.

A complex Gaussian signal was also used to measure ACPR to compare against both the complex Gaussian moment [8] and the time-average autocorrelation formulations. The ACPR measurements along with the predicted ACPR from both the complex Gaussian moment and time-average autocorrelation formulations are shown in Fig. 11. The measured and predicted ACPR are in good agreement below an output power level of 11 dBm. Both the complex Gaussian moment and time-average autocorrelation formulations deviate from the measured data above 11 dBm because of the limited dynamic range of the complex power-series model of the nonlinear amplifier.

VI. CONCLUSION An efficient and accurate method for analyzing the power spectrum of modulated carriers passed through a nonlinear wireless circuit has been presented. The method is based on formulating the time-average autocorrelation function for a signal passed through a complex power-series behavioral model of the AM–AM and AM–PM characteristics of a wireless amplifier. The power spectrum of the signal is obtained via the Fourier transformation of the output autocorrelation function leading to terms for an th odd-order powera summation of series expansion of the nonlinearity. Autocorrelation analysis was applied to limiter amplifier models leading to insight into the distortion process. The analysis was validated by comparing ACPR results from the model with measured data from a CDMA amplifier.

ACKNOWLEDGMENT The authors wish to thank the reviewers for their many helpful suggestions and comments.

REFERENCES [1] J. G. Proakis and D. G. Manolakis, Digital Signal Processing: Principles, Algorithms, and Applications, 2nd ed. New York: Macmillan, 1992.

2185

[2] S.-W. Chen, W. Panton, and R. Gilmore, “Effects of nonlinear distortion on CDMA communication systems,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2743–2750, Dec. 1996. [3] J. S. Kenney and A. Leke, “Power amplifier spectral regrowth for digital cellular and PCS applications,” Microwave J., vol. 38, no. 10, p. 74, Oct. 1995. [4] W. Struble, F. McGrath, I. Harrington, and P. Nagle, “Understanding linearity in wireless communication amplifiers,” IEEE J. Solid-State Circuits, vol. 32, no. 9, pp. 1310–1318, Sep. 1997. [5] G. L. Heiter, “Characterization of nonlinearities in microwave devices and systems,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. Dec., pp. 797–805, 1973. [6] N. Blachman, “Band-pass nonlinearities,” IEEE Trans. Inf. Theory, vol. IT-10, no. 2, pp. 162–164, Apr. 1964. [7] M. B. Steer and P. J. Khan, “An algebraic formula for the output of a system with large-signal, multifrequency excitation,” Proc. IEEE, vol. 71, no. 1, pp. 177–179, Jan. 1983. [8] K. Gard, M. B. Steer, and L. E. Larson, “Generalized autocorrelation analysis of spectral regrowth from bandpass nonlinear circuits,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 2001, pp. 9–12. [9] L. Wadel, “Describing function as power series,” IRE Trans. Autom. Control, vol. AP-7, no. 4, p. 50, 1962. [10] A. J. Cann, “Nonlinearity model with variable knee sharpness,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-16, pp. 874–877, Nov. 1980. [11] S. L. Loyka, “On the use of Cann’s model for nonlinear behavioral-level simulation,” IEEE Trans. Veh. Technol., vol. 49, no. 5, pp. 1982–1985, Sep. 2000. [12] V. Aparin, “Analysis of CDMA signal spectral regrowth and waveform quality,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2306–2314, Dec. 2001. [13] Mobile Station-Base Station Compatibility Standard for Dual-Mode Wide-Band Spread-Spectrum Cellular Systems, TIA/EIA IS-95, 1993. [14] J. F. Sevic and M. B. Steer, “On the significance of envelope peak-toaverage ratio for estimating the spectral regrowth of an RF/microwave power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1068–1071, Jun. 2000. [15] P. Banelli and S. Cacopardi, “Theoretical analysis and performance of OFDM signals in nonlinear AWGN channels,” IEEE Trans. Commun., vol. 48, no. 3, pp. 430–441, Mar. 2000. [16] H. Gutierrez, K. Gard, and M. B. Steer, “Nonlinear gain compression in microwave amplifiers using generalized power-series analysis and transformation of input statistics,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1774–1777, Oct. 2000. [17] V. Aparin, K. Gard, G. Klemens, and C. Persico, “GaAs RFIC’s for CDMA/AMPS dual-band wireless transmitters,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 1998, pp. 81–84.

Kevin G. Gard (S’92–M’95) received the B.S. and M.S. degrees in electrical engineering from North Carolina State University, Raleigh, in 1994 and 1995, respectively, and the Ph.D. degree in electrical engineering from the University of California at San Diego, La Jolla, in 2003. He is currently the William J. Pratt Assistant Professor with the Electrical and Computer Engineering Department at North Carolina State University. From 1996 to 2003, he was with Qualcomm Inc., San Diego, CA, where he was a Staff Engineer and Manager responsible for the design and development of RF integrated circuits (RFICs) for CDMA wireless products. He has designed SiGe BiCMOS, Si BiCMOS, and GaAs metal–semiconductor field-effect transistor (MESFET) integrated circuits for cellular and personal communication systems (PCS) CDMA, wide-band code division multiple access (WCDMA), and AMPS transmitter applications. His research interests are in the areas of integrated circuit design for wireless applications and analysis of nonlinear microwave circuits with digitally modulated signals. Dr. Gard is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Solid-State Circuits Society, Eta Kappa Nu, and Tau Beta Pi.

2186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Lawrence E. Larson (S’82–M’86–SM’90–F’00) received the B.S. and M.Eng. degrees in electrical engineering from Cornell University, Ithaca, NY, in 1979 and 1980, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1986. From 1980 to 1996, he was with Hughes Research Laboratories, Malibu, CA, where he directed the development of high-frequency microelectronics in GaAs, InP, Si/SiGe, and microelectromechanical systems (MEMS) technologies. In 1996, he joined the faculty of the University of California at San Diego (UCSD), La Jolla, where he is currently the Inaugural Holder of the Communications Industry Chair. He is currently Director of the Center for Wireless Communications, UCSD. During the 2000–2001 academic year, he was on leave with IBM Research, San Diego, CA, where he directed the development of RF integrated circuits (RFICs) for third-generation (3G) applications. He has authored or coauthored over 200 papers. He holds 27 U.S. patents. Dr. Larson was the recipient of the 1995 Hughes Electronics Sector Patent Award for his research on RF MEMS technology. He was corecipient of the 1996 Lawrence A. Hyland Patent Award of Hughes Electronics for his research on low-noise millimeter-wave high electron-mobility transistors (HEMTs), and the 1999 IBM Microelectronics Excellence Award for his research in Si/SiGe HBT technology.

Michael B. Steer (S’76–M’82–SM’90–F’99) received the B.E. and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Australia, in 1976 and 1983, respectively. He is currently a Professor with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh. In 1999 and 2000, he was a Professor with the School of Electronic and Electrical Engineering, The University of Leeds, where he held the Chair in microwave and millimeter-wave electronics. He was also Director of the Institute of Microwaves and Photonics, The University of Leeds. He has authored approximately 300 publications on topics related to RF, microwave and millimeter-wave systems, high-speed digital design, and RF and microwave design methodology and circuit simulation. He coauthored Foundations of Interconnect and Microstrip Design (New York: Wiley, 2000). Prof. Steer is active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). In 1997, he was secretary of the IEEE MTT-S. From 1998 to 2000, he was an elected member of its Administrative Committee. He is the Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2006). He was a 1987 Presidential Young Investigator (USA). In 1994 and 1996, he was the recipient of the Bronze Medallion presented by the Army Research Office for “Outstanding Scientific Accomplishment.” He was also the recipient of the 2003 Alcoa Foundation Distinguished Research Award presented by North Carolina State University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2187

Full-Wave Analysis for Propagation Characteristics of Cylindrical Coplanar Waveguides With Finite Thickness of Conductor Hiroshi Yamamoto, Hayato Miyagawa, Toshio Nishikawa, Fellow, IEEE, Kikuo Wakino, Life Fellow, IEEE, and Toshihide Kitazawa, Senior Member, IEEE

Abstract—A full-wave analysis by the extended spectral-domain approach is successfully applied to solve the cylindrical coplanarwaveguide problem. The analysis incorporates the effects of the edge singularities properly and can afford the efficient and accurate calculation method for the characteristic impedances in addition to the phase constants of cylindrical coplanar waveguides (CCPWs) with zero- and finite-thickness conductor. The numerical results obtained by this method have revealed the effect of conductor thickness on the characteristics of higher order modes, as well as the odd and even dominant modes of CCPWs for the first time. Index Terms—Characteristic impedance, conductor thickness, coplanar waveguide (CPW), spectral-domain approach. Fig. 1. Schematic structure of CCPW. (a) Cross section. (b) Right-hand-side aperture subregion.

I. INTRODUCTION

R

ECENTLY demands for cylindrical coplanar waveguide (CCPW) devices fabricated on the curved surfaces have increased because of the spatial limit due to the miniaturization and smart design of equipment. Several theoretical procedures have been reported to analyze the propagation characteristics of the CCPW [1]–[7], where only the results for the dominant modes were studied. However, higher order modes of CCPWs would significantly affect the propagation characteristics. Another problem is the effect of the conductor thickness on the characteristics. Previous works have assumed the conductor thickness to be zero. It is well known that the fields in coplanar-type transmission lines are concentrated in the aperture regions between the conductors, therefore, the effect of conductor thickness in a coplanar waveguide (CPW) is more significant than that in strip lines [8], [9]. The effect of conductor thickness in the CPW on the flat substrates has been analyzed accurately and efficiently by the extended spectral-domain approach (ESDA) [9]. The method has been extended further to treat the discontinuity problems in CPW considering the effect of finite metallization thickness [10]. In this paper, the ESDA is extended to the analysis of the frequency-dependent phase constants not only of the dominant [11], but also higher order modes of the CCPW with zero or Manuscript received October 1, 2004; revised January 14, 2005. This work was supported in part by the Frontier Technology Research and Development Center, Murata Manufacturing, Yokohama, Japan. The authors are with the Department of Electrical and Electronic Engineering, Ritsumeikan University, Kusatsu 525-8777, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848797

finite conductor thickness. Furthermore, the thickness effect on the characteristic impedance of the dominant wave of the CCPW is analyzed for the first time. The numerical method for the phase constants is based on Galerkin’s procedure, which has the stationary nature, and the variational method is used for the accurate and numerically efficient calculation of the characteristic impedance. The effect of the edge singularities is sensitive to the calculation of these parameters, especially for evaluation of the thickness effect on the characteristic impedance. The incorporation of the singularity into the numerical computation has been extensively studied in this paper. II. THEORY A. ESDA for CCPWs Fig. 1 shows the cross section of the CCPW put on the singlelayered dielectric substrate with the thickness and relative dielectric constant , which is uniform in the propagation direction. The substrate is covered with the center strip of the and ground conductor, whose inner circumferential width is defined as radius is , and curvature ratio (1) Both conductors, strip, and ground are assumed to be perfect conductive (PEC), but have the finite thickness , as opposed to the previous reports [1]–[7]. A single-layered substrate is assumed in the following explanation for the simplicity although this method is applicable to multilayered and/or overlaid structure problem. The theoretical scheme is based on the ESDA [9].

0018-9480/$20.00 © 2005 IEEE

2188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

can be transformed in the spectral domain (5)

Fig. 2. Even and odd modes.

The method has been successfully worked out to analyze the effect of the conductor thickness of the various types of planar transmission lines [12]–[14]. Here, in this study, the method is extended further to the analysis of the effect of conductor thickness in CCPW. The electric field of dominant odd and even modes for a CCPW is shown in Fig. 2. The odd mode is considered in following formulation. The even mode can be treated similarly, and the numerical solution for the even mode is shown in Section III. In the ESDA, first the aperture electric fields are introduced at the circumferential surfaces of the slot region between the strip and ground conductors of finite thickness , the fields and at and (circumferential surfaces), respectively [see Fig. 1(b)]. By introducing these aperture fields and utilizing the equivalence theorem [15], the whole region is , the aperture divided into subregions, i.e., the outer and the inner subregions. After dividing the region, each subregion can be treated separately, and then the electromagnetic fields in each subregion are expressed in terms of the appropriate eigenfunctions , , which satisfy the boundary conditions in the -direction

Similar transformed continuity conditions can be derived for , , and . If a discontinuity in the direction exists on the interface, , the different types of eigensuch as the interface at functions should be used in each subregions of the interface and the simple transformation of the continuity conditions explained above cannot be obtained. Instead, the aperture fields are utilized to assure the continuity conditions in this case. At the interface , between the dielectric substrate and aperture subregion the continuity of electric fields are expressed as

for

(6)

where is the component of the aperture electric fields at . This continuity condition is then transformed into the spectral domain at each side of the interface. That is, at the , the inner product of (6) inner side of the interface with the eigenfunctions of subregion (III) is taken. The orthogonality of is then resorted to obtain the transformed boundary conditions at inner side of the interface

(7) where region (III)

is the transform of the aperture field

in

(2) (8)

(3) where is the unknown phase constant, and the expressions of the eigenfunctions and are presented in [11]. By utilizing the field equations, the transversal field components can be related to the longitudinal components and . The electromagnetic fields expressed in terms of the eigenfunctions in each subregion are, in turn, to be forced to satisfy the continuities at the circumferential interfaces between the subregions. On the interfaces between the subregions where the fields are expanded in terms of the same types of the eigenand , the simple continuity conditions functions hold at the interfaces, and they can be transformed into the spectral domain by using the orthogonality of the eigenfunctions. For example, at the interface between the inner air and dielec, no discontinuity in the direction exists, tric substrate and the continuity of the component of the electric field for all (4)

The transformed boundary conditions of the aperture field at the outer side of the interface can be derived similarly as (9) where (II)

is the transform of the aperture field

in region

(10)

and are the transforms of It should be noted that at , but at in the same aperture field region (II) is different from at in region (III). The transform of the continuity condition of the -component of the electric field are obtained similarly by taking the inner product with the eigenfunctions instead of of the components. The transform of electromagnetic fields and in each subregion can be related to the transform of the aperture fields and . Transformed fields

YAMAMOTO et al.: FULL-WAVE ANALYSIS FOR PROPAGATION CHARACTERISTICS OF CCPWs

and are then substituted in (2) and (3), and the electromagnetic fields in each subregion are expressed in terms and as follows: of the aperture fields

2189

the nontrivial solution leads to the determinant equation of the phase constants (18)

(11)

(12) The remaining boundary conditions, the continuity of the magnetic field at the interfaces of the aperture subregion, are applied to obtain a set of the integral equations

(13) at the outer interface of the aperture subregion

(14) at the inner interfaces of the aperture subregion , where, are the dyadic Green’s functions, which can be obtained easily in the transformed domain [16].

The current method is applicable to the case with the conductor of zero thickness, where the aperture fields and coincide, and then the aperture region (II) will be eliminated in the analysis. The numerical results for the case with the zero-thickness conductor will be presented in comparison with those of the finite-thickness conductor. The current method is also quite versatile and is applicable to various types of CCPW problems, e.g., conductor-backed and/or overlaid CCPW, only by changing the Green’s functions in (11) and (12). It should be mentioned that the outer and inner subregions are separated by the virtually introducing aperture field, therefore, only the Green’s functions in the inner subregion is requested to be modified for the conductor-backed structure, and only the Green’s functions in the outer subregion should be modified for the overlaid structures. The phase constant obtained by (18) is substituted into (17) , , , and of to determine the expansion coefficients the aperture fields and . The EM fields in each region can be obtained by using these aperture fields and [see (11) and (12)]. These fields can then be used to evaluate the characteristic impedances. The definition of the characteristic impedances is somewhat ambiguous for the hybrid-mode propagation, and three different definitions have been used for the planar CPW, i.e., power–voltage, power–current, and voltage–current definitions [17]. Here, we adopt the voltage–current definitions (19)

B. Propagation Characteristics Applying the Galerkin’s procedure to the integral equations (13) and (14), we get the determinant equation for the phase conand stant . In this procedure, the unknown aperture fields are expressed in terms of the appropriate basis functions and as

where is the voltage between the center strip and ground conductor, and is the total current flowing in the -direction is evaluated by integrating on the center strip. The voltage the aperture electric field along the path on the circumferential aperture surface at

(15)

(20)

(16) The current can be evaluated by the line integral magnetic field around the center strip (Fig. 3) , , , and are the unknown expansion coeffiwhere cients. Substituting (15) and (16) into (13) and (14), and then taking and , we obtain the the inner product with the functions simultaneous equations for the unknown expansion coefficients (17) where cients

and are the column vectors of the expansion coeffi, , , and . The condition for the existence of

of the

(21) However, the direct numerical computations of the current based on the line integral (21) is somewhat lacking in rigor since the results of integral calculations are strongly dependent on the magnetic field’s distribution. It can be improved by using the following variational procedure. The choice of the integral path in (21) is rather arbitrary. The upper and lower circumferential path of the integration is chosen

2190

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Integral path of magnetic field for current calculation.

just above and below , the conductor surface, respectively. The radial paths at may lie anywhere within the side apertures. Along this integral path, integral (21) can be written as

(23)

It should be noted that the first two terms divided by the integrated aperture fields correspond to the variational expression for of CPW with zero-conductor thickness (see the Appendix). The remaining terms are the additional contributions due to the finite conductor thickness. Expression (23) is insensitive to small variations in the aperture fields and accurate results can be obtained with smaller numbers of basis functions, which will be verified numerically in Section III. III. NUMERICAL PROCEDURE AND RESULTS A. Convergence of Computation (22)

The total current on the center strip could be obtained by choosing the location of the radial paths anywhere within the . However, the computations in aperture this manner are sensitive to the small variations in the aperture fields and the computed results do not converge even if large number of basis functions are used in (15) and (16). Instead, as a variable, multiplying (22) by the aperture considering fields and , integrating with respect to over the aperture, and dividing the resultant equation by the integrated aperture fields, we obtain the expression of the total current on the center strip as

Preliminary computations are carried out to test the convergence of the numerical computations and to clarify the accuracy of the results. The determinant equation of the phase constants (18) is composed of the infinite series, e.g., (24) The slower convergence of this series can be improved by the similar procedure used in [16]. Equation (24) can be rewritten as (25) is the asymptotic form of . The first series conwhere verges much faster than that in (24), whereas the second series can be evaluated analytically. Fig. 4 shows the convergency of the both series in (24) and (25), which demonstrates the improvement of convergence. Next, we investigate the choice of basis functions. In coplanar-type waveguides, including slot lines, CPWs, and coplanar strips [9], the fields are more confined in the aperture regions between the conductors than those in microstrips. Thus, the thickness effect of the conductor is more significant and the effect of the edge singularities is expected to be more sensitive compared to the parameters of microstrips. For accurate and efficient computation, the proper basis functions should be selected, taking the singularities at the edge of the perfect conductor into consideration.

YAMAMOTO et al.: FULL-WAVE ANALYSIS FOR PROPAGATION CHARACTERISTICS OF CCPWs

Fig. 4. Convergence of series in (24) and (25). " = 3:0, h = 1:524 mm, S = 4:0 mm, w = 1:5 mm, and R = 0:3 at 6 GHz.

2191

Fig. 5. Convergence of normalized phase constant. " = 3:0, h = 1:524 mm, S = 4:0 mm, w = 1:5 mm, and R = 0:7 at 20 GHz.

Two types of basis functions are investigated in the preliminary numerical computation, and we choose the following. Basis function A: (26) (27) where

,

are Chebyshev polynomials and .

Basis function B: (28)

(29) where are Gegenbauer polynomials. and It should be noted that basis function (A) show the variations near the conductor edge for the both transversal and longitudinal components of electric fields, respectively, where is the distance from the edge of the PEC. They could be utilized preferably to represent the edge singularities near the conductor of zero thickness. While basis function (B) shows and variations near the edge, which are suitable the to more properly represent the field’s variations near the 90 corner of a PEC of finite thickness. Figs. 5 and 6 show the convergences of the normalized phase and the characteristic impedance with constant regard to the number of basis functions, respectively. The relafrom the values with ten basis functions tive deviations in of Fig. 6 are also shown in Fig. 7. It should be noted that basis function (A) gives the faster convergence for the zero thickness case [see Figs. 5–(7a)], as basis function (A) furnishes the edge singularities for the zero-thickness case. On the contrary, basis function (B) gives the faster convergence for the finite thickness case [see Figs. 5, 6, and (7b)]. Even if no optimized sets of basis functions are chosen, i.e., (B) for the zero or (A) for the finite thickness cases, reasonable convergence is still observed, increasing the number of basis functions.

Fig. 6. Convergence of characteristic impedance. " = 3:0, h = 1:524 mm, S = 4:0 mm, w = 1:5 mm, and R = 0:7 at 20 GHz.

The computation times of transmission characteristics for the zero and finite thickness conductors are 0.33 and 1.82 s, respectively, including the phase constant and characteristic impedance calculations on a personal computer with an Intel Pentium 4 processor (2.4 GHz), when ten basis functions are used and 500 terms are included in the series (Fig. 4). The CPU time varies depending on the configurations and the frequencies. In the following calculations, basis functions (A) and (B) are used for the zero and finite thickness of conductors, respectively. B. Numerical Results Fig. 8 and 9 show the frequency dependency of the effective dielectric constants and the characteristic impedances of the CCPW, respectively. The effective dielectric constant is defined as (30) These figures include curves and plots of zero thickness for comparison with the results by the already reported method [1], [3], and results by the current method are in reasonable agreement with those by the Galerkin’s moment method [3] for the effective dielectric constants and those by FDTD [1] for the characteristic impedances.

2192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 9.

Frequency dependency of characteristic impedance. " = 3:0, S =

4:0 mm, w = 1:5 mm, and R = 0:7.

Fig. 7.

Relative deviations in Fig. 6. Fig. 10. Thickness effect on phase constant. h = 1:524 mm, S = 4:0 mm, and w = 1:5 mm at 10 GHz.

Fig. 8. Frequency dependency of effective dielectric constant. " = 3:0, S = 4:0 mm, w = 1:5 mm, and R = 0:7.

The results of the finite thickness case are also included in this figure. The low dielectric constant of the substrate is chosen in these computations for comparison with available data, therefore, the effect of the conductor thickness is expected to be small m is set to find the in this case. The thick conductor thickness effect in this figure. The effective dielectric constants, as well as the characteristic impedances, become lower than . The thicker the conductor, the those of zero thickness more the fields are confined in the aperture air region between conductors, which lowers the effective dielectric constants. The conductor thickness effect is found in Fig. 10, where the relative change of the normalized phase constants is shown with the thickness variation of the conductor. The conductor thickness effect of the CCPW with the larger curvature ratio is close to that of a planar CPW . For the case with , the thickthe substrate of lower dielectric constant ness effect is larger for the smaller curvature ratio

because the spatial occupancy of the conductor then becomes relatively larger. On the contrary, for the case with the substrate , the thickness effect is of higher dielectric constant . As the cursmaller for the smaller curvature ratio becomes smaller, the spatial occupancy of the vature ratio substrate of higher dielectric constant becomes relatively large, the fields are confined more tightly into the substrate, and the thickness effect becomes smaller. The thickness effect on the characteristic impedances is shown in Fig. 11. The effect is more significant than that on the phase constants, and the effect is larger for the higher dielectric constant of the substrate. The characteristic impedances is (19). calculated based on the voltage–current definition is caused mainly by the current The thickness effect in flow on the side surface of the center strip conductor, and it is rather insensitive to the curvature ratio . Figs. 12 and 13 show the normalized phase constant and the characteristic impedance of the CCPWs with different curvature ratios, respectively. The computations are . It should performed for larger curvature ratios up to be noted that, as the curvature ratio becomes larger and approaches unity (planar CPW), the values both of zero and finite conductor thickness 50 m exactly converge to those of planar CPWs [9], [18], respectively. These results also confirm the validity of the current method. The CCPW supports the even mode and higher order mode, as well as the odd mode, just as in the planar CPW [18]. These modes would play a significant role in discontinuity problems of

YAMAMOTO et al.: FULL-WAVE ANALYSIS FOR PROPAGATION CHARACTERISTICS OF CCPWs

Fig. 11. Thickness effect on characteristic impedance. h = 1:524 mm, S = 4:0 mm, and w = 1:5 mm at 10 GHz.

2193

Fig. 14. Dispersion characteristics of dominant and higher order modes. " =

3:0, t = 0, h = 1:524 mm, S = 4:0 mm, w = 1:5 mm, and R = 0:7.

Fig. 12. Curvature ratio dependency of normalized phase constant. " = 3:0, h = 1:524 mm, S = 4:0 mm, and w = 1:5 mm at 6 GHz.

Fig. 15.

Cylindrical waveguide with dielectric lining.

Fig. 13. Curvature ratio dependence of characteristic impedance. " = 3:0, h = 1:524 mm, S = 4:0 mm, and w = 1:5 mm at 6 GHz.

Fig. 16. Thickness effect in the dominant and higher order modes. " = 3:0, h = 1:524 mm, S = 4:0 mm, w = 1:5 mm, and R = 0:7 at 30 GHz.

the CCPW, therefore, the characteristics of these modes should also be clarified. Fig. 14 shows the frequency dependence of the normalized phase constants of the dominant odd and even modes, as well as the first higher order odd mode of the CCPW. The dispersion characteristics are calculated assuming the thickness of the , and the values of the dominant even conductor to be zero mode are compared with those by the finite-difference timedomain (FDTD) method [1], and a good agreement is observed for a wide frequency range down to the cutoff frequency [1]. Fig. 14 also includes the characteristics of the lowest TM of the cylindrical waveguides with dielectric mode lining (Fig. 15), which has the same thickness and dielectric constant of the substrate of the CCPW. The lowest TM mode

reduces to the mode of the empty waveguide. A good agreement is also observed between the characteristics of the first higher order odd mode of the CCPW and the of the cylindrical waveguides over lowest TM mode the frequency range of slow-wave propagation suggesting a close relation exists between them. An explanation for this of the cylindrical close relation is that the TM mode waveguide has only the longitudinal ( -directed) current flow that is not affected by the longitudinal slots. The TM mode of the cylindrical waveguide has the normalized phase constants lower than unity (fast wave) in lower frequencies. On the contrary, the first higher order mode is the hybrid mode along the open guiding structure, and its normalized phase constants never become lower than unity.

2194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

The thickness of the conductor is assumed to be zero in Fig. 14. The conductor thickness effects of these three modes at 30 GHz are shown in Fig. 16. As can be seen, the effect of the conductor thickness in the first higher order mode of the CCPW is negligibly small. This can be understood by recalling the similarity between the higher order mode and TM of the cylindrical waveguide. mode

is symmetrical in the variable since and . This expression is rewritten as

IV. CONCLUSIONS A full-wave analytical method, which is able to treat the effect of the finite thickness of a conductor on electric characteristics, has been developed for CCPWs by using the ESDA. The current method incorporates the edge singularities near the conductor edge properly and leads to faster convergence for the conductors of zero or finite thickness. The method provides not only the phase constants, but also the characteristic impedance, which is evaluated by the variational expression. The accurate and efficient numerical computation enabled easy evaluation of the characteristics of higher order modes, as well as odd and even dominant modes, and the thickness effect of a conductor on propagation characteristics of the CCPW was clarified for the first time. APPENDIX STATIONARY NATURE OF EXPRESSION FOR CHARACTERISTIC IMPEDANCE OF CPW WITH ZERO-CONDUCTOR THICKNESS The expression for the characteristic impedance of the CPW in with zero-conductor thickness is derived by setting (23) as follows:

(A1)

where and are the -components of the magnetic fields at the upper and lower surfaces of the conat , respectively. ductor produced by the aperture field It can be easily shown that this expression has the stationary nature [19]. Suppose the variation in the characteristic impedance due to the change in the aperture field , then

(A2)

(A3) where the second term in the bracket is the integral of the current density over the center conductor , therefore, the variation in vanishes. REFERENCES [1] N. Dib, T. Weller, M. Scardelletti, and M. Imparato, “Analysis of cylindrical transmission lines with the finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 509–512, Apr. 1999. [2] N. Dib and T. Weller, “Finite difference time domain analysis of cylindrical coplanar waveguide circuits,” Int. J. Electron., vol. 87, no. 9, pp. 1083–1094, Sep. 2000. [3] H.-C. Su and K.-L. Wong, “Dispersion characteristics of cylindrical coplanar waveguides,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2120–2122, Nov. 1996. [4] M. Scardelletti, T. Weller, N. Dib, J. Culver, and B. King, “Coupled slot-fed microwave slot antennas on cylindrical substrates,” Appl. Microw. Wireless, vol. 13, no. 6, pp. 46–55, Jun. 2001. [5] N. Dib and A. Al-Zoubi, “Quasistatic analysis of asymmetrical cylindrical coplanar waveguide with finite-extent ground,” Int. J. Electron., vol. 87, no. 2, pp. 185–198, Feb. 2000. [6] J.-F. Kiang, C.-R. Lee, and C. H. Chen, “Characteristics of striplines with inhomogeneous cylindrical substrate,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1496–1505, May 2003. [7] N. Dib and A. Omar, “Dispersion analysis of multilayer cylindrical transmission lines containing magnetized ferrite substrates,” IEEE Trans. Microw. Theory Tech., pp. 1730–1736, Jul. 2002. [8] R. N. Simons, Coplanar Waveguide Circuits, Components, and Systems. New York: Wiley, 2001. [9] T. Kitazawa and T. Ito, “Propagation characteristics of coplanar-type transmission lines with lossy media,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 10, pp. 1694–1700, Oct. 1991. [10] C.-W. Kuo, T. Kitazawa, and T. Itoh, “Analysis of shielded coplanar waveguide step discontinuity considering the finite metallization thickness effect,” in IEEE MTT-S Int. Microwave Symp. Dig., 1991, pp. 473–475. [11] H. Yamamoto, Y. Ono, T. Nishikawa, K. Wakino, and T. Kitazawa, “An analysis of cylindrical coplanar waveguides with finite metallization thickness by extended spectral domain approach,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 589–592. [12] T. Kitazawa, “Analysis of shielded striplines and finlines with finite metallization thickness containing magnetized ferrites,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 1, pp. 70–74, Jan. 1991. , “Nonreciprocity of phase constants, characteristic impedances and [13] conductor losses in planar transmission lines with layered anisotropic media,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 2, pp. 445–451, Feb. 1995. , “Loss calculation of single and coupled strip lines by extended [14] spectral domain approach,” IEEE Microw. Guided Wave Lett., vol. 3, no. 7, pp. 211–213, Jul. 1993. [15] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York: IEEE Press, 2001, ch. 3, sec. 3-5, pp. 106–110.

YAMAMOTO et al.: FULL-WAVE ANALYSIS FOR PROPAGATION CHARACTERISTICS OF CCPWs

[16] T. Kitazawa, Y. Fujiki, Y. Hayashi, and M. Suzuki, “Slot line with thick metal coating,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 9, pp. 580–582, Sep. 1973. [17] T. Kitazawa and Y. Hayashi, “Quasistatic and hybrid-mode analysis of shielded coplanar waveguide with thick metal coating,” Proc. Inst. Elect. Eng., pt. H, vol. 134, no. 3, pp. 321–323, Jun. 1985. , “Coupled slots on an anisotropic sapphire substrate,” IEEE Trans. [18] Microw. Theory Tech., vol. MTT-29, no. 10, pp. 1035–1040, Oct. 1981. [19] R. E. Collin, Field Theory of Guided Waves. New York: McGraw-Hill, 1960, ch. 4, sec. 4-4, pp. 148–155.

Hiroshi Yamamoto was born on July 30, 1981, in Yasu, Japan. He received the B.E. degree in electrical and electronics engineering from Ritsumeikan University, Kusatsu, Japan, in 2004, and is currently working toward the M.E. degree in electrical and electronics engineering at Ritsumeikan University, Kusatsu, Japan. Mr. Yamamoto is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Hayato Miyagawa was born in Matsusaka, Japan, on December 23, 1980. He received the B.E. degree in electrical and electronics engineering from Ritsumeikan University, Kusatsu, Japan, in 2004, and is currently working toward the M.E. degree in electrical and electronics engineering at Ritsumeikan University, Kusatsu, Japan.

2195

Toshio Nishikawa (M’86–SM’90–F’97) was born on July 10, 1935, in Hakusan, Japan. He received the B.E. degree in electrical engineering and Doctor of Engineering degree from Kanazawa University, Ishikawa, Japan, in 1958 and 1990 respectively. In 1961, he joined the Murata Manufacturing Company Ltd., Kyoto, Japan. In 2000, he joined the Toyo Corporation, Tokyo, Japan. From 2000 to 2004, he was also a Guest Professor with the Cooperative Research Center and Technical Faculty, Saitama University, Saitama, Japan, and is currently a Lecturer with the Department of Electrical and Electronic Engineering, Ritsumeikan University, Kusatsu, Japan. His research activities are mainly concerned with dielectric resonators and their application to microwave components. Dr. Nishikawa is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the Information Processing Society (IPSJ), Japan.

Kikuo Wakino (M’72–SM’89–F’92–LF’99) received the B.S. degree in physics and Ph.D. degree in electrical engineering from Osaka University, Osaka, Japan in 1950 and 1980, respectively. In 1952, he joined the Murata Manufacturing Company Ltd., Kyoto, Japan, as an Research Engineer of electronic ceramics for ceramic capacitors, piezoelectric ceramic devises, and microwave dielectric resonators. From 1992 to 2003, he was a Visiting Professor with the Institute of Science and Technology, Ritsumeikan University, Kusatsu, Japan. He is currently an Advisor with the Institute of Science and Technology, Ritsumeikan University Dr. Wakino is a Fellow of the American Ceramic Society. He is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and The Japan Ceramic Society.

Toshihide Kitazawa (M’84–SM’89) received the B.E., M.E., and D.E. degrees in electronics engineering from Hokkaido University, Sapporo, Japan, in 1972, 1974, and 1977, respectively. From 1979 to 1980, he was a Post-Doctoral Fellow of the Japan Society for the Promotion of Science. In April 1980, he joined the Kitami Institute of Technology, Kitami, Japan, as an Associate Professor of Electronic Engineering. From 1982 to 1984, he was a Visiting Assistant Professor of electrical engineering with the University of Illinois at Urbana-Champaign. From 1989 to 1990, he was a Visiting Scholar of electrical and computer engineering at The University of Texas at Austin. In September 1991, he joined Ibaraki University, Hitachi, Japan, as an Associate Professor of electrical engineering. In April 1996, he joined Ritsumeikan University, Kusatsu, Japan, as a Professor of electrical and electronic engineering. He is also a Visiting Professor with the Shiga University of Medical Science, Shiga, Japan.

2196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Layout-Level Synthesis of RF Inductors and Filters in LCP Substrates for Wi-Fi Applications Souvik Mukherjee, Student Member, IEEE, Bhyrav Mutnury, Student Member, IEEE, Sidharth Dalmia, Member, IEEE, and Madhavan Swaminathan, Senior Member, IEEE

Abstract—A fast and accurate layout-level synthesis and optimization technique for embedded passive RF components and circuits such as inductors and bandpass filters have been presented. The filters are composed of embedded inductors and capacitors in a multilayer liquid crystalline polymer substrate. The proposed approach is based on a combination of segmented lumped-circuit modeling, nonlinear mapping using polynomial functions, artificial neural network-based methods, and circuit-level optimization. Synthesis and optimization results of inductors for spiral/loop designs based on microstrip and stripline configuration are within 5% of data obtained from electromagnetic (EM) simulations. For RF circuits, the methodology has been verified through synthesis of 2.4- and 5.5-GHz bandpass filters with and without transmission zeros. Scalability has been shown over a range of 2–3 and 4–6 GHz, respectively, with bandwidth variation of 0.5%–3% of center frequency. The synthesized models are within 3%–5% of EM simulation data. Index Terms—Artificial neural networks (ANNs), filter synthesis, inductor optimization, liquid crystalline polymer (LCP), synthesis.

I. INTRODUCTION

W

ITH THE evolutionary development in wireless communications technology, the need for low-cost, small-size, and high-performance RF front-end modules is continuously increasing. For integration, there is a clear need for design cycle time reduction of passive and active RF modules. This is important because layout level electromagnetic (EM) optimization of RF circuits has been the major bottleneck for reduced design time. Circuit simulators that use coarse circuit models are time efficient, but do not have sufficient accuracy. The focus of this paper is the development of methods that enable the synthesis of layouts for new technologies, which significantly reduces the design cycle time. In RF designs, the physical effects of layout such as EM coupling and parasitics affect circuit performance. Furthermore, with the emergence of multiple frequency standards, the electrical specifications of components have different constraints. For example, a voltage-controlled oscillator (VCO) operating at 2.45 GHz may require an inductor with a self-resonance frequency (SRF) of at least 5.5–6 GHz with a high-quality

Manuscript received October 1, 2004; revised December 10, 2004. S. Mukherjee and B. Mutnury are with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]). S. Dalmia is with Jacket Micro Devices Inc., Atlanta, GA, 30308 USA. M. Swaminathan is with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA and also with Jacket Micro Devices Inc., Atlanta, GA, 30308 USA. Digital Object Identifier 10.1109/TMTT.2005.848782

factor ( ). However, a 5.8-GHz VCO may require an inductor with a high SRF ( 8–10 GHz) and a reasonable . Design requirements of this kind can lead to very long EM simulation time. Since a liquid crystalline polymer (LCP) substrate provides design flexibility of RF circuits across a large frequency range (0.5–20 GHz) by embedding the passives in the substrate [1]–[5], a time-efficient design-constraint-based synthesis and optimization technique can be very useful. High-performance miniaturized filters, low-noise amplifiers (LNAs), VCOs, duplexers, and baluns functional from 500 MHz to 6 GHz using embedded inductors and capacitors on multilayer organic laminate substrate with LCP have been reported in [1]–[6]. The inductors demonstrated have ’s varying from 30 to 200 for an inductance range of 1–25 nH [6]. The capacitors with a capacitance density of 1 pF/mm and ’s greater than 300 have also been demonstrated [6]. In this paper, a synthesis method has been described and applied to embedded passives in LCP substrates. The synthesized models and layouts have been compared with EM modeling results for accuracy and speed. Optimization of silicon-based RF inductors based on geometric programming has been described in [7]. The method is limited by the use of analytical expressions for inductor parameters. This is because it is difficult to extract analytical expressions for inductor parameters on multilayer substrates. Polynomial mapping [7], [8] provides good interpolation for single or multiple parameter variations for weakly nonlinear data. However, this method does not provide convergence to a unique solution, which is optimum. Efficient EM optimization techniques using space mapping have been described in [9]–[11]. This method is ideally suited for optimizing structures once it has been generated. Artificial neural network (ANN)-based modeling techniques have also been applied for optimization of linear and nonlinear circuits [12]–[15]. However, this technique is limited by the complexity of the models for complete circuits that have multiple active and passive components. Recent research has reported the application of ANNs and aggressive space mapping (ASM) based on coarse models for design optimization of compact RF passive circuits on multilayer substrates like low-temperature co-fired ceramic (LTCC) technology [16], [17]. However, the focus of these studies is on time-efficient layout optimization and not synthesis. This paper presents a method for the layout-level synthesis of RF passive circuits. The synthesis method has been demonstrated on a multilayered organic substrate with an LCP , ), which is a dielectric material ( new technology for embedding RF passive devices [1]–[4].

0018-9480/$20.00 © 2005 IEEE

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

The method presented in this paper enables the synthesis of inductors and filters based on the constraints imposed by design specifications. The technique is based on nonlinear mapping of inductor and filter geometries and its electrical specifications using ANNs and polynomial functions with a limited EM dataset. The synthesis approach has the following advantages. 1) It enables global tradeoff analysis between competing objectives such as area, , and SRF for inductors and capacitors. 2) It uses a small dataset for neural model training by using interpolation techniques. 3) It enables inductor and circuit synthesis across various topologies. 4) It allows for the mapping between electrical response and physical parameters. 5) It enables scalability of the synthesized layout over a 20% of center frequency (CF). range of 6) It allows bandwidth controllability of 0.5%–5% of CF. 7) It enables reduction in the number of iterations for EM simulations performed on the layout to meet design specifications. This paper is an extension of the work on synthesis published by the same authors in [18]. This paper is organized as follows; Section II discusses the importance of synthesis in RF circuits. Section III discusses LCP technology that has been used to demonstrate synthesis. Synthesis of inductors have been discussed in Section IV. This is followed by filter synthesis in Section V. The paper finally concludes with a summary of the contributions of this work in Section VI.

2197

Fig. 1. Flowchart for conventional design and synthesis; the iteration shown on the left-hand side is performed in forward mapping, while that shown on the right-hand side is performed in synthesis.

II. SYNTHESIS OF RF CIRCUITS Synthesis is the process of extracting network/layout-level parameters for a component/circuit from electrical specifications. It is common in digital designs and is being increasingly used in low-frequency analog circuits. The main reason for this is the scalability of design cells, which allows an automated hierarchical design flow. RF designs, however, lack this scalability due to the effects of layout level parasitics on circuit performance. Fig. 1 shows the steps involved in developing a synthesis method for RF circuits. A conventional design flow tries to optimize circuit performance at the layout level at the premium of time-consuming EM iterations for entire layouts. In contrast, a synthesis approach extracts physical dimensions of the layout from the electrical specifications by using some intermediate circuit-level modeling and optimization. As shown in Fig. 1, the synthesis method develops a lumped-circuit model with parasitics from a layout. In order to scale the model to a different frequency specification without multiple EM iterations, the synthesis method performs optimization at the circuit level. After optimization, the physical dimensions of the layout are extracted using polynomial functions that map the circuit geometries to their component values. III. EMBEDDED PASSIVES IN LCP SUBSTRATE LCP is a low-loss material with a relative permittivity of 2.95. These material properties are invariant

Fig. 2.

Fabricated LCP board (size

 9 in 2 12 in).

up to 20 GHz with negligible moisture absorption (0.04%). As and stability a result, the embedded passives provide high of component values across a large frequency range [6]. The process is low cost due to the use of large area manufacturing, as shown in Fig. 2. Furthermore, the process is low temperature in in boards can be batch fabri(200 C) and large area cated, making it compatible with a printed wiring board (PWB) infrastructure. The photograph of a fabricated LCP board (size in) containing 10 000 bandpass filters is shown in of in Fig. 2. The bandpass filter is an important block in the design of an RF front-end. With the convergence of multiple frequency standards, the design of filters requires controllability of passband ripple, bandwidth, stopband attenuation, and harmonic rejection. High-performance miniaturized filters have been designed on LCP across different topologies to meet different frequency specifications, which include inductively coupled resonator filters, coupled line filters, and a capacitively coupled filter [5], [6]. Designs are also based on hybrid topologies with a combination

2198

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fabricated bandpass filters in LCP substrate.

Fig. 5. Multilayer perceptron-based neural-network structure; one hidden layer has been used in this paper.

Fig. 4. Cross section of the substrate and metal stackup used in the designs.

of coplanar waveguide (CPW) and stripline configurations to ensure compact designs in a multilayer substrate. The filters are electromagnetically shielded with the use of top–bottom ground planes for reducing signal coupling from adjacent blocks. Fig. 3 is a photograph of fabricated filters with a size of mm mm mm for Wi-Fi applications. The cross section used for the filters is shown in Fig. 4. It consists of a four-metal-layer stackup with top and bottom ground planes. The core dielectric material on either side of the LCP layer has low loss and has a thickness of 36 mil. The laminated LCP and the metal layers are 1- and 0.5-mil thick, respectively. The passives are designed on the middle two metal layers. The entire cross-sectional thickness of 1.8 mm (can be as low as 0.9 mm) was fixed in the designs that have been synthesized in this paper. In addition to the synthesis of filters, details on inductor synthesis have also been described. This is because, for a fixed cross section, the capacitance is a value is function of the width and the length and its of the dielectric material limited by the loss tangent [6]. However, inductors have multiple geometrical parameters such as side-length, linewidth, line spacing, and number of turns. Furthermore, inductor values are comparatively lower than capacitors, which make the geometry optimization during inductor synthesis an indispensable part of RF circuit design.

IV. LAYOUT LEVEL SYNTHESIS OF INDUCTORS In the absence of extensive design libraries of embedded passives in LCP substrates, synthesis techniques for inductors based on design constraints is important. Methods for

optimization of inductor geometries in a multivariable design environment have been addressed in [7] and [8]. In contrast, this section provides a layout-level synthesis technique for inductors used in RF front-end modules. A. Nonlinear Mapping Using ANNs In the design of inductors, a nonlinear relationship exists between electrical parameters like inductance , , and SRF and geometrical design variables such as side length, linewidth, line spacing, and number of turns. ANNs have emerged as a powerful alternative to numerical and analytical modeling techniques for capturing nonlinear circuit behavior. ANNs are preferred due to their asymptotic properties and because they give very smooth results for approximating discrete measured and simulated data. Fig. 5 shows a multilayer perceptron-based neural-network structure that has been used in this paper. During forward mapping, from the inductor geometries to the receive electrical parameters, the input neurons the inductor geometries and the output neurons produces , SRF, and as the output, and being the number of inputs and outputs in a general ANN structure. The datasets get reversed during reverse mapping. A single hidden layer of neurons has been used in this paper. The outputs from all the processing units are summed through weights to produce given by (1). This output passes through the activation function given by (2) as follows:

(1) (2)

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

Fig. 6.

2199

Layout of a spiral inductor (1.5 turns).

Fig. 8. Frequency characteristic of Imag[Z 11] of an inductor.

with the desired accuracy. The inductor , area, , and SRF were represented as nonlinear functions of inductor side length, width, and turns, shown in (4) and (5) as follows: Fig. 7.

Substrate cross section used for the microstrip inductors.

(4) The activation function shown in (2) is a hyperbolic tangent function. After passing through the activation function, the output dataset is obtained as shown in (3) as follows: side length width turns (3) In this paper, Levenberg–Marquadt nonlinear optimization algorithm has been used to train the neural network, as it is an efficient method for training multilayer feedforward neural networks compared to Newton-quasi and other gradient-based training methods [19]–[21]. B. Neural-Network Training and Adaptive Data Sampling In this paper, an initial library of 150 spiral and loop inductors [5] based on stripline and microstrip topology, respectively, were simulated using Sonnet, a commercially available two-and-one-half-dimensional (2.5-D) full-wave method-of-moments-based EM solver.1 The layout of an inductor in Sonnet is shown in Fig. 6. The cross section used for microstrip design is shown in Fig. 7, while the inductors with stripline topology have the cross section shown in Fig. 4. The inductance and quality factor were extracted from Sonnet data and , as while the SRF was measured at the impedance transition frequency, as shown in Fig. 8. For the training of the neural networks to “coarsely” map the input dataset to the output, 80% of the 150 inductors simulated were used. When the remaining 20% of the inductor designs were used to test the neural networks, the mapping accuracy was worse than 10%. This is because the number of data points (120) that was used for training the neurons was not sufficient to develop a mapping 1Sonnet

Inc., Syracuse, NY [Online]. Available: http://www.sonnetusa.com

(5)

where represent , , and SRF; and are weights associated represents the number of hidden with the neural network, represents the number of outputs, and is the neurons, regressor vector [19], [20]. In the design of a spiral inductor library from EM simulations, for example, the number of turns varied from 0.75 to 1.75 in steps of 0.25. For each of these designs, the side length varied from 0.5 to 3.75 mm in steps of 0.25 mm and width ( ) from 0.075 to 0.225 mm in steps of 0.075 mm. The orders of magnitude of various input and output parameter values of inductors are very different. Therefore, a systematic preprocessing of training data called “scaling” is desirable for efficient neural-network training. The EM simulation data was normalized before being fed to the neural network. The data was scaled with respect to the maximum and minimum of the data range for each electrical/geometrical parameter using linear scaling, shown in (6) as follows: (6) Fig. 9(a)–(c) shows the variation of different electrical parameters for a section of the dataset. There is an apparent discontinuity in Fig. 9(a)–(c) when compared to the smooth contours of Figs. 10 and 11. It should been seen that in Fig. 9(a)–(c), there is no sample point in the region of discontinuity, rather sample points exist only in the smooth regions. The ANN-modeled plot for the training dataset looks the way it is because the variation of parameters were taken for different widths of the inductor geometries. Every monotonic section

2200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 10. MATLAB TrainLM ANN model to EM data correlation in forward mapping after training of ANN. Graph 1 is the EM simulation result for test data and graph 2 is the TrainLM output for test data . X -axis is the test sample number; made continuous by interpolation. (a) and (b) represent two different training results for the same test data.

Fig. 11. Fig. 9. Forward mapping of ANN-modeled data and EM data of electrical parameters in a training subset. (a) Variation of SRF. (b) Variation of Q. (c) Variation of L.

of the curve represents geometry variations with respect to a single width. The next monotonic section begins with the next

L-contour for the inductors (length in mils; 1 mm = 40 mil).

width step in between, which is the discontinuity (where as and represent expected, no sample points exist), where the normalized and denormalized values of the input data and and represent the normalized and denormalized maximum/minimum values of the data range for

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

2201

Fig. 13. ANN model to EM data correlation using interpolation for test data (same as that used in Fig. 10). Graph 1 is the TrainLM output for test data and graph 2 is the EM simulation result for test data. -axis is the test sample number; made continuous by interpolation.

X

Fig. 12.

Q-contour for the inductors (length in mils; 1 mm = 40 mil).

a particular parameter. During training, the number of neurons in the hidden layers were manually adjusted so that the training error (the correlation between the neuromodeled output and training data) is neither too small (less than 2%), which hampers the generalization capability of the neuromodels, nor large (greater than 10%), which reduces mapping accuracy. As stated before, it was found that the generated dataset of inductors was too small to provide accuracy within 5% of EM simulation. Generation of EM data, which is required for training of neural networks, is computationally expensive. Initially, MATLAB’s inbuilt TrainLM neural-network tool was used to train the neural models with limited EM data for forward mapping. The EM data to MATLAB neuromodel correlation for five test data is shown in Fig. 10(a) and (b). Fig. 10(a) and (b) shows an ANN model to EM data correlation for two different training instants (with the same data). The large correlation error can be seen readily. In order to tackle this problem, the contour of electrical parameters as a function of geometry variations was developed. As an example, the contour plots for and as a function of dominant geometrical parameters are shown in Figs. 11 and 12. It can be seen that the surfaces are roughly monotonic in nature. This means that more data points can be generated from the existing library based on interpolation. An adaptive sampling algorithm was included in the neural-network structure developed by the authors and was used in conjunction with the training of the neural models. Based on the desired accuracy of the required , , area, and SRF ( 1%–5%), the training dataset was sampled through interpolation to generate more data points (the final size of the library can be 10–15 times the size of the library developed from EM simulation). At each stage, the neural network was trained with this larger library size than the previous stage to improve mapping accuracy. The neuromodel was then used to forward and reverse map between the electrical parameters and geometries. If the design-imposed accuracy of the component values was met, the training data interpolation was stopped.

Fig. 14.

Flowchart of the data-sampling algorithm.

Otherwise, the training loop was iterated using interpolated data that have smaller step size. The method works very well for monotonic data variations. The only time-consuming part was the generation of highly nonlinear data points. The neuromodels were then checked for new test case values of side lengths, linewidths, and turns for inductance calculations. The correlation with modeled and EM data was within 5%. Fig. 13 shows the correlation between ANN-modeled data using the interpolation technique and EM simulation data for forward mapping using test data. The sampling technique enabled high mapping accuracy without developing extensive EM simulation data. The nonlinear mapping approach is generic and can be applied to different inductor topologies. The flowchart for the sampling algorithm is shown in Fig. 14. C. Synthesis and Optimization Synthesis of inductors require reverse mapping from the electrical specifications to geometries. The neuromodeled output provided multiple solutions of geometries for a given inductance

2202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE I SYNTHESIS FOR A 12.5-nH (@2.4 GHz) SPIRAL INDUCTOR EXHIBITING , AREA, AND SRF TRADEOFFS FOR A LINE SPACING OF 0.1 mm; 1 mm = 40 mil

Q

begin ; initialize index, to for { if { if { }; ;} index } }

The geometries synthesized by reverse nonlinear mapping may not exactly be feasible for fabrication since the resolution of the process is 12.5 m, while the reversed-mapped geometries can have higher decimal orders. In this case, the design values were rounded (as shown in Table I) in accordance with process rules with minimum error. For example, a current LCP-substrate-based fabrication process allows a minimum linewidth and line spacing of 75 m. Synthesized designs gave 2%–5% variation as compared to EM simulations. Table I shows and SRF variation with synthesized data for a 12.5-nH spiral microstrip inductor at 2.4 GHz. This table clearly indicates the tradeoffs incurred in maximizing and minimizing area. and different values of and SRF. Table I illustrates this situation. From a design perspective, the synthesized inductor geometry that meets the design specifications of SRF, area, and and has the maximum is the design that is to be selected. Mathematically, the optimization function can be written as Area

Area

maximize

(7)

is the maximum area of the inductor allowed where Area by a design and is the minimum SRF required for the inductor in the design. The design space exploration leading to the synthesis of inductors can be formulated as follows. Let the geometry dataset associated with the th inductor be given by (8) as follows:

V. LAYOUT-LEVEL FILTER SYNTHESIS Layout-level synthesis of filters in the absence of extensive design templates to meet different frequency standards can significantly reduce the time required for EM simulations and redesign. Previous work has focused on efficient optimization techniques of a pre-designed layout [9]–[11], [16], [17]. In contrast, this paper focuses on design reuse of filter layouts in LCP and enables scalability of circuit models for synthesizing layout geometries to meet different frequency specifications. The advantage lies in using a single layout template designed for a particular frequency specification and extracting layout level parameters over a range of frequencies from the same set of EM simulation data. The technique described consists of multiple levels of abstraction, which will be detailed below. A. Development of Lumped-Circuit Models

(8) where is the number of geometrical parameters and is can be the number of inductors. The area of the inductor as , where represents obtained as a function of the geometrical relationship. Let the dataset for , SRF, and and Area ( ) for the th inductor be given by (9) The neural network described earlier has been used to develop weighted mapping functions to map to through the to through the reverseforward-mapping procedure and mapping procedure. The algorithm for design space exploration can now be outlined as follows:

The stages of the proposed synthesis technique at a circuit level can be best explained with the help of a circuit layout. The layout is a 3 mm 3 mm 1.5 mm two-pole bandpass filter at 2.45 GHz with a bandwidth of 300 MHz on an LCP substrate, as shown in Fig. 15 [22]. The layout has two inner metal layers with top and bottom ground planes (not shown in this figure), which are 1.83 mm from each other, as shown in , , and Fig. 4. In Fig. 15, the resonator capacitors -resonator section have mutual coupling, which was taken into account while segmenting the circuit. The layout was thus decomposed into circuit sections, which are isolated from each other without significant loss of accuracy [1]–[3]. In Fig. 15, the dotted lines represent the segmented sections. For example, and the -resonators were segmented into coupled section and . This approach allowed separate uncoupled sections scaling and mapping of geometrical sections, which have little EM interaction between them. Based on the two- and one-port

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

Fig. 15.

Segmentation of filter layout into lumped-circuit models.

Fig. 16.

Mapping of reference ground plane of the resonator capacitors; separate polynomial functions for split and continuous ground plane coupling.

modeling of the sections using Sonnet (which has good correlation with measurement data) [6], lumped-circuit models including the effect of parasitics and coupling were developed [6]. Fig. 15 also shows the schematic of the models for cou, center capacpled -resonator, matching capacitors and coupled . The models showed very itor good correlation with EM simulation up to the second harmonic with a CF of 2.45 GHz. Due to the use of segmented models, fast optimization at the circuit level was possible to meet design specifications without losing the effects of physical layout on circuit performance. The reference ground plane has a significant effect on the coupling between the capacitors, which affects the bandwidth characteristics of the filter. The lower plates of the two resonator capacitors were grounded through a common ground plane. During lumped-element modeling, it was seen that the mutual coupling between the two resonator capacitors is not just a function of their size and spacing, but also depends on the presence or absence of the ground planes, as shown in Fig. 16. In Fig. 16, the spacing indicates the separation between the inner

2203

edges of the top metal planes of the capacitors. Furthermore, the bottom plane has an overlay over the top plane to compensate for fringing capacitance. As a result, the bottom planes touch each other and become continuous at a point when the top planes are separated by 0.19 mm. Hence, the coupling coefficient between the capacitors in the models will have different values based on continuous or split ground planes. This effect has been included in this study through piecewise mapping. B. Synthesis Using Model Mapping The segmented models were made scalable based on nonlinear polynomial mapping of the circuit model parameters to EM simulation data. The one- and two-port circuit models for inductors and capacitors, taking into account the effect of coupling, were developed based on [6]. In its general form, the mapping can be mathematically outlined as follows. Let the segmented component parameters (which include the parasitics) be represented by the vector in (10) as follows: (10)

2204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

where is the total number of model parameters. Here, consists of all the parameters in the circuit model, which includes the ideal components and their parasitics. Let us assume that for a component , there exists a vector

represents the parasitic associated with the comIn (18), and also ponent (19)

(11) where is the number of geometrical parameters associated with the component. Given a reference layout, its segmented components could be parameterized to generate a data set of component values with a varying geometrical parameter. This process was fast using the EM solver since it solves for sections instead of a complete layout. Let the dataset vector for a segth geometrical parameter be given by ment’s (12) where is the number of data points for which the solver was instructed to parameterize. Based on this data, nonlinear polynocan be extracted for each of the geometrical mial functions sections to its corresponding component value in the model. This can be written as (13) Therefore, from the lumped-circuit model component vector , the geometry mapping functions can be represented by the vector (14) represents all the polynomial where each vector mapped geometries (equal to ) associated with the component as follows: (15) where is the number of geometrical parameters associated with . The circuit level optimization parameters in the entire model is a subset of the entire model parameter and is given by (16) as follows:

where is the entire model parameter vector, as shown in (10) and represents the union of the two component sets. In conventional simulation methods, the component set is the input from which certain variables are selected for optimization. In is used in the optimization this paper, the vector routine where is the number of parameters selected for optimization. At each step of the optimization of , the parasitics also get updated through in the simulation. As a result, the final optimized component values take into account the associated parasitics. Therefore, the physical effects of layout have been captured in the circuit models, while the time for simulation is reduced by performing the optimization at the circuit level. After optimization of the components in the lumped-circuit model, the geometry values could be synthesized through the reverse mapping of the same functions. Mathematically, the th geometrical parameter of the th component value could be extracted as follows: (20) is the optimized th geometrical parameter correwhere . From the theory exsponding to the optimized component plained here, it is important to note that the reverse mapping was made under the premise that the mapping function still remained the same over the frequency range in which the reference layout was scaled. This is true since the method de20% scribed involves scaling of a reference layout within of its CF with 0.5%–5% tunability in bandwidth. EM simulations over the entire frequency range of scaling have verified that the mapping functions remain unchanged. This has also been confirmed using separate reference layouts for the design of 2.4- and 5.5-GHz bandpass filters. The above methodology is best explained with the help of the layout of a bandpass filter, as shown in Fig. 15. In Fig. 15, the uncoupled inductor section in the lower half of the right lumped inductor model with shunt and series resistance were mapped to the incapacitor ductor geometry as

(16) All the optimization parameters in the model now have mapping relations to its geometries given by (17) where is the number of variables selected for optimization. The next stage is to have mapping functions, which correlates the component values to its parasitics. Let the mapping functions for this relation be given by , where (18)

(21) (22) (23) is the increment in the inductor length of and where for a fixed inductance of 0.8 nH. Similar mappings were obtained for all the circuit models. The scalable models with parasitics were combined to perform filter circuit optimization

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

2205

TABLE II COMPARISONOF COMPONENT VALUESFOR THREE SYNTHESIS TEST CASES BASED ON 2.45-GHz REFERENCE LAYOUT CORRELATION OF EM SIMULATION DATA WITH POLYNOMIAL MAPPED MODEL

using Agilent’s Advanced Design System (ADS).2 At each stage of the optimization process, the desired components were tuned and the corresponding polynomial-mapped geometries and parasitics were updated as well. At the end of optimization, the variable geometries of the components were extracted from the component values of the models using their reverse-mapping functions. The reverse mapping is represented by (20). As an and spacing of the inductors, example, the length of the capacitors, illustrated in the as well as the width previous numerical example, was reverse mapped from the component parameters as follows:

Fig. 17.

Flowchart for optimization and synthesis procedure.

Fig. 18.

S -parameters of the reference layout for the 2.45-GHz bandpass filter.

(24) (25) (26) The values of the components obtained from synthesis and those obtained by simulating the designs in the EM solver are shown in Table II. The flowchart for the optimization and synthesis method is shown in Fig. 17. Table II shows that the component values obtained from optimization are within 2%–5% of EM simulation using the synthesized geometries. The simulated results for the reference layout are shown in Fig. 18. Based on different design specifications, the reference layout was scaled to a design at 2.2 GHz with a bandwidth of 325 MHz and to another filter with a CF of 2.85 GHz and a bandwidth of 400 MHz. The -parameters of the data from synthesis and that from the EM solver shows good correlation. Fig. 19 shows the EM to model correlation for a synthesized 2.2-GHz bandpass filter. Fig. 20 shows the EM to model correlation for a synthesized 2.8-GHz bandpass filter.

2Advanced

Design System 2002\doc\ccdist\SCLIN.htm

Fig. 19. Correlation between full-wave data (sampled) and data from synthesis (solid) for the 2.2-GHz bandpass filter.

C. Correlation of Synthesized Model to EM Simulation A detailed analysis of the -parameters of the extensive lumped-circuit models and that obtained from the EM data

2206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 20. Correlation between full-wave data (sampled) and data from synthesis (solid) for the 2.8-GHz bandpass filter.

by using the synthesized geometries (shown in Figs. 18–20) showed that while had very good correlation, the results had some discrepancy. This can be explained as follows. ) is predominantly a function The return-loss characteristic ( of the matching capacitors in the filter. It can be seen in the layout that the segmented sections of these capacitors along with the central capacitors include the vias that were used in the design. In other words, separate via models were not used as part of the synthesis. As a result, the mapping functions for the capacitor geometries and its component values needed to be of higher order than what was used in the design for capturing the nonlinearities introduced due to the vias. The argument is supported by the fact that no such discrepancies were seen in characteristic. This is because the resonator inductors the and capacitors, which predominantly affect the passband characteristic, did not have any vias in their structures. D. Test Cases The synthesis method discussed was applied to bandpass filters across frequencies and topologies. Reference layout of filters at different frequency bands and with transmission zeroes were synthesized. Synthesis results will be discussed below. 1) 5.5-GHz Bandpass Filter: The synthesis methodology was applied to a capacitively coupled resonator bandpass filter with a CF of 5.5 GHz and a bandwidth of 750 MHz. The cross section of the layout is the same as shown in Fig. 4. The filter has a lateral dimension of 2.3 mm 2.3 mm. The layout of the filter is shown in Fig. 21. The filter has the same topology as the 2.45-GHz filter in Fig. 15. Consequently, a similar segmentation procedure was applied to the layout. For correcting the discrepancies due to via effects, which are prominent at higher frequencies, higher order mapping functions were used for the center, as well as the matching capacitors. For example, the in the layout in Fig. 15 with parammatching capacitor and were mapped to its length increment of eters the capacitor plates from EM simulation data by fourth-order polynomial functions as follows:

(27)

Fig. 21. Layout of the 5.5-GHz bandpass filter.

Fig. 22. Correlation between full-wave data (triangular) and data from synthesis (solid) for filters centered at 4.7 and 6.5 GHz based on coarse data of the 5.5-GHz filter, which is shown in the middle.

(28) (29) The improvement can be clearly seen in the -parameters has a shown in Fig. 22. From this figure, it is clear that better correlation between the synthesized models and EM simulation due to the use of higher order polynomials. The poles of the filter have also been captured in the synthesized layout. The reference layout has been scaled to a filter at 4.7 GHz with a bandwidth of 550 MHz and another filter at 6.5 GHz with a bandwidth of 750 MHz. The component values are within 3%–5% to that obtained from EM simulation. The circuit level optimization took 5 min on Dell PC with a 2.8-GHz Pentium IV processor and 1-GB RAM. EM simulation in Sonnet of each segmented part with geometrical variation took on an average of 10 s per frequency point with a cell size of 3 3 mil. Table III shows the comparison of component values for three synthesis

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

2207

TABLE III COMPARISON OF COMPONENT VALUES FOR THREE SYNTHESIS TEST CASES BETWEEN POLYNOMIAL MAPPED MODEL AND FULL-WAVE EM SIMULATION

Fig. 23.

Ideal schematic of the inductively coupled resonator filter.

test cases between polynomial mapped model and full-wave EM simulation. Results in Table III show that the use of fourth-order models gave higher correlation with EM data compared to the third-order models in the first design. 2) Filter With Transmission Zeros: The synthesis method was applied to a different filter topology. The filter shown in Fig. 23 is an inductively coupled resonator bandpass filter. The feedback capacitor and the inductively coupled resonators provides multiple signal paths between the input and output, which are out-of-phase, resulting in transmission zeros [23], [24]. The transmission zeros in the design allows controllability of the stopband attenuation and rejection at specific frequencies. The layout of the filter has a lateral dimension of 3.9 mm 4.1 mm. The cross section for the layout is same as that shown in Fig. 4. The spacing between the inductors, which controls the inductive coupling in this design is an important design parameter. Further, during EM modeling, the inductive lines on the lower plane were also segmented. The top and bottom metal layers of the layout are shown in Fig. 24. The EM response from synthesized geometries is shown in Fig. 25. The synthesized model was within 2%–5% of EM simulation and similar EM modeling time as that for 2.45-GHz bandpass filter synthesis. It should be understood, however, that the segmented lumped-element technique, which was seen to work very well for two metal layer designs (considering the number

Fig. 24. Layout of the 1.8-GHz filter with transmission zeros. (a) Top metal layer of the layout. (b) Bottom layer of the layout. The components have been labeled in correspondence with Fig. 23.

Fig. 25. Synthesized filters centered at 2.9 GHz from a reference layout at 1.85 GHz (EM data) based on synthesized geometries.

of layers in which the passives are embedded) will have problems with multilayer designs (number of metal layers 3). This was realized in modeling the inductor coupling for the filter in

2208

Fig. 26.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Layout of 2.3- and 4.25-GHz dual-band filter.

Fig. 24. This is due to EM coupling between multiple metal layers, which is not accurately captured by lumped models. 3) Synthesis of Dual-Band Filters: In the design of dual-band filters, if the frequency bands are further away than the scalability of each frequency band, then separate mapping functions need to be used for the two filters. With reference to (10)–(19), such a synthesis can be mathematically formulated, as described here. Let the entire lumped-circuit model vector for each of the two filters be defined by and , where the vectors are similar to (10). For components for represent the polynomials for mapping the first filter , let represent the mapthe model parameters to geometries, and ping of the ideal component values to their parasitics. Similar (in terms of and ). Therefore, in the relations hold for circuit-level optimization of a dual-band design, the vector of parameters that is optimized is given by (30) as follows: (30) where represent the components of the whole filter to be optimized and and are the number of components in each filter to be optimized. The geometries for the design are extracted, as follows in (31a) and (31b), which is similar to (20) for a single band design: (31a) (31b) where and represent the extracted geometries for the two bandpass filters. The filter layout of the dual-band filter is shown in Fig. 26. The design consists of single-band filters synthesized from the 2.45- and 5.5-GHz reference layouts. The circuit model of the combined design was optimized to meet matching conditions and reverse mapped to obtain the dual-band design. The design has a lateral dimension of 6.8 mm 3.3 mm with a cross section shown in Fig. 4. The EM simulation results with the synthesized geometries are shown in Fig. 27. It consists of two bandpass responses centered at 2.3 and 4.25 GHz with bandwidths of 250 and 300 MHz, respectively. Synthesized de20% of CF with a bandwidth signs have a scalability of

Fig. 27.

Dual-band filter response in EM solver using synthesized geometries.

tenability of 0.5%–5%. This was expected since the single band designs, from which the dual-band filter is synthesized, had similar scalability and tenability in terms of CF and bandwidth. VI. CONCLUSIONS This paper has presented a fast and accurate layout-level synthesis of RF passive components and circuits in multilayered organic substrates with LCP dielectric material. It is based on segmented lumped-circuit modeling, polynomial mapping, and circuit-level optimization. An optimization technique based on ANNs and design space exploration has also been discussed for inductor synthesis. Synthesized results for components and circuits show accuracy that is within 5% of EM data. The ANNbased technique was not applied in the synthesis of inductors in the bandpass filters. This is because the inductors in the bandpass filters were less than 2.5 nH for which simple polynomial mapping of the segmented inductor sections gave results within 3% of EM data. Design cycle time was significantly reduced since optimization were performed at the circuit level. Filter synthesis was demonstrated across frequencies and topologies. The mapping technique can be also applied to diagnostic analysis of circuit layouts in batch processing where geometrical variations affect circuit performance.

MUKHERJEE et al..: LAYOUT-LEVEL SYNTHESIS OF RF INDUCTORS AND FILTERS IN LCP SUBSTRATES FOR Wi-Fi APPLICATIONS

REFERENCES [1] S. Dalmia, V. Sundaram, G. White, and M. Swaminathan, “Liquid crystalline polymer based RF/wireless components for multiband applications,” in Proc. IEEE Electronic Components Technology Conf., Las Vegas, NV, Jun. 1–4, 2004, pp. 1866–1873. [2] A. Bavisi, S. Dalmia, G. White, V. Sundaram, and M. Swaminathan, “A 3G/WLAN VCO with high embedded passives in high performance organic substrate,” presented at the IEEE Asia–Pacific Microwave Conf., Seoul, Korea, Nov. 2003. [3] V. Govind, S. Dalmia, and M. Swaminathan, “Design of integrated LNA using embedded passives in organic substrates,” Trans. Adv. Packag., vol. 27, pp. 79–89, Feb. 2004. [4] V. Govind, S. Dalmia, V. Sundaram, G. White, and M. Swaminathan, “Design of multiband baluns on liquid crystalline polymer (LCP) based substrates,” in Proc. IEEE Electronic Components Technology Conf., Las Vegas, NV, Jun. 1–4, 2004, pp. 1812–1818. [5] S. Dalmia, F. Ayazi, M. Swaminathan, S. H. Min, S. H. Lee, W. Kim, D. Kim, S. Bhattacharya, V. Sundaram, G. White, and R. Tummala, “Design of inductors in organic substrate for 1–3 GHz wireless application,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2–7, 2002, pp. 1405–1408. [6] S. Dalmia, “Design and implementation of high passive devices for wireless applications using SOP based organic technologies,” Ph.D. dissertation, School Elect. Comput. Eng., Georgia Inst. Technol., Atlanta, GA, 2002. [7] M. D. M. Hershenson, S. S. Mohan, S. P. Boyd, and T. H. Lee, “Optimization of inductor circuits via geometric programming,” in Proc. Design Automation Conf., Jun. 1999, pp. 994–998. [8] R. C. Lee, G. A. Lee, and M. Megahed, “Design and analysis of embedded inductor on low cost multilayer laminate MCM technology,” in Proc. IEEE Electrical Performance Electronic Packaging Topical Meeting, Princeton, NJ, Oct. 2003, pp. 83–86. [9] J. W. Bandler et al., “Space mapping technique for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2536–2544, Dec. 1994. [10] J. W. Bandler, M. A. Ismail, J. E. Rayaz-Sanchez, and Q.-J. Zhang, “Neuromodeling of microwave circuits exploiting space mapping technology,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2417–2427, Dec. 1999. [11] J. W. Bandler, Q. S. Cheng, D. M. Hailu, and N. Nikolova, “An implementable space mapping design framework,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 6–11, 2004, pp. 703–706. [12] Q. J. Zhang, K. C. Gupta, and V. K. Devabhaktuni, “Artificial neural networks for RF and microwave design-from theory to practice,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1339–1349, Apr. 2003. [13] F. Wang and Q. J. Zhang, “Knowledge-based neural models for microwave design,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2333–2343, Dec. 1997. [14] V. K. Devabhaktuni, M. C. E. Yagoub, and Q. J. Zhang, “A robust algorithm for automatic development of neural-network models for microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2282–2291, Dec. 2001. [15] A. Suntives, M. S. Hossain, J. Ma, R. Mittra, and V. Veremey, “Application of artificial neural network models to linear and nonlinear RF circuit modeling,” Int. J. RF Microwave Computer-Aided Eng., vol. 11, pp. 231–247, Jul. 2001. [16] K. L. Wu, R. Zhang, M. Ehlert, and D. G. Fang, “An explicit knowledgeembedded space mapping and its application to optimization of LTCC RF circuits,” IEEE Trans. Compon. Packag. Technol., vol. 26, no. 2, pp. 399–406, Jun. 2003. [17] K. L. Wu, Y. J. Zhao, J. Wang, and M. Chen, “An effective dynamic coarse model for optimization design of LTCC RF circuits with aggressive space mapping,” IEEE Trans. Microw. Theory Tech, vol. 52, no. 1, pp. 393–402, Jan. 2004. [18] S. Mukherjee, S. Dalmia, B. Mutnury, and M. Swaminathan, “Layoutlevel synthesis of RF bandpass filter on organic substrates for Wi-Fi applications,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004. [19] S. Haykin, Neural Networks—A Comprehensive Foundation, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1998.

Q

Q

2209

[20] K. C. Gupta and Q. J. Zhang, Neural Networks for RF and Microwave Design. Norwood, MA: Artech House, 2000. [21] M. Hagan and M. Menhaj, “Training feedforward networks with the Marquadt algorithm,” IEEE Trans. Neural Netw., vol. 5, no. 6, pp. 989–993, Nov. 1994. [22] S. Dalmia, V. Sundaram, G. White, and M. Swaminathan, “Liquid crystalline polymer (LCP) based lumped element bandpass filters for multiple wireless applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 6–11, 2004, pp. 1991–1994. [23] L. K. Yeung and K. L. Wu, “A compact second order LTCC bandpass filter with two finite transmission zeroes,” IEEE Trans. Microw. Theory Tech, vol. 51, no. 2, pp. 337–341, Feb. 2003. [24] G. Matthei et al., Microwave Filters, Impedance Matching Networks and Coupling Structures. Norwood, MA: Artech House, 1980.

Souvik Mukherjee (S’02) received the B.Tech (Hons.) degree in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, India, in 2002, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology. He is currently with the Epsilon Group, Georgia Institute of Technology. His research involves developing modeling, synthesis, and diagnosis techniques of RF passive and active circuits.

Bhyrav Mutnury (S’00) received the B.Sc. degree from the College of Engineering, Jawaharlal Nehru Technological University (JNTU), Hyderabad, India, in 2000, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2002, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology. In Fall 2000, he joined the Georgia Institute of Technology. His current research concerns nonlinear macromodeling.

Sidharth Dalmia (M’03) received the B.S., M.S., and Ph.D. degrees from the Georgia Institute of Technology, Atlanta, in 1998, 1999, and 2002, respectively. In 2002, he joined the Epsilon Group, Georgia Institute of Technology, as Research Faculty. He is also the co-founder of Jacket Micro Devices Inc., Atlanta, GA, which focuses on integrated passive devices and RF modules for wireless local area network (WLAN), ultrawide-band (UWB), and cellular applications using organic/laminate packaging technology. He has authored or coauthored over 30 peer-reviewed papers in the areas of passive circuits, lumped-element filters, RF packaging, and monolithic-microwave integrated-circuit (MMIC) design. He has four patents pending. His current research interests are high-level system integration based on multilayer low-cost high-performance organic system-on-packaging concepts. Dr. Dalmia is a reviewer for the IEEE TRANSACTIONS ON ADVANCED PACKAGING and the IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGIES. His thesis was nominated for the 2002 Outstanding Thesis of the Year Award by the School of Electrical and Computer Engineering, Georgia Institute of Technology.

2210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Madhavan Swaminathan (A’91–M’95–SM’98) received the M.S. and Ph.D. degrees in electrical engineering from Syracuse University, Syracuse, NY, in 1989 and 1991, respectively. He is currently a Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, and the Deputy Director of the Packaging Research Center, Georgia Institute of Technology. He is the co-founder of Jacket Micro Devices Inc., Atlanta, GA, a company that specializes in integrated devices and modules for wireless applications, for which he serves as the Chief Scientist. Prior to joining the Georgia Institute of Technology, he was with the Advanced Packaging Laboratory, IBM, where he was involved with packaging for super computers. While with IBM, he reached the second invention plateau. He has authored or coauthored over 150 publications in refereed journals and conferences and has coauthored three book chapters. He holds nine issued patents with six pending. His research interests are digital, RF, opto-electronics, and mixed-signal packaging with emphasis on design, modeling, characterization, and test. Dr. Swaminathan has served as the co-chair for the 1998 and 1999 IEEE Topical Meeting on Electrical Performance of Electronic Packaging (EPEP). He is the co-founder and has served as the technical and general chair for the International Microelectronics and Packaging Society (IMAPS) Next Generation Integrated Circuits (IC) and Package Design Workshop. He serves as the chair of TC-12, the Technical Committee on Electrical Design, Modeling, and Simulation within the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society and was the co-chair for the 2001 IEEE Future Directions in IC and Package Design Workshop. He is the co-founder of the IEEE Future Directions in IC and Package Design Workshop. He also serves on the Technical Program Committees of the EPEP, Signal Propagation on Interconnects Workshop, Solid-State Devices and Materials Conference (SSDM), Electronic Components and Technology Conference (ECTC), and Interpack. He has been a guest editor for the IEEE TRANSACTIONS ON ADVANCED PACKAGING and the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the associate editor of the IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES. He was the recipient of the 2002 Outstanding Graduate Research Advisor Award presented by the School of Electrical and Computer Engineering, Georgia Institute of Technology and the 2003 Outstanding Faculty Leadership Award for the mentoring of graduate research assistants from the Georgia Institute of Technology. He was also the recipient of the 2003 Presidential Special Recognition Award presented by the IEEE CPMT Society for his leadership of TC-12 and the 2004 IBM Faculty Award. He was the recipient of the Shri. Mukhopadyay Best Paper Award presented at the International Conference on Electromagnetic Interference and Compatibility (INCEMIC), Chennai, India, 2003. He has also served as the coauthor and advisor for numerous outstanding student paper awards at EPEP’00, EPEP’02, EPEP’03, EPEP’04, ECTC’98, and the 1997 IMAPS Education Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2211

Study of Cylindrical Multilayered Ceramic Resonators With Rectangular Air Cavity for Low-Phase Noise K=Ka-Band Oscillators Ayman M. El-Tager and Langis Roy, Member, IEEE

Abstract—This paper first presents cylindrical low-temperature co-fired ceramic (LTCC) resonator configurations as a means of achieving high- values at millimetric frequencies in a reduced-size structure. The resonator contains a rectangular air cavity that only partially fills its volume. Simple analytical expressions are derived, which relate the via waveguide (VWG) heterogeneous structures to their conventional cylindrical resonator counterparts through the use of effective radius and dielectric constant ( e and e ). A parametric analysis is then carried out showing the effects of the available design parameters on resonant frequency and . Excellent agreement between measured and analytical results is obtained. Finally, a low-cost miniaturized low phase-noise free-running oscillator is proposed by employing the novel high- VWG resonator in the same LTCC package that houses the monolithic oscillator active circuit. A 24-GHz low phase-noise oscillator is then carried out with an output power of 13.3 dBm and a phase noise of 106 dBc/Hz at 100-kHz offset, which is among the best results ever published for a free-running -band oscillator. Index Terms—Ceramics, low phase noise, low-temperature cofired ceramic (LTCC), microwave (MW) oscillators, millimeter-wave (MMW) resonators, multilayered resonators, packaging, factor.

I. INTRODUCTION

M

ICROWAVE (MW) and millimeter-wave (MMW) frequencies are increasingly being employed in commercial applications such as mobile telecommunications, smart automotive anticollision radars, point-to-point digital radio, security sensors, and biomedical applications. Due to this accelerating growth of MMW technology, the market is in critical need of low-cost low-volume high-performance transceivers. One important element of any transceiver is the oscillator, and its design has become challenging due to carrier phase-noise requirements for bit error rate enhancement. In this context, an overriding concern of the research herein is the development of low-cost miniaturized low phase-noise oscillators. Two technology extremes currently exist. The first is to embed all the system components on a chip using monolithic-microwave integrated-circuit (MMIC) technology. This reduces the cost and the size, but still has relatively low performance due to the limitation of using planar resonator circuits, Manuscript received October 1, 2004; revised January 17, 2005. A. M. El-Tager is with the Department of Electronics, Military Technical College, Cairo 12211, Egypt (e-mail: [email protected]). L. Roy is with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S-5B6 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848744

which have poor quality factors [1]–[3]. The other extreme is to use a very high- resonator like a cryogenically cooled hybrid waveguide assembly [4]. This technique can yield the highest phase-noise performance, but it suffers from the large cost and bulky volume of the resonators and other waveguide components [5], [6]. Between these two extremes lies fertile ground for technology development that will lead to the desired levels of performance. As an example, the hybrid assembly of a high-quality dielectric disk and a planar active circuit yields dielectric-resonator (DR) oscillators with excellent performance in terms of close-to-carrier phase noise [7], [8]. However, these need careful post-fabrication tuning to position the DR on the substrate. The demanding factors of cost, size, reliability, and repeatability for emerging systems still require another solution. The increasing popularity of MMW applications has created many challenges for interconnect and packaging technologies. Cofired ceramics have found emerging acceptance in the packaging of MW integrated circuits due to the ability of fabricating planar MW structures and embedding passive elements within the laminations of the package. Low-temperature co-fired ceramics (LTCCs) combine the beneficial electrical properties of ceramics at MMW frequencies with a precise control of the dielectric thickness. Therefore, LTCC would be a promising technology for embedding the resonator with the MMIC chips [9], [10] so as to produce small-size, low-cost, and potentially high-performance oscillators. The implementation of a resonator in LTCC using rows of vias as sidewalls was initially presented in [11]. A number of drawbacks resulted in a low (only 150) at 5.8 GHz. Despite the importance of achieving compact mass-producible highresonators at higher frequencies, very little has been published in this area and, to the authors’ knowledge, nothing in LTCC. In this paper, a low-cost miniaturized low phase-noise free-running oscillator is proposed by employing a novel high- via waveguide (VWG) resonator in the same LTCC package that houses the monolithic oscillator active circuit. High- resonators are indispensable for improving the performance of MW and MMW oscillators. A variety of planar LTCC resonant structures have been investigated, starting from relatively simple miscrostrip ring resonator designs [12], [13]. Higher performance stripline-based resonators have been presented with unloaded of 290 at 34.8 GHz [14]. A surfacemount LTCC resonator was also reported with a of 600 at 33 GHz, but it requires mechanical assembly [15].

0018-9480/$20.00 © 2005 IEEE

2212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. Basic configuration of a simple cylindrical LTCC resonator.

Even higher factors can be achieved in LTCC using volume structures. For instance, a rectangular resonator implementation using rows of vias as sidewalls has been previously demonstrated [16]. However, cylindrical resonators offer interesting features in terms of size and . We reported on the first LTCC -bands [19] in which a VWG design operating at - and very high- is obtained (on the order of 800) by using a rectangular air cavity that only partially fills its volume. What results is a completely new structure introduced for the first time by the authors in [19]. In this paper, simple analytical expressions are first derived, which relate the new heterogeneous structures to their conventional cylindrical resonator counterparts through the use of and . The an effective radius and dielectric constant designer can, therefore, rapidly assess the basic performance of these novel structures without resorting to any three-dimensional (3-D) electromagnetic (EM) computer-aided design (CAD) tools. A parametric analysis is then carried out showing the effects of the available design parameters on resonant frequency and of the novel structure. Finally, a highLTCC cylindrical resonator is employed for the first time in a low phase-noise oscillator for the 24-GHz industrial–scientific–medical (ISM) band. Related work has been presented in [26] for a 29-GHz local multipoint distribution system (LMDS) band oscillator based on an LTCC rectangular resonator. Section II outlines the basic problem of the cylindrical LTCC resonator with a rectangular cavity along with our analytical approach. The main effects on resonator performance of various filling factors are presented in Section III. The realization of a high- cylindrical resonator and its implementation in a low phase-noise 24-GHz oscillator are described in Section IV. II. ANALYSIS OF CYLINDRICAL HIGH- LTCC RESONATORS WITH RECTANGULAR AIR CAVITY A. Structure The cylindrical configuration of an LTCC resonator is shown in Fig. 1 in its simplest form. A number of techniques exist to achieve the highest possible cylindrical resonator , namely, selecting the lowest possible loss LTCC ceramic material using air (rather than dielectric) filling, employing staggered double viawalls, and choosing an appropriate excitation method (probe, loop, strip, etc.) [16]. In this paper, all proposed designs are implemented using and the Ferro A6-S LTCC tape system having

Fig. 2. 3-D model for half of the LTCC cylindrical resonator with air cavity and double via-walls.

Fig. 3. Implementation of probe feed using a via-post in the multilayer structure.

, which is one of the lowest loss LTCC materials. The module thickness is 0.8 mm, corresponding to eight LTCC layers, each of 100- m cofired thickness. A 3-D model of a probe-fed cylindrical resonator with an inserted air cavity and double via-walls is shown in Fig. 2. A detailed longitudinal intersection is shown in Fig. 3. The spacing between the vias within the via-walls is minimized by using the lowest possible pitch (400 m, which is less than one-tenth of the guided wavelength) in order to eliminate the radiation losses. On each LTCC layer, the vias are connected together with a ring strip. The resulting grid wall ensures good conductivity between all grounded vias, the metallic base, and the metallic cover. The probe is placed close to the center of layer 1 such that it excites an electric field maximum in the air-filled region of the resonator. Its depth is chosen to obtain appropriate coupling at resonant mode. the desired B. Analysis Consider a conventional cylindrical resonator with radius and thickness . The analytical expressions for the field components, modal resonant frequencies, and quality factors are well known [17], and will be simply stated here for the first as follows: mode (1) (2)

EL-TAGER AND ROY: STUDY OF CYLINDRICAL MULTILAYERED CERAMIC RESONATORS WITH RECTANGULAR AIR CAVITY

2213

where is the layer thickness and layers

is the total number of (7)

is the number of dielectric layers, while is the where number of layers used to form the air cavity. Another important design parameter is the air cavity depth ratio, which is defined as Fig. 4. 3-D model for the novel LTCC resonator structure with rectangular air cavity in the middle and double rows of silver via-posts act as a cylindrical wall.

Fig. 5. 3-D illustration showing the design parameters of a laminated cylindrical resonator with rectangular air cavity.

(3) (4)

(5) where ; th-order Bessel functions of the first kind; is the first nonzero root of ; surface resistance of the metallic cavity conductor; loss tangent of the dielectric filling; and factors due to conductor losses and dielectric losses, respectively. For the analysis of our LTCC cylindrical resonator structures, the 3-D illustrations of Figs. 4 and 5 are given to define the following parameters: and center-to-center diameters of the inner and outer via-walls, respectively; via-pitch; the spacing between 2 successive vias from center to center; side length of the square air cavity. The length of the cylinder is given by (6)

(8) Finally, the relative dielectric constant is taken to be unity inside the air cavity and greater than one elsewhere in the LTCC dielectric region. To rigorously treat this problem analytically would require enforcement of a double set of field boundary conditions at the air/dielectric and dielectric/metallic interfaces with appropriate conversion between cylindrical and rectangular coordinates [18]. Such an undertaking is beyond the scope of this paper, and a simple approach will be followed here, which yields more practical results. Based on numerical EM CAD results using CST Microwave Studio and Ansoft High Frequency Structure Simulator (HFSS), and of the dielectric, and the the material parameters geometric parameters , , , and will be mapped to the expressions describing the conventional cylindrical resonator. Thus, (3)–(5) may be subsequently employed to predict the performance of an arbitrary LTCC cylindrical resonator with rectangular air cavities. It is critically important to understand the tradeoffs between size, air/dielectric filling ratios, and , as faced by the designer. Implicit in the above approach is the assumption that the presence of the air cavity does not completely alter the original field configurations inside the resonator; an assumption that will be currently validated. This allows the concept of an effective diand an effective radius electric constant to be defined and employed in lieu of and in (3)–(5). The following analyses apply to the LTCC material parameters described in Section II-A. The 3-D structure is simplified to that shown in Fig. 4 for the studies throughout the rest of this paper. The structure is modeled as an eigenvalue problem to study the natural resonance frequencies of the structure and their associated values regardless of the physical port excitation method. Figs. 6 and 7 show the calculated - and -field magnitudes mode of a family of resonators with for the fundamental increasing air cavity side length . All field magnitudes are normalized to the maximum field values of the case of an air-filled equivalent cylindrical cavity resonator as follows: (9) (10) In order to verify the extracted CAD results of the proposed 3-D model, a comparison with theory of a conventional

2214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 8. Comparison between a dielectric-filled VWG resonator with double rows of vias and few solid-wall dielectric-filled resonators (different radii). Fig. 6. Comparison between proposed VWG and conventional dielectric-filled cylindrical resonator.

Fig. 7. Magnetic-field distribution along the x-axis for different air-filling volumes.

cylindrical resonator will follow. There are two clear extreme cases for the model. The first case is the dielectric-filled res. The behavior of onator where the air cavity vanishes for the mode exactly the field magnitudes of and match what is expected from (1) and (2). Fig. 6 describes the normalized magnitude of by a thick continuous gray line for in a thick black line. the dielectric filled case. Fig. 7 shows The second case, where tends to its maximum value, the field distributions of and are virtually the same as that of the air-filled cylindrical resonator. It is clear that the air/dielectric interface creates a discontinuity in the field distribution across the structure, but its effect is relatively minor. For instance, no new field maximum is introduced by the heterogeneous filling of the resonator, and the field peak locations exhibit only a slight displacement in the case of the -field. Based on these observations, it is possible to pursue quantity. A more detailed discussion of the with the effective VWG resonator’s field behavior is given in Section III.

Before going into a detailed parametric study, two important parameters must be defined to relate the proposed novel structure to the conventional dielectric-filled solid-wall cylindrical resonator. : the effective radius of the proposed dielectric-filled • cylindrical VWG resonator, which replaces the radius of an equivalent conventional dielectric-filled solid-wall cylindrical resonator having the same first resonance fre. Since may be somewhere in the range of quency to (see Fig. 4), it is necessary to exactly determine this quantity. : the effective relative dielectric constant of the pro• posed cylindrical VWG resonator with partial air filling. , therefore, replaces of an equivalent conventional dielectric-filled solid-wall cylindrical resonator having the same first resonance frequency. First, the effective radius is determined by analyzing a VWG resonator and comparing it with a few dielectric-filled solid-wall cylindrical resonators with different diameters in the range from to based on (3). The results are shown in Fig. 8. It is immediately seen that the via-wall resonator curve is superimposed on . the curve corresponding to a solid wall resonator with Thus, is taken to be the center-to-center spacing of the innermost row of vias forming the VWG resonator walls. All subsequent analyses are based on VWG structures having this same value. Second, the is determined. The resonant frequency of a dielectric-filled solid-wall resonator is calculated using (3) as a function of , and is plotted in Fig. 9. Next, the resonance frequencies of constant diameter VWG cylindrical resonators are found for various sizes of rectangular air cavities within the structure. The results are then mapped to the curve in Fig. 9 so as to extract an equivalent for the VWG resonator. Fig. 10 plots for different and values, where is the cavity depth ratio defined by (8) and is the cavity side length, as illustrated in Fig. 4. As expected, the larger the air-filling ratio in the resonator (large and values), the lower is . It is interesting to note that, for a typical LTCC ceramic material system having

EL-TAGER AND ROY: STUDY OF CYLINDRICAL MULTILAYERED CERAMIC RESONATORS WITH RECTANGULAR AIR CAVITY

2215

Fig. 9. First resonance frequency of the equivalent solid-wall cylindrical resonator versus its dielectric constant variable. Fig. 11. Simulated first-mode resonance frequencies (dots) and fitting curves (lines) versus air volume parameters (A and d).

and into (1)–(4). This represents a tremendous saving in computational effort compared to full 3-D EM simulation. Additional design parameters of this novel structure will be examined in Section III. III. PARAMETRIC STUDY In order to realize an optimized design for a prescribed , an investigation of the parameters affecting resonator performance will be conducted. This parametric analysis will study the nonhomogeneous problem of cylindrical VWG LTCC resonators containing a rectangular air cavity, as shown in Fig. 4. mm All structures under consideration have diameter and the same material system as before. A. Resonance Frequency Fig. 10. Effective relative dielectric constant of the VWG resonator of Fig. 4 versus its air filling parameters (A and d); dots are taken from simulation, while lines are the fitting curves of (11).

, the effective dielectric constant can vary in the range from approximately 1.5 to 5. The family of curves shown in Fig. 10 may be perfectly described by a relatively simple mathematical expression relating the air-filling parameters and the dielectric constant of the equivalent conventional cylinder. Curve fitting yields the effective relative dielectric constant as a function of and within the stated limits of each parameter to be

Changing the air-filling ratio within the resonator was seen to . This, in turn, affects the resonant frequencies and the alter corresponding factors of the different modes. Fig. 11 plots the frequencies of the lowest VWG resonance as dots. It shows that the frequency increases monotonically in a mildly exponential fashion as the air filling increases. One may easily perform curve fitting to obtain the following relationships of the first resonance mode as a function of airfilling parameters and : for

(12)

for

(13)

for

(14)

(11) Comparison of the EM simulated results (plotted as dots in Fig. 10) with (11) (solid curves) shows excellent agreement. Perhaps of greatest significance to the designer is that the now established relationships allow rapid determination of resonance frequency for arbitrary VWG cylindrical resonators simply by and into (3), and then substituting by substituting

where is in millimeters and the three equations gives

is in gigahertz. Combining

for

or (15)

2216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 13.

Cylindrical resonator of Fig. 2 (from [19]). TABLE I CYLINDRICAL VWG HIGH-Q LTCC RESONATOR (FROM [19])

Fig. 12. Simulated first-mode Q (dots) and the associated fitting curves (lines) versus air volume parameters (A and d).

Fig. 11 shows excellent agreement, and again, has been obtained between the analytical expression (solid curves) and the EM computed results (dots). Equation (15) describes the relationship between the firstmode resonance frequency and the LTCC design parameters for the special case of . A more extensive as a variable. Also, limiting study could easily incorporate to be either 1, 2, or 3 may seem like another special case, but these numbers are selected on the basis of the current practical equal foundry guidelines. The tradeoff is between putting to zero, which will give the best quality factor, and putting a few dielectric layers (like 1, 2, or 3) to prevent module warping. B. Loss and Quality Factor Again, the number of layers and the side length of the square air cavity are changed to investigate the quality factor of the first mode, yielding the curves of Fig. 12. Based on its clear exponential behavior, an exact curve fit is obtained with the relationship

performance of VWG cylindrical resonators without any EM CAD tools. IV. USING A CYLINDRICAL HIGH- LTCC RESONATOR IN A LOW PHASE-NOISE OSCILLATOR The realization of LTCC-based oscillator modules requires access to both an active device technology and an LTCC fabrication process. In order to obtain the smallest possible oscillator module, it was decided to design a MMIC chip containing all the oscillator circuitry, except for the resonator element, which could be embedded within the LTCC package. The practical implementation of a very high LTCC cylindrical resonator used in combination with an MMIC component for -band oscillators is presented here.

(16) A. Highwhich is valid for over the limits of and indicated. Excellent agreement is obtained between the simulated and empirical results, as shown in Fig. 12, which validates the empirical formula within the limits of the simulated problem. As stated earlier, completely general expression for arbitrary material parameters could be readily found using the same methodology. A few important observations may be made. First, more than doubles (from 400 to over 800) by progressive removal of the dielectric filling, which is very substantial increase. Second, except for the highest values of (obtained with nearly maximum-sized air cavity), there is practically no dependency on cavity depth . This means that there is no reason to compromise the structural rigidity of the LTCC module by removing the maximum number of dielectric layers for large . in combination with (15) for Finally, use of (16) for or (11) for allows the designer to rapidly assess the basic

LTCC Resonator Design

Following the techniques given in [16] and [19], a cylindrical resonator is fabricated using the LTCC tape system described in Section II-A. Fig. 13 shows a photograph of the fabricated module. The optimized dimensions, as well as the measured data are shown in Table I. Unloaded quality factors are extracted from the measured scattering parameters based on the techniques described in [20]–[22]. The best was found to be 840 for the first resonant mode, which is a very high value for a standard LTCC process. In addition, its size is considerably smaller than would be possible with previously reported techniques [16], [23]–[25]. As can be seen, agreement between measured and experimental results is excellent with errors less than 2% for frequency and less than 5% for . These errors are easily explained by the accumulative effects of LTCC dimensional tolerances (on the order of 0.7% per feature). Incidentally, considerable

EL-TAGER AND ROY: STUDY OF CYLINDRICAL MULTILAYERED CERAMIC RESONATORS WITH RECTANGULAR AIR CAVITY

2217

TABLE II SPECIFICATIONS OF THE NOVEL OSCILLATORS

sub-banding is required to achieve adequate frequency resolution from the network analyzer in order to extract accurate values. B. Optimized Design for the Oscillator Active Network For demonstrative purposes, oscillators operating in the 20–30-GHz range have been designed, fabricated, and measured. The specifications are shown in Table II, which are loosely based on the requirements of the point–point digital radio systems being developed for the 24-GHz ISM and 29.5-GHz LMDS bands. Specifically, the MMIC process employed is a 0.2- m gate-length pseudomorphic high electron-mobility transistor (HEMT) low phase-noise technology (ED02AH) from OMMIC, Limeil, France, on 100- m GaAs substrates. An active network was optimized to work as an unstable circuit (negative resistance generator) for any oscillator in the range of frequencies of 20–30 GHz in combination with the highresonator. More design details are given in [26] in which an optimized rectangular resonator was used to build the 29-GHz oscillator. Here, the new LTCCC cylindrical resonator is integrated with the MMIC active part to obtain the 24-GHz oscillator using flip-chip mounting.

Fig. 14. Measured spectrum for the 24-GHz proposed oscillator showing the first and second harmonics. The vertical scale is 10 dB per division and the reference line is 10 dBm. The marker is adjusted at the first harmonic measuring 10.3 dBm at 24.7 GHz.

Fig. 15. Measured SSB phase noise for the 24-GHz proposed oscillator. Marker 1 is adjusted at 100-kHz offset from carrier showing 106 dBc/Hz. The vertical scale is 10 dB per division.

0

C. Experimental Results of the Proposed 24-GHz Oscillator The oscillator module was measured on a probing station connected to dc supplies and an Agilent series spectrum analyzer (E4448A). Fig. 14 gives the oscillator measured output power spectrum, showing the desired 10.3-dBm signal at 24.7 GHz and the second harmonic at 18 dBc. Single-sideband (SSB) phase noise was measured directly on an appropriately equipped spectrum analyzer having a very low noise floor and low noise amplitude modulation. The minimum resolution bandwidth available on the spectrum analyzer was chosen to obtain the best sensitivity or the best signal-to-noise ratio. Also, the minimum RF attenuation was selected (i.e., zero). It is well known that changing the RF input attenuation can change the displayed signal-to-noise floor ratio, level of the effective noise floor at the input of the analyzer, and sensitivity [27]. Fig. 15 plots the SSB phase-noise performance for the 24.7-GHz signal. After averaging at a spot frequency of 100-kHz offset, the phase-noise level is determined to be 106 dBc/Hz. To complete the characterization, the output power and frequency variations versus gate bias voltage are

Fig. 16. Measured power and frequency variation versus gate bias tuning of the 24-GHz oscillator.

plotted in Fig. 16. The dc_RF conversion efficiency for this oscillator is 9%. Table III summarizes the main results for the proposed oscillator along with the deviations from the predicted values. Clearly, extremely low phase-noise performance has been observed as a result of employing the high- resonators within the same LTCC package. In addition, the output powers

2218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE III MEASURED RESULTS OF THE PROPOSED OSCILLATORS

noise, respectively, confirming that the proposed designs are among the best recently published oscillators. V. CONCLUSION

*NB: includes 3-dB cable loss.

Fig. 17. Output power comparison of the proposed and recently reported oscillators.

In this paper, MMW cylindrical LTCC resonators have been investigated for the first time. Simple analytical expressions have been derived, which relate the proposed VWG heterogeneous structures to their conventional cylindrical resonator and . This allows the components through the use of designer to rapidly assess the basic performance of these novel structures without resorting to any 3-D EM CAD tools. A parametric study has been carried out showing the effects of the available design parameters on the resonant frequency and . The main effects on resonator performance of various filling factors are presented. An optimum high- cylindrical resonator implementation is described showing excellent agreement between modeling and measurements. Finally, a high-performance MMW LTCC-based oscillator has been demonstrated for the first time. The novel design, consisting of embedding the high- resonator in the same LTCC package that contains the active chip, was successfully implemented. A 24-GHz oscillator, utilizing a high- LTCC cylindrical resonator, produced output power of 13.3 dBm, and extremely low phase noise of 106 dBc/Hz. This oscillator is considered to be among the best low phase-noise medium-power free-running oscillators. The excellent agreement between predicted and measured results proves the validity of the design technique and methodology. It is believed that the proposed oscillators will be very useful in the design of miniaturized low-cost mass-producible low phase-noise MW/MMW systems since no extra foundry or special processing is needed apart from regular MMIC chip packaging. ACKNOWLEDGMENT The authors wish to acknowledge the assistance of the Millimeter Wave Research Group, Communications Research center (CRC), Ottawa, ON, Canada, and the assistance of the center for Microelectronics Assembly and Packaging (CMAP), Toronto, ON, Canada, as well as VTT Electronics, Oulu, Finland. REFERENCES

Fig. 18.

SSB phase noise of the proposed and recently reported oscillators.

achieved by these designs are remarkably high. Agreement with the predicted performance is excellent, with 3% shift in frequency and approximately 3-dB discrepancy in output power. This confirms the validity of the design method. The 160-MHz tuning range is narrow, as expected from simple gate bias control. Appropriate low-loss tuning elements may be employed in the future to obtain ultra-low phase-noise voltage-controlled oscillators (VCOs). Figs. 17 and 18 compare the oscillators of Table II with other reported oscillators in terms of output power and SSB phase

[1] H. Kuhnert, F. Lenk, J. Hilsenbeck, J. Wurfl, and W. Heinrich, “Low phase-noise GaInP/GaAs-HBT MMIC oscillators up to 36 GHz,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Phoenix, AZ, May 2001, pp. 1551–1554. [2] H. Djahanshahi, N. Saniei, S. P. Voinigescu, M. C. Maliepaard, and C. A. T. Salama, “20-GHz InP-HBT voltage-controlled oscillator with wide tuning range,” in IEEE RF Integrated Circuits Symp. Dig., Phoenix, AZ, May 2001, pp. 161–164. [3] N. Saniei, H. Djahanshahi, and C. A. T. Salama, “25 GHz inductorless VCO in a 45 GHz SiGe technology,” in IEEE RF Integrated Circuits Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 269–272. [4] S. A. Vitusevich, K. Schieber, I. S. Ghosh, N. Klein, and M. Spinnler, “Design and characterization of an all-cryogenic low phase noise sapphire -band oscillator for satellite communication,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 163–169, Jan. 2003. [5] T. Geist and H. Barth, “Very low noise -band oscillator in LTCC technology,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 2003, pp. 1287–1289.

K

Ka

EL-TAGER AND ROY: STUDY OF CYLINDRICAL MULTILAYERED CERAMIC RESONATORS WITH RECTANGULAR AIR CAVITY

[6] C. Wong, W. F. Miccioli, D. M. Insana, and C. A. Drubin, “An extremely low noise, phase lockable, sapphire loaded cavity based microwave oscillator,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 1999, pp. 91–94. [7] M. Funabashi et al., “A 60 GHz MMIC stabilized frequency source composed of a 30 GHz DRO and a doubler,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Orlando, FL, May 1995, pp. 71–74. [8] L. Bary et al., “Low frequency noise characterization and modeling of microwave bipolar devices: Applications to the design of low phase noise oscillator,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, Jun. 2002, pp. 359–362. [9] W. Simon, R. Kulke, A. Wien, I. Wolff, S. Baker, R. Powell, and M. Harrison, “Design of passive components for K -band communication modules in LTCC environment,” Int. J. Microcircuits Electron. Packag., vol. 23, no. 1, pp. 92–98, First Quarter 2000. [10] D. I. Amey and S. J. Horowitz, “Product features: Characterization of low loss LTCC materials at 40 GHz,” Microwave J., vol. 44, no. 2, pp. 186–190, Feb. 2001. [11] S. Maas, J. Delacueva, J. Li, and S. White, “Technical feature: A low cost cavity stabilized 5.8 GHz oscillator realized in LTCC,” Microwave J., vol. 44, no. 4, pp. 130–134, Apr. 2001. [12] J. Bray and L. Roy, “Microwave characterization of a microstrip line using a two-port ring resonator with an improved lumped-element model,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1540–1547, May 2003. [13] R. Kulke et al., “Investigation of ring-resonators on multilayer LTCC,” presented at the IEEE MTT-S Int. Microwave Symp., Phoenix, AZ, May 2001. [14] Y. C. Lee and C. S. Park, “A novel high-Q LTCC stripline resonator for millimeter-wave applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 499–501, Dec. 2003. [15] J. Hesselbarth, P. Nuechtor, and U. Goebel, “Surface-mount high-Q resonators for millimeter-wave LTCC oscillators,” in Proc. 33rd Eur. Microwave Conf., vol. 3, Oct. 7–9, 2003, pp. 1283–1286. [16] A. El-Tager, J. Bray, and L. Roy, “High-Q LTCC resonators for millimeter-wave applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Philadelphia, PA, Jun. 2003, pp. 2257–2260. [17] R. E. Collin, Foundations for Microwave Engineering, 2nd ed, ser. Electromagn. Wave Theory. New York: IEEE Press, 2001. [18] X. Liang and K. Zaki, “Modeling of cylindrical dielectric resonators in rectangular waveguides and cavities,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2174–2181, Dec. 1993. [19] A. El-Tager and L. Roy, “Novel cylindrical high-Q LTCC resonators for millimeter-wave applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 637–640. [20] J. E. Aitken, “Swept-frequency microwave Q-factor measurement,” Proc. Inst. Elect. Eng., vol. 123, no. 9, pp. 855–862, Sep. 1976. [21] D. Kajfez and E. J. Hwan, “Q-factor measurement with network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 7, pp. 666–670, Jul. 1984. [22] J. Bray and L. Roy, “Measuring the unloaded, loaded, and external quality factors of one- and two-port resonators using scattering parameter magnitudes at fractional power levels,” Proc. Inst. Elect. Eng., pt. H, vol. 151, no. 4, pp. 345–350, Aug. 2004. [23] S. Maas, J. Delacueva, J. Li, and S. White, “Technical feature: A low cost cavity stabilized 5.8 GHz oscillator realized in LTCC,” Microwave J., vol. 44, no. 4, pp. 130–134, Apr. 2001. [24] Y. Cassivi, L. Perregrini, K. Wu, and G. Conciauro, “Low-cost and high-Q millimeter-wave resonator using substrate integrated waveguide technique,” in Proc. 32nd Eur. Microwave Conf., vol. 2, Milan, Italy, Sep. 23–27, 2002, pp. 737–740. [25] X. Gong, W. J. Chappell, and L. P. B. Katehi, “Reduced size capacitive defect EBG resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, Jun. 2002, pp. 1091–1094. [26] A. El-Tager and L. Roy, “29 GHz low phase noise oscillator utilizing high-Q LTCC resonator,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 533–536. [27] “ Spectrum analysis basics,” Hewlett-Packard Company, Rohnert Park, CA, Applicat. Note 150, 1989.

2219

[28] D. Cui, S. Hsu, D. Pavlidis, P. Chin, and T. Block, “A Ka-band monolithic low phase noise coplanar waveguide oscillator using InAlAs/InGaAs HBT,” Solid State Electron., no. 46, pp. 249–253, 2002. [29] A. Boudiaf et al., “Low phase noise PHEMT-based MMIC VCO’s for LMDS applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Phoenix, AZ, May 2001, pp. 1559–1562. [30] C. Hwang, J. Lee, J. Kim, N. Myung, and J. Song, “Simple K -band MMIC VCO utilizing a miniaturized hairpin resonator and a three-terminal p-HEMT varactor with low phase noise and high output power properties,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 6, pp. 229–231, Jun. 2003. [31] X. Ruimin, Y. Bo, X. Jung, and X. Liangji, “How to design high Q cylinder oscillator at millimeter-wave band,” in 2nd Int. Microwave Millimeter Wave Technology Conf., Beijing, China, Sep. 14–16, 2000, pp. 530–533. [32] H. Xiao, T. Tanaka, and M. Aikawa, “A Ka-band quadruple-push oscillator,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Philadelphia, PA, Jun. 2003, pp. 889–892. [33] S. Hamano, K. Kawakami, and T. Takagi, “A low phase noise 19 GHz-band VCO using two different frequency resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Philadelphia, PA, Jun. 2003, pp. 2189–2192. [34] K. S. Ang, M. J. Underhill, and I. D. Robertson, “Balanced monolithic oscillators at K - and Ka-band,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 2, pp. 187–193, Feb. 2000. [35] Y. Cheng, K. Czuba, and G. Kompa, “K -band phase locked hair-pin oscillator,” in IEEE Int. Circuits and Systems Symp., vol. 4, Scottsdale, AZ, May 26–29, 2002, pp. IV-381–IV-384. [36] M. G. Keller, A. P. Freundorfer, and Y. M. M. Antar, “A single-chip coplanar 0.8-m GaAs MESFET K=Ka-band DRO,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 526–528, Dec. 1999.

Ayman M. El-Tager was born in Giza, Egypt, in 1970. He received the B.A.Sc. and M.Sc. degrees in electrical engineering from the Military Technical College, Cairo, Egypt, in 1992 and 1998, respectively, and the Ph.D. degree from Carleton University, Ottawa, ON, Canada, in 2004. In 2004, he joined the Department of Electronics, Military Technical College, as an Assistant Professor. His research interests are microstrip circuits, GaAs MMICs, MW circuit packaging, and 3-D EM numerical techniques. Dr. El-Tager was selected as one of the finalists for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) 2004 International Microwave Symposium (IMS) Best Student Paper Award for his paper entitled “Novel Cylindrical High-Q LTCC Resonators for Millimeter-Wave Applications.”

Langis Roy (M’93) received the B.A.Sc. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 1987, and the M.Eng. and Ph.D. degrees from Carleton University, Ottawa, ON, Canada, in 1989 and 1993, respectively. Following a research fellowship with Matra Marconi Space France in 1993, he joined the Department of Electrical Engineering, University of Ottawa, as an Assistant Professor. Since 1999, he has been an Associate Professor with the Department of Electronics, Carleton University. His research interests are in GaAs monolithic integrated circuits, high-performance MW circuit packaging, integrated active antennas, and numerical techniques in electromagnetics. Dr. Roy is a Licensed Professional Engineer in the Province of Ontario.

2220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Highly Integrated Millimeter-Wave Passive Components Using 3-D LTCC System-on-Package (SOP) Technology Jong-Hoon Lee, Student Member, IEEE, Gerald DeJean, Student Member, IEEE, Saikat Sarkar, Student Member, IEEE, Stéphane Pinel, Kyutae Lim, Member, IEEE, John Papapolymerou, Senior Member, IEEE, Joy Laskar, Senior Member, IEEE, and Manos M. Tentzeris, Senior Member, IEEE

Abstract—In this paper, we demonstrate the development of advanced three-dimensional (3-D) low-temperature co-fired ceramic (LTCC) system-on-package (SOP) passive components for compact low-cost millimeter-wave wireless front-end modules. Numerous miniaturized easy-to-design passive circuits that can be used as critical building blocks for millimeter-wave SOP modules have hereby been realized with high-performance and high-integration potential. One miniaturized slotted-patch resonator has been designed by the optimal use of vertical coupling mechanism and transverse cuts and has been utilized to realize compact duplexers (39.8/59 GHz) and three- and five-pole bandpass filters by the novel 3-D (vertical and parallel) deployment of single-mode patch resonators. Measured results agree very well with the simulated data. One multiplexing filter, called the directional channel-separation filter, that can also be used in mixer applications shows insertion loss of 3 dB over the bandpass frequency band and a rejection 25 dB at around 38.5 GHz over the band-rejection section. LTCC fabrication limitations have been overcome by using vertical coupling mechanisms to satisfy millimeter-wave design requirements. Lastly, a double-fed cross-shaped microstrip antenna has been designed for the purpose of doubling the data throughput by means of a dual-polarized wireless channel, covering the band between 59–64 GHz. This antenna can be easily integrated into a wireless millimeter-wave link system. Index Terms—Directional filter, dual polarization, duplexer, low-temperature co-fired ceramic (LTCC), microstrip antenna, millimeter wave, multiplexing, patch resonator, system-onpackage (SOP), three-dimensional (3-D)/vertical integration.

I. INTRODUCTION

E

MERGING millimeter-wave electronics for commercial applications such as short-range broad-band wireless communications, automotive collision-avoidance radars, and local cellular radio networks (LCRNs) require low manufacturing cost, excellent performance, and a high level of integration [1]. The recent development of the multilayer low-temperature co-fired ceramic (LTCC) system-on-package (SOP) [2] makes these requirements achievable because it offers a great potential Manuscript received October 1, 2004; revised December 21, 2004. This work was supported by the National Science Foundation under CAREER Award ECS9984761 and Grant ECS-0313951, by the Georgia Electronic Design Center, and by the Georgia Institute of Technology Packaging Research. The authors are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848777

for passives integration and enables microwave devices to be fabricated with high reliability, while maintaining a relatively low cost. Numerous publications [2]–[10] have dealt with the development of three-dimensional (3-D) LTCC passive components that are critical building blocks in multilayer high-density architectures. However, recently reported structures do not use any miniaturization mechanism to be efficiently integrated as a building block into compact millimeter-wave/ -band SOP modules. In this paper, we present the development of various advanced 3-D LTCC SOP passive building blocks, enabling a complete passive solution for compact low-cost wireless front-end modules to be used in millimeter-wave frequency ranges. In order to realize compact and highly integrated bandpass filters (BPFs), the performance of single-mode slotted-patch resonators is first investigated at two operating frequencies (59.3 and 38.6 GHz). After ensuring their excellent performance based on simulated and experimental results, one compact multilayer duplexer, which uses a via junction as a common input, has been realized to cover two bands (39.8/59 GHz) for dual-band mobile communication systems such as wireless radio local area networks or LCRNs [15]. In order to achieve a high out-of-band rejection, a novel compact geometry of three- and five-pole BPFs has been implemented by cascading single-mode patch resonators on different layers with optimized inter-resonator coupling separation. A four-port directional filter using embedded microstrip lines operating at 40 GHz is also demonstrated and provides an easy and compact solution for millimeter-wave hybrid passive mixers. In Section IV, a cross-shaped antenna has been designed for a dual-polarized transmission and reception of signals that cover the band between 59–64 GHz in a configuration that can be easily integrated into wireless millimeter-wave transmit/receive modules. All fabricated filters and antennas were measured using the Agilent 8510C Network Analyzer, Cascade Microtech probe station with 250- m pitch air coplanar probes, and a standard short-open-load-thru (SOLT) calibration method. II. PATCH RESONATOR BPF A. Single Resonator Filter Integrating a filter on-package in LTCC multilayer technology is a very attractive option for RF front-ends up to

0018-9480/$20.00 © 2005 IEEE

LEE et al.: HIGHLY INTEGRATED MILLIMETER-WAVE PASSIVE COMPONENTS USING 3-D LTCC SOP TECHNOLOGY

2221

Fig. 3. Fabricated filters with CPW pads: (a) at 60 GHz and (b) at 39 GHz.

Fig. 1. Top view of: (a) basic resonator.

=2

square patch. (b) Miniaturized patch

Fig. 4. (jS

Fig. 2. Side view of multilayer configuration of: (a) 60-GHz slotted-patch resonator. (b) 39-GHz slotted-patch resonator.

millimeter-wave frequency range in terms of both miniaturization by vertical deployment of filter elements and reduction of the number of components and assembly cost by eliminating the demand for discrete filters. In millimeter-wave frequencies, the BPFs are commonly realized using slotted-patch resonators due to their miniaturized size and their excellent compromise between size, power handling, and easy-to-design layout [3]. Here, the design of a single-pole slotted-patch filter is presented for two operating frequency bands (38–40 and 58–60 GHz). All designs have been simulated using the method of moments (MOM)-based two-and-one-half-dimensional (2.5-D) full-wave solver IE3D. Fig. 1 shows a top-view comparison between a basic mm half-wavelength square patch resonator mm [21] [see Fig. 1(a)] and the new configuration mm mm capable of providing good tradeoffs between miniaturization and power handling [see Fig. 1(b)]. A side view and a photograph of the resonators for two operating frequency bands are shown in Figs. 2 and square patch, the 3, respectively. In the design of the planar single-mode patch and microstrip feedlines are located in Fig. 2(a)] and use the end-gap capacitive at metal 3 [ coupling between the feedlines and the resonator itself in

j)

Simulated responses of center frequency (f ) and insertion loss as a function of transverse cut (L ).

order to achieve 3% 3-dB bandwidth and 3-dB insertion loss around the center frequency of 60 GHz. However, the required coupling capacitances to obtain design specifications could not be achieved because of the LTCC design rule limitations. In order to maximize the coupling strength, while minimizing the effects of the fabrication, the proposed novel structure takes advantage of the vertical deployment of filter elements by placing the feed lines and the resonator into different vertical metal layers, as shown as Fig. 2(a). This transition also introduces a 7.6% frequency downshift because of the additional capacitive square patch coupling effect, as compared to the basic resonator [see Fig. 1(a)] directly attached by feedlines. Transverse cuts have been added on each side of the patch in order to achieve significant miniaturization of the patch by adding additional inductance. Fig. 4 shows the simulated response for the center frequency and insertion loss as the length in Fig. 1(b)] increases, while the fixed width of of cuts [ in Fig. 1(b)] is determined by the fabrication cuts [ tolerance. It can be observed that the operating frequency range shifts further downward approximately 33% as the length of in Fig. 1(b)] increases by approximately 379 m. cut [ Additional miniaturization is limited by the minimum distance in Fig. 1(b)] between the corners of adjacent orthogonal [ cuts. Meanwhile, as the operating frequency decreases, the shunt conductance in the equivalent circuit of the single patch also decreases because its value is reciprocal to the exponential function of the operating frequency [16]. This fact additionally causes the reduction of radiation loss since it is proportionally related to the conductance in the absence of conductor loss

2222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. Simulated 3-dB bandwidth as function of overlap distance of: (a) 60-GHz slotted-patch resonator and (b) 39-GHz slotted-patch resonator.

[17]. Therefore, insertion loss at resonance is improved from in Fig. 1(b). 2.27 to 1.06 dB by an increase of The patch size is reduced significantly from 0.996 to 0.616 mm. The modification of bandwidth due to the patch’s miniaturization can be compensated by adjusting the overlap . Fig. 5 shows the simulated response for 3-dB distance increases. It is observed that 3-dB bandbandwidth as increases due to a stronger coupling width increases as is determined to be 18 m corresponding effect and then to 1.85-GHz 3-dB bandwidth. The proposed embedded microstrip line filters are excited through vias connecting the coplanar waveguide (CPW) signal in Fig. 2(a)], reducing radiapads on the top metal layer [ tion loss compared to microstrip lines on the top (surface) layer. As shown in Fig. 1(b), Klopfenstein impedance tapers are used to connect the 50- feeding line and the via pad on the metal in Fig. 1(b)]. The overlap and trans2[ verse cuts ( , ) have been finally determined to achieve desired filter characteristics with aid of IE3D. Fig. 3(a) shows the photograph of the fabricated filter prototypes , ) with CPW pads fabricated in LTCC ( with a dielectric layer thickness of 100 m and metal thickness of 9 m. The overall size is 4.018 mm 1.140 mm 0.3 mm including the CPW measurement pads. As shown in Fig. 6(a), the experimental and simulated results agree very well. It can be easily observed that the insertion loss is 2.3 dB, the return loss 25.3 dB over the passband, and the 3-dB bandwidth is approximately 1 GHz. The center frequency shift from 59.85 to 59.3 GHz can be attributed to the fabrication accuracy (vertical coupling overlap affected by the alignment between layers, layer thickness tolerance). This was the first fabrication iteration and the differences could be corrected in the second and third iterations. The proposed slotted-patch filter architecture was also used in the design of mobile satellite communication systems around 39 GHz. The 39-GHz single-mode patch filter mm mm was designed for 3.08% bandwidth, 39-GHz center frequency, and 3-dB insertion loss. The design procedure was similar to the 60-GHz filter, except from the fact that the resonator was placed on two layers (instead of one layer) beneath the feeding lines, as shown in

Fig. 6. Measured and simulated S -parameters of: (a) 60-GHz slotted-patch resonator and (b) 39-GHz slotted-patch resonator.

the side view of Fig. 2(b). The overlap and the , ) were determined to transverse cuts ( achieve the desired filter characteristics. The overall size was 1.140 mm 0.4 mm with CPW measurement 4.423 mm pads. The fabricated filter [see Fig. 3(b)] exhibits a minimum insertion loss of 2.3 dB, a return loss of 18.2 dB, and a 3-dB bandwidth of approximately 3% [see Fig. 6(b)]. The experimental error in the calculation of the center frequency can be attributed to the fabrication accuracy, as mentioned in 60-GHz case. B. Duplexer (41/61 GHz) Recently, an -band -plane ridge waveguide duplexer was built in LTCC and experimentally succeeded [9]. A global system for mobile communications (GSM) GHz DCS GHz duplexer was also implemented to be integrated into an LTCC switch/filter front-ends module with excellent performance such as 0.5/0.9-dB insertion loss and 26.7/27.9-dB return loss [10]. However, to the best knowledge of the authors, no duplexers of compact slotted-patch resonator configurations operating at 40 and 60 GHz have ever been proposed. With the above reported development in the design of miniaturized patch resonators, it is now possible to realize compact duplexers using LTCC multilayer technology that cover two bands of interest for mobile communications such as broad-band wireless local area networks (WLANs) and LCRN. These dual-band duplexers can be designed around the 41/61-GHz center frequencies (channel

LEE et al.: HIGHLY INTEGRATED MILLIMETER-WAVE PASSIVE COMPONENTS USING 3-D LTCC SOP TECHNOLOGY

Fig. 7. Top view of the dual-band compact duplexer (41/61 GHz) consisting of two patch resonators connected together with a via junction.

2223

Fig. 9. Duplexer fabricated in multilayer LTCC substrates (" = 5:4, tan  = 0:0015).

Fig. 8. Side view of the dual-band compact duplexer (41/61 GHz) in multilayer configuration.

1/channel 2) with 3-dB insertion loss for both channels, and 6% 3-dB bandwidth below maximum insertion loss. The top and side views of the topology chosen for the slotted-patch duplexer are shown in Figs. 7 and 8, respectively. The two resonant patch filters are connected together with a via junction (Port1 in Fig. 7), which constitutes the common input. The 61-GHz patch filter occupies the left-hand-side portion of the diplexer and the 41-GHz filter occupies the right-hand-side portion in Fig. 7. In comparison to the patch filter of Fig. 2(b), which occupies four dielectric layers, the whole 41-GHz patch filter is shifted up by one dielectric/metal layer so that it remains only three dielectric layers. This modification of the structure not only realizes a compact multilayer (3-D) duplexer configuration, where the resonators are on the same metal layer while fed by strip lines on different layers (better isolation), but also makes it simpler to design by directly embedding the configuration designed in section A. In channel 1, this type provides a narrower bandwidth and a higher insertion loss since radiation from microstrip feedlines on the top (surface) layer in is higher than from embedded types. The overlap ( Fig. 7) works as main control factor to improve the bandwidth, as demonstrated in Fig. 5. The overlap has been determined for a fixed dimension of the transverse cuts ( , ) with aid of IE3D. The in Fig. 7) is determined to fulfill the target slot length ( insertion and center frequency specifications in the same way as in section A. In addition, the surface microstrip feedlines of channel 1 have been implemented in a way to achieve 50- impedance matching, and Klopfenstein impedance tapers have been employed to annihilate the parasitic coupling in microstrip discontinuities and to achieve impedance matching between the 61-GHz feedline and the via pad, as shown in Fig. 7. The fabricated duplexer (Fig. 9) occupies an area of 5.719 1.140 0.3 mm including the CPW measurement pads and the CPW–microstrip transition [18].

Fig. 10. Measured and simulated insertion loss for both channels of the diplexer (Channel 1: S 31, Channel 2: S 21).

Fig. 11. Measured and simulated return loss (S 11) for both channels of the diplexer.

Figs. 10 and 11 show, respectively, the simulated and measured insertion and return losses of the duplexer. The measured insertion loss for channel 1 is 3.10 dB, which is slightly higher than the simulated value, while the return loss, shown in Fig. 10, increases from 27 to 18.6 dB. The measured bandwidth is 4.8%, slightly smaller than the simulation results. The center frequency is also shifted to 39.8 GHz. For channel 2, the measured insertion loss is 3.41 dB, which is slightly higher than the simulated value, while the return loss is 11.32 dB, a 6.68-dB decrease from the simulated value reported in Fig. 11. The measured bandwidth was approximately 3.25% which is quite smaller than the simulated values of 6%. The center frequency was also shifted to 59 GHz. The discrepancy between the simulated and measured insertion/return loss values can be attributed to several factors, which are: 1) the fabrication accuracy of the feeding line/cut designs that have been computationally

2224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 13. Top view of: (a) three-pole slotted-patch BPF and (b) five-pole slotted-patch BPF.

Fig. 12. Measured and simulated channel-to-channel isolation (S 32).

optimized for the original resonant frequencies and not for the shifted frequencies and 2) the additional conductor loss in measurements, which is due to the fact that the simulations assume that the metals of the strip feeding lines are perfect electric conductors. In addition, the narrower bandwidth in measurements compared to the simulations might be due to the fabrication accuracy of the vertical-coupling overlap design that is optimized for the original resonant frequencies and not for the shifted frequencies. The measured isolation agrees fairly well with the simulated values, as shown in Fig. 12. Overall isolation is 29.5 dB at 39.8 GHz, 20.4 dB at 59 GHz, and better than 16.3 dB in the worst case.

Fig. 14. Side view of: (a) three-pole slotted-patch BPF and (b) five-pole slotted-patch BPF.

C. Three- and Five-Resonator Filter We have designed and fabricated symmetrical three- and five-pole filters for inter-satellite wide-band applications that consist of three and five capacitively gap-coupled single-mode resonators, respectively, as shown in Fig. 13(a) and (b). The first three-pole BPF was developed for a center frequency of 59.6 GHz, 1-dB insertion loss, 0.1-dB in-band ripple, and 6.4% fractional bandwidth based on Chebyshev low-pass prototype filter. The design parameters such as the external quality factors and the coupling coefficients were decided to be Fig. 15. (a) External quality factor (Q ) evaluated as a function of overlap distance (L ). (b) Coupling coefficient k as a function of coupling spacing (d ) between first and second resonators.

To determine the physical dimensions, full-wave EM simulations (IE3D) were used to extract the coupling coefficients based on a or and external quality factors simple graphical approach, as described in [6]. Feeding lines and slotted-patch resonators were alternatively located on ; different metal layers (feeding lines, second resonator: ), as shown in Fig. 14(a), first resonator, third resonator: between resonators, as well as desired to achieve strong between the resonator and feeding line with a moderate sensitivity to the LTCC fabrication tolerances. Fig. 15(a) shows evaluated as a function of overlap distance . the results in a stronger input/output coupling and A larger smaller . The required is then obtained against the in Fig. 13(a)] for a fixed at variation of distance [ input/output ports. Full-wave simulation was also employed

to find two characteristic frequencies ( , ) that represent resonant frequencies of coupled structure when an electrical wall or a magnetic wall, respectively, was inserted in the symmetrical plane of the coupled structure [11]. Characteristic frequencies were associated to the coupling between resonators [11]. The coupling as follows: spacing [ in Fig. 13(a)] between the first and second reswas determined from Fig. 15(b). onators for the required and are determined the same way as and since the investigated filter is symmetrical around its center. Fig. 16(a) shows the comparison of the simulated and measured -parameters of the three-pole slotted-patch filter. Good correlation is observed and the filter exhibits an insertion loss of 1.235 dB, a return loss of 14.311 dB over the passband,

LEE et al.: HIGHLY INTEGRATED MILLIMETER-WAVE PASSIVE COMPONENTS USING 3-D LTCC SOP TECHNOLOGY

2225

) so that the spacing between adresonator, fifth resonator: jacent resonators and the overlap between the feeding lines and resonators work as the main parameters of the filter design to achieve the desired coupling coefficients. The external quality factor. The same technique is applied to the design of 3 poles , BPF. The filter layout parameters are , and [see Fig. 13(b)] where is the guided wavelength and the filter size is 7.925 1.140 0.3 mm . The measured insertion and reflection losses of the fabricated filter are compared with the simulated results in Fig. 16(b). The fabricated filter exhibits a center frequency of 59.15 GHz, an insertion loss of approximately 1.386 dB, and a 3-dB bandwidth of approximately 7.98%. These multipole filters can be used in the development of a multipole duplexer. III. 40-GHz DIRECTIONAL FILTER

Fig. 16. Measured and simulated S -parameters of: (a) three-pole slotted-patch BPF and (b) five-pole slotted-patch BPF.

and a 3-dB bandwidth approximately 6.6% at the center frequency of 59.1 GHz. The selectivity on the high side of the passband is better than EM simulation because an inherent attenuation pole occurs at the upper side. The latter is due to the fact that the space between fabricated nonadjacent resonators might be smaller than that in simulation so that stronger cross-coupling might occur. In addition, the measured insertion loss is slightly higher than the theoretical result because of additional conductor loss and radiation loss from the feeding microstrip lines that cannot be deembedded because of the nature of the SOLT. The dimension of the fabricated filter is 5.855 mm 1.140 mm 0.3 mm with measurement pads. A high-order filter design using five slotted patches [see Fig. 13(b)] and having a very similar coupling scheme than the three-pole filter was investigated. The Chebyshev prototype filter was designed for a center frequency of 61.5 GHz, a 1.3-dB insertion loss, a 0.1-dB band ripple, and 8.13% 3-dB bandwidth. The circuit parameters for this filter are

Fig. 14(b) shows the side view of a five-pole slotted-patch BPF. The feeding lines and open-circuit resonators have been inserted into the different metallization layers (feeding lines, second resonator, fourth resonator: ; first resonator, third

A 40-GHz four-port directional filter with excellent performance in LTCC technology is presented here. As shown in Fig. 16, the device exhibits a band-rejection characteristic between ports 1 and 2, and a bandpass characteristic between ports 1 and 4. The other port (port 3) is isolated from the input (port1) [12]. The integrated structure is symmetric. This multifunctional device can be applied for millimeter-wave passive mixers to mix RF and local oscillator (LO) signals with an IF signal with required isolation. The sharp frequency-selective nature of the directional filters can also be utilized in the design of millimeter-wave multiplexers and demultiplexers. In this single loop directional filter, the coupling between the transmission lines has been achieved by vertically coupled structures alleviating the need of a very narrow broadside (horizontal) coupling distance, which could not be realized in LTCC. The effective lengths of all sides of the ring have been made equal to one-quarter of the guided wavelength. The feeding top layer transmission line has been designed to be 50- microstrip line. The width of the coupled section of the ring has been chosen so that there is minimum reflection in the frequency of interest in the coupled section of ring. The uncoupled sections of the ring are 50- embedded microstrip lines so that the ring provides minimum mismatch at the frequency of interest. At the frequency band of operation, the signal couples from the input transmission line to the embedded ring and, hence, the required bandpass and band-reject characteristics are achieved (Fig. 17). The dielectric thickness is 100 m between the metal layers and it occupies an area of 2 mm 2 mm. The layout and performance of the filter are shown in Figs. 18 and 19, respectively. The bandpass section shows a measured insertion loss of 3 dB, and the band-reject section exhibits a rejection of 25 dB at around 38.5 GHz. This is the first reported millimeter-wave directional filter fabricated in the LTCC process. Traditionally, designers have designed waveguide directional filter or traveling-wave directional filters. Reference [19] introduces the design of microstrip loop directional filters at a much lower frequency (10 GHz). Gorbachev [20] shows a wide-band performance at a much lower RF frequency. In this paper, we present a simple compact low-cost microstrip design with excellent narrow-band frequency selectivity at millimeter wave.

2226

Fig. 17.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Implementation of the directional filter in multilayer LTCC substrate.

Fig. 20.

Fig. 18.

Fabricated directional filters.

Fig. 19.

Performance of the directional filter.

IV. CROSS-SHAPED MICROSTRIP ANTENNA A cross-shaped antenna has been designed for the transmission and reception of signals that cover two bands between 59–64 GHz. The first band (channel 1) covers 59–61.25 GHz, while the second band (channel 2) covers 61.75–64 GHz. This antenna can be easily integrated within a wireless millimeter-wave module containing the components of Section III. Its structure is dual-polarized for the purpose of doubling the data output rate transmitted and received by the antenna. The cross-shaped geometry was utilized to decrease the crosspolarization, which contributes to unwanted sidelobes in the radiation pattern [13]. The antenna, shown in Fig. 20, was excited by proximitycoupling, and had a total thickness of 12 metal layers and 11 substrate layers (each layer was 100- m thick). When the feedline is excited, the fringing fields at the end of the line strongly couple to the patch by electromagnetic (EM) coupling. This configuration is a noncontact noncoplanar method of feeding a patch antenna. The use of proximity-coupling allows

Antenna structure.

for different polarization reception of signals that exhibits improved cross-channel isolation in comparison to a traditional coplanar microstrip feed. There were two substrate layers separating the patch and feedline, and two substrate layers separating the feedline and ground layer. The remaining seven substrate layers are used for burying RF circuitry beneath the antenna that includes the filter, integrated passives, and other 7 mm . A components. The size of the structure was 8 right-angle bend in the feedline of channel 2 is present for the purpose of simplifying the scattering-parameter measurements on the network analyzer. The design was simulated using the transmission-line matrix (TLM)-based 3-D full-wave solver MicroStripes 6.0. Fig. 21(a) shows the simulated scattering parameters versus frequency for this design. The targeted frequency of operation was around ) and 62.87 GHz for channel 2 60.13 GHz for channel 1 ( ). The simulated return loss for channel 1 was close to ( 28 dB at GHz, while for channel 2, the return 26 dB at GHz. The simulated freloss was quency for channel 1 was optimized in order to cover the desired band based on the antenna structure. Channel 2 has a slightly greater bandwidth (3.49%) than that of channel 1 (3.15%) primarily due to the right-angle bend in the feedline that can cause small reflections to occur at neighboring frequencies near the resonance point of the lower band. The upper edge frequency of the lower band is 61.21 GHz; while the lower edge of the higher band is 61.77 GHz. Fig. 21(b) frequency shows the measured scattering parameters versus frequency for the design. The measured return loss for channel 1 ( 20 dB @ GHz) is worse than that obtained through the simulation ( 26 dB). Conversely, the 40 dB of measured return loss at GHz obtained for channel 2 is significantly better than the simulated return loss of 28 dB. The diminished return loss of channel 1 is acceptable due to minor losses asso). ciated with measurement equipment (cables, connectors, The enhanced return loss of channel 2 could result from measurement inaccuracies or constructive interference of parasitic resonance. Frequency shifts resonances at or around the for both channels are present in the measured return-loss plots.

LEE et al.: HIGHLY INTEGRATED MILLIMETER-WAVE PASSIVE COMPONENTS USING 3-D LTCC SOP TECHNOLOGY

2227

A new class of compact and easy-to-design passive functions such as filters, directional filters, and antennas, have been demonstrated with excellent performance and high integration potential. The patch resonator filter that uses vertical coupling overlap and transverse cuts as design parameters achieves a high level of miniaturization and a great compromise between size and power handling. The excellent performance of the patch resonator filter is verified through a measured insertion loss better than 2.3 dB and a return loss larger than 18.2 dB over the passband and a bandwidth of approximately 6.4%. On the basis of the single-mode patch resonator, a multilayer compact diplexer and multistage (three pole and five pole) BPFs have been fully characterized and have demonstrated performances suitable for -band mobile communication and inter-satellite wide-band applications. Directional filters have been experimentally verified to provide easy and compact solutions for applications such as mixing and multiplexing with a measured insertion loss better than 3 dB over the bandpass section and a rejection of 25 dB at around 38.5 GHz over the band-rejection section. A double-fed cross-shaped microstrip antenna has been designed for the purpose of effectively doubling the data throughput by means of a dual polarized wireless channel covering the band from 59 to 64 GHz. This antenna can be easily integrated into a wireless millimeter-wave module along with the other RF components. REFERENCES

Fig. 21.

(a) Simulated and (b) measured S -parameter data versus frequency.

Additionally, the bandwidths of the two channels are wider than those seen in simulations (5.64% for channel 1 and 8.26% for channel 2). Small deviations in the dimensions of the fabricated design. as well as measurement tolerances may have contributed to the frequency shifts, while the increased bandwidths may be attributed to radiation from the feedlines and other parasitic efmode producing an overall fects that resonate close to the of the lower wider bandwidth. The upper edge frequency of the band is 61 GHz, while the lower edge frequency higher band is 62.3 GHz. The simulated cross-coupling between channels 1 and 2 (Fig. 20) is below 22 dB for the required bands. On the other hand, the measured cross-coupling between the channels is below 22 dB for the lower band and below 17 dB for the upper band. Due to the close proximity of the feeding line terminations of the channels, the cross-coupling is hindered, but these values are satisfactory for this application. V. CONCLUSION The development of various advanced 3-D LTCC SOP passives solutions for compact low-cost wireless front-ends to be used in millimeter-wave frequency ranges has been presented.

[1] K. Lim, S. Pinel, M. F. Davis, A. Sutono, C.-H. Lee, D. Heo, A. Obatoynbo, J. Laskar, E. M. Tentzeris, and R. Tummala, “RF-system-onpackage (SOP) for wireless communications,” IEEE Microwave Mag., vol. 3, no. 1, pp. 88–99, Mar. 2002. [2] J. Lee, K. Lim, S. Pinel, G. DeJean, R. L. Li, C.-H. Lee, M. F. Davis, M. Tentzeris, and J. Laskar, “Advanced system-on-package (SOP) multilayer architectures for RF/wireless systems up to millimeter-wave frequency bands,” in Asia–Pacific Microwave Conf., Seoul, Korea, Nov. 2003, p. FA5_01. [3] V. Kondratyev, M. Lahti, and T. Jaakola, “On the design of LTCC filter for millimeter-waves,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1771–1773. [4] R. L. Li, G. DeJean, M. M. Tentzeris, J. Laskar, and J. Papapolymerou, “LTCC multilayer based CP patch antenna surrounded by a soft-andhard surface for GPS applications,” in IEEE AP-S Symp., Columbus, OH, Jun. 2003, pp. II.651–II.654. [5] C. H. Lee, A. Sutono, S. Han, K. Lim, S. Pinel, J. Laskar, and E. M. Tentzeris, “A compact LTCC-based -band transmitter module,” IEEE Trans. Adv. Packag., vol. 25, no. 3, pp. 374–384, Aug. 2002. [6] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Lowtemperature cofired ceramic (LTCC) ridge waveguide bandpass chip filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2317–2324, Dec. 1999. [7] Y. Huang, “A broad-band LTCC integrated transition of laminated waveguide to air-filled waveguide for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1613–1617, May 2003. [8] W.-Y. Leung, K.-K. M. Cheng, and K.-L. Wu, “Multilayer LTCC bandpass filter design with enhanced stopband characteristics,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 240–242, May 2002. [9] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Low temperature cofired ceramic (LTCC) ridge waveguide multiplexers,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 1169–1172. [10] R. Lucero, W. Qutteneh, A. Pavio, D. Meyers, and J. Estes, “Design of an LTCC switch diplexer front-end module for GSM/DCS/PCS application,” in IEEE Radio Frequency Integrated Circuit Symp., Phoenix, AZ, May 2001, pp. 213–216. [11] J.-S. Hong and M. J. Lancaster, “Coupling of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996.

Ku

2228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[12] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. North Bergen, NJ: Bookmart, 1985. [13] A. Tavakoli, N. Darmvandi, and R. M. Mazandaran, “Analysis of crossshaped dual-polarized microstrip patch antennas,” in IEEE AP-S Int. Symp. Dig., Newport Beach, CA, Jun. 1995, pp. 994–997. [14] J.-H. Lee, G. DeJean, S. Sarkar, S. Pinel, K. Lim, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Advanced 3-D LTCC system-on-package (SOP) architectures for highly integrated millimeter-wave wireless systems,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, 2004. [15] H. H. Meinel, “Commercial applications of millimeterwaves history, present status, and future trends,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1639–1653, Jul. 1995. [16] D. M. Pozar and D. H. Schaubert, Microstrip Antennas. Piscataway, NJ: IEEE Press, 1995. [17] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [18] W. Wiatr, “Coplanar-waveguide-to-microstrip transition model,” in IEEE MTT-S Int. Microwave Sym. Dig, Boston, MA, Jun. 2000, pp. 1797–1800. [19] S. Uysal, “Microstrip loop directional filter,” Electron. Lett., vol. 33, no. 6, pp. 475–476, Mar. 1997. [20] A. P. Gorbachev, “The reentrant wide-band directional filter,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 2028–2031, Aug. 2002. [21] D. M. Pozar and D. H. Schauber, Microstrip Antennas. Piscataway, NJ: IEEE Press, 1995.

Jong-Hoon Lee (S’98) was born in Seoul, Korea, in December 1974. He received the B.S. degree in electrical engineering from the Pennsylvania State University, University Park, in 2001, the M.S. degree from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. His research interests are packaging technology for microwave/millimeter-wave system digital signal processing (DSP)-based predictor to improve the computational efficiency of the simulation. He is currently involved with the research and development of LTCC SOP modules for millimeter-wave wireless systems.

Gerald DeJean (S’02) received the Bachelor’s of Science degree in electrical engineering (with high honors) from Michigan State University, East Lansing, in 2000, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology, Atlanta. He is currently with the ATHENA Research Group, Georgia Institute of Technology. He is also with the Georgia Electronic Design Center, Atlanta, GA, and the National Science Foundation (NSF) Packaging Research Center, Atlanta, GA. His current research interests include the design of compact antennas for integration into 3-D transceiver design, integration of antennas on multilayer substrates, equivalent-circuit modeling of antennas, and RF packaging and design.

Saikat Sarkar (S’04) was born in Asansol, India, in 1980. He received the Bachelor’s degree in electronics and electrical communication from the Indian Institute of Technology, Kharagpur, India, in 2003, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology, Atlanta. In Summer 2004, he was a Summer Intern with Intel, Hillsboro, NJ. His research interests include SOP development for 60-GHz wireless applications including wireless transceiver modules, SiGe circuit design for millimeter-wave applications, and passive design for RF and microwave frequencies.

Stéphane Pinel received the B.S. degree from Paul Sabatier University, Toulouse, France, in 1997, and the Ph.D. degree in microelectronics and microsystems (with highest honors) from the Laboratoire d’Analyze et d’Architecture des Systemes, Centre National de la Recherche Scientifique, Toulouse, France, in 2000. For three years, he has been involved with a UltraThin Chip Stacking (UTCS) European Project. He is currently a Research Engineer with the Microwaves Applications Group, Georgia Institute of Technology. He has authored or coauthored over 70 journal and proceeding papers, two book chapters, and numerous invited talks. He holds four patents/invention disclosures. His research interests include advanced 3-D integration and packaging technologies, RF and millimeter-waves embedded passives design using organic and ceramic material, RF-microelectromechanical systems (MEMS) and micromachining techniques, SOP for RF front-end modules, and system-on-insulator (SOI) RF circuit design. Dr. Pinel has participated and organized numerous workshops. He was the recipient of the First Prize Award presented at the 1998 Society of Electronic and Electro-technique (SEE), the Second Prize Award presented at 1999 International Microelectronics and Packaging Society (IMAPS), and the Best Paper Award presented at the 2002 International Conference on Microwave and Millimeter-Wave Technology, Beijing, China.

Kyutae Lim (M’93) was born in Seoul, Korea, in 1968. He received the B.S., M.S., and Ph.D. degrees from the Hanyang University, Seoul, Korea, in 1991, 1993 and 1996, respectively. As a Research Assistant, he has designed and analyzed the dual-offset reflector antenna for the communication satellite supported by Korea Telecom and developed the EM codes utilizing mode-matching geometric theory diffraction (GTD) and finite difference time domain (FDTD). From 1996 to 2000, he was with the Samsung Advance Institute of Technology, Kiheung, Korea, as a Member of Technical Staff, where he was involved in the development of millimeter-wave wireless communication system. From 1998 to 1999, he was a Research Fellow with the Communication Research Laboratory, Tokyo, Japan, where he developed an antennas and packages for 60 GHz. Since January 2000, he has been with the Microwave Application Group, Georgia Institute of Technology, Atlanta, as a member of the research faculty. He has authored or coauthored over 70 journal and proceeding papers and one book chapter. He holds two U.S. patents. His research interests include the analysis of EM phenomenon, passive and active circuit design for RF and opto-electronic applications, and mixed signal system integration by SOP technology. Dr. Lim is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Component, Packaging and Manufacturing Technology Society, the IEEE Antennas and Propagation Society, and the IEEE Lightwave Technology Society.

LEE et al.: HIGHLY INTEGRATED MILLIMETER-WAVE PASSIVE COMPONENTS USING 3-D LTCC SOP TECHNOLOGY

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. In August 2001, he joined the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Assistant Professor. He has authored or coauthored over 70 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and microelectromechanical systems (MEMS) devices in microwave, millimeter-wave, and terahertz circuits, and the development of both passive and active planar circuits on Si and GaAs for high-frequency applications. Dr. Papapolymerou was the recipient of the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China (August 17–19, 2002), and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter.

Joy Laskar (S’84–M’85–SM’02) received the B.S. degree (highest honors) in computer engineering with math/physics minors from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991 respectively. Prior to joining the Georgia Institute of Technology, Atlanta, in 1995, he has held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. At the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group, the Director of Georgia’s Electronic Design Center, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. With the Georgia Institute of Technology, he heads a research group with a focus on integration of high-frequency electronics with opto-electronics and integration of mixed technologies for next-generation wireless and opto-electronic systems. In July 2001, he became the Joseph M. Pettit Professor of Electronics with the School of Electrical and Computer Engineering, Georgia Institute of Technology. He has authored or coauthored over 210 papers. He has ten patents pending. His research has focused on high-frequency integrated-circuit (IC) design and their integration. His research has produced numerous patents and transfer of technology to industry. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced WLAN IC company RF Solutions, which is now part of Anadigics. In 2001, he cofounded the next-generation interconnect company Quellan Inc., which develops collaborative signal-processing solutions for enterprise applications. Dr. Laskar has presented numerous invited talks. For the 2004–2006 term, he has been appointed an IEEE Distinguished Microwave Lecturer for his Recent Advances in High Performance Communication Modules and Circuits seminar. He was a recipient of the 1995 Army Research Office’s Young Investigator Award, 1996 recipient of the National Science Foundation (NSF) CAREER Award, 1997 NSF Packaging Research Center Faculty of the Year, 1998 NSF Packaging Research Center Educator of the Year, 1999 corecipient of the IEEE Rappaport Award (Best IEEE Electron Devices Society journal paper), the faculty advisor for the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award, 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the Year, a 2002 IBM Faculty Award, 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and 2003 Outstanding Young Engineer of the IEEE MTT-S.

2229

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany. He has authored or coauthored over 170 papers in refereed journals and conference proceedings and eight book chapters. He has helped develop academic programs in highly integrated packaging for RF and wireless applications, microwave MEMS, SOP-integrated antennas and adaptive numerical electromagnetics (finite difference time domain (FDTD), multiresolution algorithms). He is the Georgia Tech National Science Foundation (NSF)-Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the Leader of the Novel Integration Techniques Sub-Thrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. Dr. Tentzeris is member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA. He is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He was the recipient of the 2003 IEEE CPMT Outstanding Young Engineer Award, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China), the 2002 Georgia Tech-Electrical and Computer Engineering (ECE) Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award, and the 1997 Best Paper Award, International Hybrid Microelectronics and Packaging Society.

2230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

High-Quality Solenoid Inductor Using Dielectric Film for Multichip Modules Jong-Min Yook, Student Member, IEEE, Ju-Hyun Ko, Man-Lyun Ha, and Young-Se Kwon, Member, IEEE

TABLE I ELECTRICAL PROPERTY OF UPILEX-S

Abstract—For multilayer applications, we made high-quality and easily fabricated solenoid inductors using a dielectric material (UPILEX-S, UBE Industries, Tokyo, Japan). These inductors fabricated on UPILEX-S had a larger factor compared to that of a spiral inductor fabricated on a silicon or GaAs substrate. Furthermore, it is possible to stack these inductors to make multichip modules using solder or gold stud bumps. From the measurement, solenoid inductors fabricated on 50- m-thick film showed very high factors and stable inductances. Index Terms—Dielectric, multichip module (MCM), solenoid, UPILEX film.

I. INTRODUCTION N MANY RF integrated-circuit (RFIC) modules, the passive inductor is an essential element and its performance plays an important role in RF circuits. Many results have been reported to improve the performance of on-chip inductors. However, the majority of research has been done for two-dimensional (2-D) spiral-type inductors because the fabrication process of a threedimensional (3-D) solenoid inductor is difficult even though the solenoid inductor has higher performance than the spiral inductor. A spiral-type inductor has merits of easy and compatible process for a wafer, but it requires a very large area to achieve high inductance compared with a solenoid inductor, and its magnetic flux, which is perpendicular to the substrate, can interfere with the underlying circuit in multichip modules (MCMs). Recently, high-performance 3-D multispiral or air-cored solenoid on-chip inductors were made [1]–[4]. Despite their high performance, these inductors have problems such as packaging issues, high cost, and complex process. To fix these problems and make a high-performance inductor that was adequate for an MCM, we made the solenoid inductor using a dielectric material (UPILEX-S, UBE Industries, Tokyo, Japan), which had good electrical properties and cost effectiveness [5]. Table I shows the electrical properties of UPILEX-S. Due to , its low dissipation loss and dielectric constant the inductors on the UPILEX-S film have a higher quality factor and also have a higher self-resonant-frequency than the inductor or silicon substrates. In on GaAs

I

Manuscript received October 2, 2004; revised January 17, 2005. J.-M. Yook and Y.-S. Kwon are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology, 305-701 Daejon, Korea (e-mail: [email protected]). J.-H. Ko was with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology, 305-701 Daejon, Korea. He is now with the Samsung Electronics Company Ltd., 449-600 Yongin City, Korea. M.-L. Ha was with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology, 305-701 Daejon, Korea. He is now with Magnachip Semiconductor Inc., 361-725 Chungju, Korea. Digital Object Identifier 10.1109/TMTT.2005.848775

Fig. 1. Schematic of the solenoid inductor.

addition, an embedded via-structure could make it possible to pattern fine signal lines. Since the structure of the inductor is very stable and its surface is planar, this inductor can be multistacked using flip-chip bonding machines. Usually, the flip-chip bonding using a solder or gold stud bump is accomplished below 400 C at which the characteristics of the UPILEX film are stable. Fig. 1 shows the schematic of the solenoid inductor. UPILEX-50S was used to make the solenoid inductors and the via-hole sizes connecting signal lines were 20 30, 25 40, and 25 40 m . To evaluate the properties of solenoid inductors related to their structures, solenoid inductors with various turns, inductor width, and signal spacing were designed and fabricated. II. FABRICATION OF INDUCTORS The fabrication process is shown in Fig. 2 [5]. First of all, a via-hole etching process was done to make metal interconnection [see Fig. 2(a) and (b)]. Since UPILEX-50S does not react to most organic solvents and is resistant to most chemicals, including inorganic acid and alkali solution, O reactive ion etching (RIE) was used to make via-holes. Patterned Cr/Al composite metal layers were used as a mask layer for O plasma etching. The mask was composed of Al/Cr/Al with a layer thicknesses of 1000 /500 /300 , respectively [5]. To etch out 50- m-thick dielectric film by O plasma, it took 100 min with 200-W power. The power was higher, the etch rate was faster. However, high-power plasma could cause distortion of film. After these processes, via-holes were filled with Cu/Ni/Au using

0018-9480/$20.00 © 2005 IEEE

YOOK et al.: HIGH-QUALITY SOLENOID INDUCTOR USING DIELECTRIC FILM FOR MCMs

2231

Fig. 3. Charge-coupled device (CCD) photographs of inductors. (a) Front side. (b) Back side (signal via-hole size = 20 30 m ; ground via-hole size = 60 60 m ; turns (N ) = 10; inductor width (L) = 300 m, film thickness (H ) = 50 m, signal width (w ) = 30 m, spacing (s) = 10 m, and metal thickness (t) = 3 m).

2

2

Fig. 2. Process steps. (a) Via-hole etching. (b) Etched film. (c) Via-hole plating. (d) Signal line patterning. (e) Attachment on a silicon substrate.

(2) electroplating [see Fig. 2(c)] and then the front side signal line was patterned and electroplated by a 3- m-thick Au layer. For the backside signal line, the film was turned over and the same process was done [see Fig. 2(d)]. To measure the RF performance of the solenoid inductors, the inductors were attached on a silicon substrate on which a trench (100- m deep) was formed [see Fig. 2(e)]. Epoxy was used to attach the film on the silicon pad. After coating epoxy on the silicon pad, the film with inductors was attached to the silicon pad using a flip-chip bonding machine [6]. Fig. 3 shows the fabricated solenoid inductor. This inductor has series inductance of 4.75 nH and its maximum factor is 40 at 7 GHz. III. MEASUREMENT RESULT Device -parameters were measured with an HP 8720C network analyzer using Cascade Microtech GSG150 probes and a Picoprobe short-open-load-thru (SOLT) calkit. The factor and values of series inductances and resistances were calculated by [7] (1)

(3) Fig. 4(a) and (b) shows the series inductance and Fig. 4(c) shows the factor for various turns. The inductor of five turns has the highest -factor of 51 at 10 GHz and flat inductance for a wide frequency range. Fig. 4(b) exhibits a good linear relationship between the inductance and number of turns. The calculated slop of the graph is approximately 0.49 nH/turn. It should be noted that this linear relationship is very advantageous in designing accurate inductances, which cannot be obtained from spiral inductors. Series resistances of inductors in Fig. 4(d) show that the resistance is increased by approximately 1 per each five turns. Fig. 5 shows the characteristics of inductors with various inductor widths ( ). The inductor widths increase from 100 to 400 m with 100- m steps. Fig. 5(a) and (b) shows that the inductance is very stable and increases linearly with increasing . The calculated slop of the graph [see Fig. 5(b)] is 0.58 nH/100 m. Comparing two inductors, five and ten turns, we found that the inductance was increased about twice from 2.25 to 4.73 nH [see Fig. 4(b)]. However, the inductance was not increased twice when the inductor width changed (1.71 nH) to (2.87 nH), as shown in from

2232

Fig. 4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

versus frequency. (d) Series resistance versus frequency.

2

30 m , N = 5; 10; 15; 20; 30; L = 300 m, H = 50 m, w = 30 m, = 5; 10; 15; 20; 30). (b) Series inductance versus turn (N ) at 2 GHz. (c) Quality factor

Characteristics of inductors with various turns (signal via-hole size = 20

s = 10 m, and t = 3 m). (a) Series inductance versus frequency (N

Characteristics of inductors with various inductor widths (L) (signal via-hole size = 30 2 50 m , N = 6; L = 100; 200; 300; 400 m, H = 50 m, w = 40 m, s = 15 m, and t = 3 m). (a) Series inductance versus frequency (L = 100; 200; 300; 400 m). (b) Series inductance versus inductor width (L) Fig. 5.

at 5 GHz. (c) Quality factor versus frequency. (d) Series resistance versus frequency.

Fig. 5(b). This result indicates that increasing turns has more of an effect to increase inductance than increasing the cross-

sectional area of the inductor of the same size. Fig. 5(c) shows that the factors of inductors are almost the same and increase

YOOK et al.: HIGH-QUALITY SOLENOID INDUCTOR USING DIELECTRIC FILM FOR MCMs

2233

TABLE II RF PERFORMANCES OF VARIOUS SOLENOID INDUCTORS.

Fig. 7. Equivalent circuit modeling of a five-turn solenoid inductor. (a) Equivalent circuit and S -parameters of the solenoid inductor. (b) Q factor and series inductance of a solenoid inductor.

Fig. 6. Characteristics of inductors with various signal spacing (s) (signal via-hole size = 25 40 m , N = 10; L = 300 m, H = 50 m, w = 35 m, s = 15; 20; 25; 30 m, and t = 3 m). (a) Series inductance versus frequency (s = 15; 20; 25; 30). (b) Quality factor versus frequency. (c) Series resistance versus frequency.

2

linearly with a frequency below 7 GHz. This results from their low series resistance of inductors. The signal width is 40 m. Fig. 5(d) shows that series resistances are very low and increase by 0.3 per each 100- m width. From the measured results of solenoid inductors, we found that these inductors had very linear inductance characteristics with respect to turns and widths, and a high quality factor for a wide frequency range. This solenoid inductor was compared with previous studies of RF performances in Table II. Table II shows that this fabricated inductor has a very good RF performance and narrow minimum signal spacing compared to the other solenoid inductors. Narrower spacing is favorable for a smaller device area, higher peak -factor, and wider bandwidth. Fig. 6 is a measurement result of solenoid inductors when the spacing ( ) was varied from 15 to 30 by 5- m steps. It shows improvement of inductance and factor for narrow spacing inductor. Fig. 6(a) shows that the series inductance is increased slightly as the spacing is reduced, and Fig. 6(c) shows that characteristics of series resistances of four inductors are approximately the same because they have the same signal width and turns. Fig. 6(b) shows that factors are increased as the spacing is reduced. It is due to the fact that the inductor having narrow spacing has lower leakage of magnetic flux than wide spacing inductors. Due to this phenomenon, the inductor having narrow spacing has slightly increased series inductance. In this figure, big variation of factors relating to spacing is shown in high-frequency ranges ( 8 GHz). It indicates that

2234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the leakage of magnetic flux is much larger at high frequency in large spacing inductors. These results verify that a narrower factor and wider spacing is favorable for a higher peak bandwidth. Fig. 7 shows an equivalent-circuit model of the inductor and their parameters. In this model, the combination of and represents the resistance variation with frequency due to the skin effect. The capacitor is the effective total capacitance between metal segments due to the fringing fields and represent the in the dielectric and air regions. parasitic capacitances between signal metal and ground metal [4]. The comparison of -parameters of inductors both modeled and measured is shown in Fig. 7(a). This result was optimized with respect to - and -parameters. The result shows that the -parameters both modeled and measured are in good agreement and that the model is accurate. The extracted lumped-circuit parameters of the inductor were shown in the table of Fig. 7(a). Fig. 7(b) presents a graph comparing measured and modeled factors and series inductance. The series inductance is very constant for a wide frequency range because the is very small. IV. CONCLUSION For MCMs, a stackable 3-D solenoid inductor has been proposed and fabricated using a dielectric film (UPILEX-50S). The fabricated inductor was very stable because it has no post metal and projection, and it is possible to make very narrow signal spacing. Narrower spacing is favorable for smaller device area, higher peak factor, and wider bandwidth. To make fine viaholes, RIE has been used and the via-hole has been filled with copper to reduce series resistance. From the measured result, we found that these inductors had very linear inductance characteristics with respect to turns and widths, and a high quality factor for a wide frequency range. We think this inductor can be used for various applications for stackable and attachable RF circuits due to its flexible structure. REFERENCES [1] J. Kim, J.-O. Plouchart, N. Zamdmer, N. Fong, L. Liang-Hung, Y. Tan, K. A. Jenkins, M. Sherony, R. Groves, M. Kumar, and A. Ray, “Highperformance three-dimensional on-chip inductors in SOI CMOS technology for monolithic RF circuit applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 2003, pp. A77–A80. [2] L. Guo, M. Yu, Z. Chen, H. He, and Y. Zhang, “High multilayer spiral inductor on silicon chip for 5–6 GHz,” IEEE Electron Device Lett., vol. 23, no. 8, pp. 470–472, Aug. 2002. [3] Y. Jun-Bo, K. Bon-Kee, H. Chul-Hi, Y. Euisik, and K. Choong-Ki, “Surface micromachined solenoid on-Si and on-glass inductors for RF applications,” IEEE Electron Device Lett., vol. 20, no. 9, pp. 487–489, Sep. 1999. [4] K. Yong-Jun and M. G. Allen, “Surface micromachined solenoid inductors for high frequency applications,” IEEE Trans. Compon., Pack., Manuf. Technol. A, vol. 21, no. 1, pp. 26–33, Jan. 1998. [5] J. Yook, J.-H. Ko, M.-L. Ha, and Y.-S. Kwon, “High quality solenoid inductor for dielectric multichip module (D-MCM),” in Proc. 34th Eur. Microwave Conf., Oct. 2004, pp. 1365–1368. [6] J.-H. Ko, S.-S. Ho, and Y.-S. Kwon, “Microshield transmission line and spiral inductor integrated on polymer thick film,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2003, pp. 2281–2284.

Q

[7] J. Gil and H. Shin, “A simple wide-band on-chip inductor model for silicon-based RF ICs,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 2023–2028, Sep. 2003. [8] L. Hong, B. Pillans, and L. Jeong-Bong, “Micromachined on-chip highaspect ratio air core solenoid inductor for multiGHz applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2004, pp. 881–884. [9] K. Itoi, M. Sato, H. Abe, H. Ito, H. Sugawara, K. Okada, K. Masu, and T. Ito, “On-chip high- solenoid inductors embedded in WL-CSP,” in Proc. 6th IEEE Components, Packaging, Manufacturing Technology Conf., Jun. 2004, pp. 105–108.

Q

Jong-Min Yook (S’04) was born in KumsanChungnam, Korea, in 1977. He received the B.S. degree in radio science and engineering from Chungnam National University, Daejon, Korea, in 2003, and is currently working toward the Ph.D. degree at the Korea advanced institute science and technology (KAIST), Daejon, Korea.

Ju-Hyun Ko was born in Seoul, Korea, in 1974. He received the B.S. degree in electrical engineering from Kyoungpook National University, Daegu, Korea, in 1997, and the M.S. and Ph.D. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 1999 and 2003, respectively. In 2003, he joined the Samsung Electronics Company Ltd., Yongin City, Korea, as a Senior Engineer. Since then, he has been involved with RF power-amplifier modules with LDMOS for global system for mobile communications (GSM) and EDGE application.

Man-Lyun Ha was born in Gosung-Kyungnam, Korea, in 1972. He received the B.S. degree from Kyungpook National University, Daegu, Korea, in 1998 and the M.S. and Ph.D. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 2001 and 2005, respectively. He is currently the Senior Researcher with Magnachip Semiconductor Inc., Chungju, Korea. His interest is the application of the oxidized porous silicon (OPS) to the RFIC and RF MCM package. In addition, he has been involved with research concerning millimeter-wave antennas using microelectromechanical systems (MEMS) technology.

Young-Se Kwon (M’76) received the B.S. degree from Seoul National University, Seoul, Korea, in 1968, the M.S. degree from Ohio University, Athens, in 1972, and the Ph.D. degree from the University of California at Berkeley, in 1977, all in electrical engineering. From 1977 to 1979, he was a Research Associate with the Department of Electrical Engineering, Duke University, Durham, NC. In 1979, he joined the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, initially as an Assistant Professor. He is currently a Full Professor with KAIST. His main research has focused on the development of AlGaAs/GaAs-based opto-electronic integrated circuits (OEICs). His recent interest includes the development of monolithic microwave integrated circuits (MMICs) using standard GaAs MESFET and floated electron channel field-effect transistor (FECFET) technology, optical devices, and opto-electrical packaging technology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2235

Microwave System for the Detection and Localization of Mobile Phones in Large Buildings Premysl Hudec, Milan Polivka, Member, IEEE, and Pavel Pechac, Senior Member, IEEE

Abstract—A novel monitoring system for the detection and localization of mobile (cellular) phones in large buildings based on a matrix of microwave sensors has been designed and practically employed. The system enables detection of all active mobile services and ensures very high immunity against all other radio signals. The designed antennas, system structure, and signal processing provide high probability of correct localization of the transmitting mobile phone. Thus far, microwave detection systems with several thousands of sensors have been installed and successfully operated in several prisons in the Czech Republic. The system has been found to be an efficient tool for improving security in highly guarded areas.

TABLE I MOBILE SERVICES DETECTED BY THE DESIGNED MS. *) SERVICE BEING PREPARED; SENSOR BEING TESTED

Index Terms—Localization, microwave sensor (MS), mobile phone (MP), signal detection.

operation should be to detect illegally transmitting MPs and to assist in finding and deactivating them. This can be aided by as precise as possible localization of the illegal MPs. The required DLS must be able to detect very short MP transmissions, e.g., when switching on the MP or sending a short message service (SMS). The DLS should be able to detect active MPs even under intentionally worsened conditions—MP shaded by a person’s head, horizontal antenna polarization, lower output power, etc., MSs must not be installed inside cells. Basic information on the designed detection and localization sensor and system were reported in [1]. For the design and construction of an MS of this kind, two basic technical solutions can be used. The first is based on the spectrum analyzer concept [2]. This type of the MS has a wide dynamic range and it is able to exactly determine the type of the detected mobile service (according to a precisely measured received frequency). It also rejects influences of all other radio services very efficiently. However, for the detection of MPs, it also has several substantial disadvantages. Scanning monitored frequency bands is a successive process and, when using small frequency steps, it cannot, in some cases, be fast enough. When scanning a definite frequency band, the sensor can miss a short MP transmission (e.g., a short SMS) in another frequency band. Beside that, the GSM and DCS services employ a frequency-hopping technique. The radio link frequency changes with the definite frequency jumps. In this case, the spectrum analyzer can lose captured MP power and has to start to search for it again. The second technical solution applicable for MP detection is based on an employment of wide-band RF detectors [3]. The wide-band RF detector is a transducer that converts input RF power into an output dc voltage (if the RF power is time constant) or low-frequency voltage (if the RF power is time dependent). It is able to detect immediately any incident RF power at any RF in its active frequency band. For MP monitoring and detection, it also exhibits some disadvantages. The RF wide-band detector is unable to measure the received frequency and, therefore, to determine the type of the received mobile service. The detector is unable to differentiate

I. INTRODUCTION

W

ITH THE great boom of mobile-phone (MP) services, problems have arisen from the fact that, in certain areas and buildings, the usage of MPs is undesired or even illegal. Therefore, it is necessary to find tools that are able to detect and localize active MPs, i.e., to determine the presence of an active MP in the vicinity of a special microwave sensor (MS). An active MP generally acts as a radio transmitter that transmits the RF power with a definite frequency, definite modulation, and definite time frames. These features can be used for MP detection, identification, and localization. Specific requirements for design of an MP detection and localization system (DLS) were presented by the local Administration of Prisons, Czech Republic. Their activity was accelerated by escape attempts organized with the help of many illegally used MPs. II. TECHNICAL REQUIREMENTS, BASIC CONCEPTS

The DLS must detect all mobile services active in the given region (see Table I). For the system, it is very important to detect only MPs and not to react to any other radio signals. The final objective of the DLS Manuscript received October 3, 2004; revised March 8, 2005. This work was supported in part by the Ministry of Education, Youth, and Sports of the Czech Republic under the Research in the Area of the Prospective Information and Navigation Technologies Research Program MSM 6840770014 and the Research Methods and Systems for Measurement of Physical Quantities and Measured Data Processing Research Program MSM 6840770015, and by the Grant Agency of the Czech Republic under Multiband Planar Antennas with Compact-Shaped Radiators Grant 102/04/P131. The authors are with the Faculty of Electrical Engineering, Department of Electromagnetic Field, Czech Technical University Prague, 166 27 Prague 6, Czech Republic (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848750

0018-9480/$20.00 © 2005 IEEE

2236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Block diagram of the UMTS channel.

Fig. 1.

Block diagram of the realized MS.

the transmission of an MP from any other radio service with a comparable RF power. Beside that, their noise floor can be too high to detect specific mobile services. III. MS CIRCUITS The designed MS is a special multiband RF receiver. Its structure combines the advantages of the spectrum analyzer concept (distinction of detected services, immunity against other radio services) with the advantages of wide-band RF detectors (parallel reception of all frequencies, immediate response) (see Fig. 1). The sensor consists of three RF channels (two active, the third one—the Universal Mobile Telecommunication System (UMTS) channel, is being tested) that cover all required frequency bands. The post-detection signal analysis is applied in order to differentiate individual mobile services and to suppress all other nearby radio signals. RF Channel 1—detects only the Nordic Mobile Telephony (NMT) service, its antenna and filter operate in the 450–460-MHz frequency band, all other frequencies from 0 to 3 GHz are suppressed. The service identification is enhanced by a time-domain signal analysis focused on the dc component of the RF receiver output signal. RF Channel 2—monitors the global system for mobile communications (GSM), digital cellular system (DCS), and digital European cordless telecommunications (DECT) services, its dual-band antenna and filter operate in 880–925- and 1710–1900-MHz frequency bands. These mobile services are detected and identified by means of a complex time-domain analysis. RF Channel 3—intended to monitor the UMTS 1920–1980-MHz uplink. It is based on a very sensitive RF receiver and frequency-domain signal analysis. Output power transmitted by a UMTS MP will be typically very low (from 20 to 40 dBm). Therefore, the UMTS receiver must be implemented as a “spectrum analyzer” (see Fig. 2). The input low-noise amplifier (LNA) together with the IF filter typically ensure 104-dBm noise floor. This channel is controlled by its own microcomputer. With the help of the included D/A converter and voltage-controlled oscillator (VCO), the receiver scans the required 1920–1980-MHz frequency band and searches for nearby active MPs. Received signals are converted to IF, amplified, and logarithmically detected. DC voltage

Fig. 3. Sensor board with 455- and 900/1800-MHz quarter-wavelength antennas (above the main board) and RF receiver and microcomputer boards (below the board).

corresponding to measured RF power is processed by an A/D converter, and further analyzed. The UMTS channel is designed as a separate screened box that will be connected to the main board of all existing MSs after activating the UMTS service. Each MS consists of the antenna board, RF-receiver board, and microcomputer board (see Fig. 3). The included microcomputer performs A/D conversion, channel switching, basic signal analysis, and range/threshold setting and ensures connection of the sensor to the RS-485 bus. Each sensor board is mounted in an opened metallic housing and installed on corridor walls outside monitored cells. IV. MS ANTENNAS The MS antennas were designed with respect to specific properties of wave propagation in the given indoor environment (especially multiple reflections and standing waves). Three separate antennas cover all four frequency bands (i.e., NMT, GSM, DCS, and UMTS). They should have as low as possible cross-polarization ratio, suitable (directive) radiating patterns, as high as possible protection against mechanical attacks, and low sensitivity to detuning caused by the presence of a wall as a dielectric superstrate layer in an aperture of the housing. Designed MS antennas use a narrow patch-like structure with a relatively high (15 mm) air substrate [3]–[5]. This increases impedance bandwidth, and long vertical shorting pins substantially increase the antennas’ ability to receive cross-polarized signals (see Fig. 4). The NMT antenna operates in the 455-MHz band, and the dual-band GSM/DCS antenna in 900/1800-MHz bands. Their performance is similar to that of F-type antennas. Antenna feeders are formed by coaxial probes; shorting walls were reduced to two shorting pins. The dual-band GSM/DCS antennas employs a single common feeding point. The final UMTS antenna type has not been chosen yet.

HUDEC et al.: MICROWAVE SYSTEM FOR THE DETECTION AND LOCALIZATION OF MPs IN LARGE BUILDINGS

2237

Fig. 4. Measured antenna radiation patterns of dual-band 900/1800-MHz patch antenna in housing: (a) and (b) without and (c) and (d) with 100-mm brick cover.

All antennas were designed, analyzed, and measured, taking into account influences of nearby walls. Radiators are buried in the housing; the chosen distance between them and any wall contributes to a lower sensitivity of antenna parameters with respect to the wall’s properties. The measured reflection coefficient of the GSM/DCS antenna without and with a 100-mm brick dielectric layer in its aperture was presented in [1]. Fig. 4(a)–(d) shows measured radiation diagrams of the GSM/DCS antenna, again, without and with the same 100-mm-thick dielectric wall. It can be seen that, in both cases, the antenna has suitable radiating patterns, especially in the most important 180 –240 range ( -plane). This angle range covers the best part of each monitored cell. In the majority of angle ranges, cross-polar components are close enough to

co-polar components, especially in case when dielectric brick cover is used [see Fig. 4(c)]. The MP detection is to a high degree independent of the MP position in the monitored cell.

V. PRACTICAL DLS IMPLEMENTATION A typical structure of the DLS installed in a large prison building can be seen in Fig. 5. Each monitored room (cell) is covered by one MS (see Fig. 6). Approximately 20 sensors are connected to a segment unit (SU), which ensures remote powering of all sensors, basic data processing, and galvanic separation of the segment RS-485 bus and the main RS-485 bus, which connects all SUs to the master computer.

2238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. Scheme diagram of the DLS. System consists of MS matrix, SUs, and master computer.

Fig. 7. Outline of testing scenario—floorplan with periodical structure of cells with a typical probabilities of correct MP localization (in percentage).

in the power balance. There are several phenomena influencing the propagation loss, which are discussed below. A. Wall Attenuation of the I-Link Quite high values can be expected since heavy walls are usually used for cells. In [6], a floor loss factor of 6.9 dB is used in the MultiWall propagation model at 900 MHz. Different values of wall attenuation can be found in the literature for different wall types and frequency bands [7].

Fig. 6.

Part of installed MS matrix.

VI. MP LOCALIZATION As has already been mentioned, localization of any active illegal MP helps in finding and deactivating it, which is the main task of the DLS. In the case of an MP transmission, at the same instant, the system reads values of the received RF powers at all activated sensors. The most probable position of the transmitting MP can be defined as a cell with the highest RF power detected. However, due to the behavior of radio waves propagating in such a complicated indoor structure, in some cases, this can be wrong. A simple radio-wave propagation study and extensive measurements were accomplished in order to assess the extent of this problem. A floor plan with a periodical structure of cells was used as the testing scenario. Fig. 7 shows one typical cell 4.5 3.0 m) with two identical neighboring (dimensions cells. All are equipped with antennas ( ) installed on a corridor wall above each entrance. A source of radiation—the MP to be detected—is marked as . depicts the RF power measured by the “correct” sensor . represents RF power measured by a sensor in a neighboring cell . If the RF power measured in the cell with an active MP is lower than the RF power measured in any other cell, then the process of MP localization is wrong. It means that the false localization in the testing case occurs when dB). The same radiated power and receiver sensitivity can be considered for both the wanted (C link) and unwanted (I link) paths so that the ratio is controlled by the signal propagation loss

B. Multipath Propagation Due to Reflections and Scattering on Walls, Furniture, etc. The phenomena often qualified as fast fading can be modeled by classical ray tracing or a ray-launching technique [7] causing deep fades in approximately a half-wavelength separation distance. In reality, the fades are not so deep thanks to a much more complicated environment than can be comprehended through the model. C. Mobile Antenna Directivity The sensor antenna can be treated as hemispherical in our case (worst case, influence of walls) so that the same gain is considered for both the C-link and I-link. The key role is played by the transmitting antenna of the MP. Based on the orientation of the MP antenna and the user’s body, antenna type, and losses in the human body, the total gain may vary for different directions quite significantly [9]. D. Intentional Shadowing of the C-Link The intentional shadowing of the C-link an be done by the MP user to avoid detection. The used propagation model is based on a semiempirical COST231 MultiWall model [6] with additional loss factors to consider the above-mentioned phenomena influencing the total loss. The (in decibels) can be expressed as (1) where the free-space loss (FSL) is given for a distance between the phone and sensor antennas, is the wall attenuation, is a loss factor introduced due to multipath propagation, and

HUDEC et al.: MICROWAVE SYSTEM FOR THE DETECTION AND LOCALIZATION OF MPs IN LARGE BUILDINGS

2239

it helped to reduce illegal usage of MPs in monitored prisons to a minimum. It is applicable in any other similar large buildings. ACKNOWLEDGMENT This study was conducted in part at the Department of Electromagnetic Field, Czech Technical University, Prague, Czech Republic. REFERENCES Fig. 8. Floor plan of a cell showing three levels of false localization risk for intentional shadowing loss factor dLa equals to: (a) 0, (b) 2, and (c) 4 dB.

represents a loss factor caused by the mobile antenna directivity and intentional shadowing. Reference values for (1) were chosen to perform the analysis. A reference frequency of 900 MHz (GSM900) was selected from the MS’s wide frequency range (450–1980 MHz) as a typical value. A loss factor of 10 dB was taken as a reference . As mentioned above, in general, it is quite complicated for to estimate the influence of the multipath propagation. In [8], the average enhancement or attenuation of 3 dB at 900 MHz was reported. If the worst case is considered ( attenuated, enhanced), a reference value of 6 dB can be assigned to . The factor, including gain of the MP antenna and losses in its user’s body, was set to 4 dB as a reference. It can then be is equal to zero in our testing seen that the sum case. The last factor, which has not yet been involved in , is the loss caused by intentional shadowing. The schematic results of the study within a single cell floor plan are demonstrated in Fig. 8; the intentional shadowing loss factors equal to 0, 2, and 4 dB are used as a parameter. The white areas represent locations where dB—low risk of false localization; in light gray areas, is in (0 and 5 dB) intervals—moderate risk of false localization, and dB in dark gray areas with high risk of false localization. Theoretical results of the above-stated study were compared with extensive practical measurements of a correct localization probability (see Fig. 7). At each shown point of the given cell, 32 calls (in the GSM band) were shortly activated. All of them with a different MP position, half of the measurements with the MP shaded by a head. DLS reactions were recorded. The presented numbers show a percentage of correct localization of the activated MP. Fig. 7 shows a good agreement between the study results and DLS behavior. False localization exists and cannot be completely avoided. The highest probability of incorrect localization is in the far corners; only very rarely is the error greater than one cell to the right- or left-hand side. The localization error also appears one floor up or down; this corresponds well with the MS antenna radiation pattern (see Fig. 4). From a practical point-of-view, with the DLS optimally set, the probability of correct MP localization is approximately 90%. VII. CONCLUSION The described microwave system has been developed according to the requirements of the Administration of Prisons. It has a novel circuit structure and employs complex software signal processing. During more than two years long operation,

[1] P. Hudec and M. Polívka, “Microwave system for the detection and localization of mobile phones in large high-guarded buildings,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, 2004. [2] A. E. Bailey, Microwave Measurement. London, U.K.: Peregrinus, 1985, ch. 5–13. [3] P. Hudec and M. Polívka, “Microwave sensor for the detection of mobile phones,” in Proc. Radioelektronika, Brno, Czech Republic, May 2003, pp. 217–220. [4] M. Polívka, P. Hudec, and M. Mazánek, “Dual-band quarter wavelength planar antenna for signal detection in GSM 900/DCS 1800 bands,” in Proc. Comite, Pardubice, Czech Republic, Sep. 2003, pp. 69–71. [5] M. Polívka and P. Hudec, “Study of trial band quarter wavelength planar antenna for signal detection in NMT 450/GSM 900/DCS 1800 bands,” in Proc. Radioelektronika, Bratislava, Slovakia, May 2002, pp. 294–297. [6] J. Lähteenmäki, “Indoor propagation models,” COST, Brussels, Belgium, COST231 Final Rep., 1996. [7] J. D. Parsons, The Mobile Radio Propagation Channel, 2nd ed. New York: Wiley, 2000. [8] P. Pechac and M. Klepal, “Empirical models for indoor propagation in CTU Prague buildings,” Radioengineering, vol. 9, no. 1, pp. 3–36, Apr. 2000. [9] K. Siwiak, Radiowave Propagation and Antennas for Personal Communications. London, U.K.: Artech House, 1998.

Premysl Hudec received the M.S. and Ph.D. degrees in radio electronics from the Czech Technical University Prague, Prague, Czech Republic, in 1982 and 1995, respectively. In 1982, he joined the Department of Electromagnetic Field, Czech Technical University Prague. His research interests are focused on microwave measurement and microwave systems.

Milan Polivka (M’04) received the M.S. and and Ph.D. degrees in radio electronics from the Czech Technical University Prague, Prague, Czech Republic, in 1996 and 2003, respectively. In 1996, he joined the Department of Electromagnetic Field, Technical University of Prague, as an Assistant. His research interests are in the field of antenna and radiating systems.

Pavel Pechac (SM’03) received the M.S. and Ph.D. degrees in radio electronics from the Czech Technical University Prague, Prague, Czech Republic, in 1993 and 1999, respectively. He is currently an Associate Professor with the Department of Electromagnetic Field, Czech Technical University Prague. His research interests are in the field of radio-wave propagation and wireless systems.

2240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Phase-Only Predistortion for LINC Amplifiers With Chireix-Outphasing Combiners Ahmed Birafane, Member, IEEE, and Ammar B. Kouki, Senior Member, IEEE

Abstract—Nonlinearities in linear amplification with nonlinear components (LINC) amplifiers using Chireix-outphasing combiners are studied and their sources are identified. A generalized analytical approach for finding phase-only predistortion functions to linearize these amplifiers is presented. Two distinct analytical solutions are found and are applied for phase-only linearization. The first solution consists of a static constant phase predistortion, which is introduced in one of the two RF amplifier branches. This constant phase is determined analytically as function of the value of the reactance of the stub used in the Chireix combiner. The second solution is a variable phase-distortion function, which is derived in explicit form. The two predistortion functions preserve constant envelope operation of the amplifiers. The impact of the two predistortion functions on the combiner efficiency is also investigated. It is found, through simulation with a code-division multiple-access signal and an experimental measurement with a 16 quadrature-amplifier-modulation signal that the two predistortion functions perfectly linearize the amplifier. It also shown analytically that, with perfect linearity, the efficiency of Chireix-outphasing amplifier is reduced and is, at best, equal to that of the LINC amplifier with a resistive combiner. Index Terms—Distortion, linear amplification with nonlinear components (LINC), microwave transmitter, phase distortion, power amplifiers, predistortion.

I. INTRODUCTION

B

OTH efficiency and linearity are key factors in the performance of power amplifiers in modern wireless communication systems. There is a tradeoff between these two properties, and it is very difficult to satisfy both of them simultaneously. The linear amplification with nonlinear components (LINC) was proposed as one solution that may offer high efficiency with good linearity [1], [2]. This technique is a method of vector summing two constant amplitude phase-modulated signals, amplified by saturated power amplifiers, using a power combiner to achieve power amplification. When a resistive, i.e., with matched and isolated ports, combiner is used, the LINC amplifier presents good linearity [3], but degrades the efficiency, particularly, for signals with high peak-to-average ratios. Any distortion observed at the output signal is such a case is generally caused by the imbalance between the two RF amplifier branches. quadrature-amplifier-modulation The sensitivity of (QAM) signals, for example, to this imbalance constitutes a Manuscript received October 3, 2004; revised January 14, 2005. This work was supported in part by the Natural Science and Engineering Research Council of Canada. The authors are with the Communications and Microelectronics Laboratory, Department of Electrical Engineering, Ecole de Technologie Supérieure, Montreal, QC, Canada H3K 1K3 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848748

serious limitation of the LINC technique [4]. Several solutions have, therefore, been proposed to correct this type of distortion. In [5], an analog method for correcting the phase error was proposed and, in [6] and [7], a similar technique was proposed for correcting the gain and phase along the amplifier branches. A digital approach was used in [8] and [9] where down conversion and analog-to-digital conversion of the output signal were performed to adaptively control a complex gain adjuster in one of the two branches. In [10], an open-loop technique for correcting the imbalances was presented with only one dc adjustment. A method based on a predistortion block was proposed by [11] to control the component separator in the baseband signal to correct the phase and gain imbalance between the two branches. This predistortion block is controlled by the output signal. However, as stated, resistive combining, while leading to good linearity, does degrade the efficiency. The Chireix-outtransmission-line sections phasing combiner, made of with shunt reactances, is a lossless combining structure that offers substantially higher combining efficiencies, but at the cost of degraded linearity [3]. The degradation in linearity observed on the output signal is attributed to the use of the lossless, thus unmatched, combiner. In [12], a theoretical model of the Chireix-outphasing combiner has been developed and the analytical expressions of the efficiency and the output signal have been determined. All studies cited in the literature on the Chireix-outphasing are based on the model of [12]. However, this model neither predicts, nor accounts for, the level of distortion observed when the Chireix combiner is used. Consequently, distortion correction methods that attribute the distortion to gain imbalance in between the amplification branches will not succeed in linearizing a Chireix-outphasing amplifier. Indeed, in [13], a new analytical approach has been used to derive analytical expressions for the output signals and the Chireix combiner’s efficiency. This approach takes into account the reflection effect of the unmatched combiner and shows that distortion occurs, even with perfectly balanced branches, due to the unmatched Chireix-outphasing combiner. An experimental validation of the expression of the Chireix combiner’s efficiency developed in [13] was proposed in [14]. In this paper, a rigorous analytical approach is used to derive two new phase-only distortion-correction methods for the LINC amplifier with a Chireix-outphasing combiner. The first method is the constant phase-imbalance predistortion and consists of introducing a constant phase shift in one of the two RF branches of the LINC. We derive analytically the value of the required phase shift as of function of the reactance of the stub used in the Chireix combiner. This constant phase imbalance

0018-9480/$20.00 © 2005 IEEE

BIRAFANE AND KOUKI: PHASE-ONLY PREDISTORTION FOR LINC AMPLIFIERS WITH CHIREIX-OUTPHASING COMBINERS

2241

Fig. 1. LINC amplifier structure.

gives a perfect linearity of the output signal, but degrades the efficiency. We show analytically that the efficiency of the Chireix outphasing with a constant phase imbalance is equal or less to that of the hybrid combiner. The variable phase predistortion is the second method that we propose in this study. Assuming perfect balance between both amplifier branches, this method uses the output signal expressions derived in [13] to calculate the proper phase-predistortion function to linearize the output. In addition, the impact of this predistortion on the efficiency of the Chireix-outphasing combiner is investigated. We show that, for perfect linearity, the efficiency of the outphasing combiner reduces to that of the resistive combiner. The two proposed methods are validated first by means of a number of simulations with a code-division multiple-access (CDMA) signal using the Advanced Design System (ADS) simulator.1 An experimental validation of the Chireix combiner with two different values of the stub is then realized. Two Agilent RF sources with a 16-QAM signal and ADS simulator are used. II. ANALYSIS Consider a complex modulate signal with amplitude and phase modulation. This signal carries the undistorted information and is to be amplified using a LINC power amplifier. The LINC technique converts the amplitude modulation of this signal into two phase-modulated constant envelope signals and , which are amplified by nonlinear amplifiers and summed at the output to reproduce the original signal amplified. The RF power amplifiers have identical gain and operate at saturation yielding maximum amplifier efficiency. Fig. 1 illustrates the LINC amplifier structure and (1)–(4) give the various relationships between the signals (1) (2) (3) (4) is the maximum of , is the phase of the where baseband signal, and is the additional phase-modulation . When the amplified angle related to the amplitude of signal and signals are summed using a matched combiner, the resulting output signal is perfectly linear, provided that the two branches are perfectly balanced. In this case, the instantaneous combining efficiency follows a cosine squared variation [15]. It is this variation that, when integrated as a function of over the probability density function of the modulated signal, leads to low overall average efficiency for high peak-to-average 1ADS

2002C, Agilent Technol., Palo Alto, CA, 2002.

Fig. 2. Chireix-outphasing amplifier topology taking into account load impedance mismatches.

signals. On the other hand, when a Chireix combiner is used (see Fig. 2), the instantaneous efficiency curve is modified such that the overall average efficiency is increased. However, this comes at a cost of increased nonlinearity [13], [15], even for perfectly balanced branches. In the remainder of this paper, we assume that both branches of the output combiner are balanced, i.e., exact electrical length characteristics. We further assume that both amplifiers are identical having a real voltage gain of and an output impedance of . To simplify the analysis, without loss of generality, we and as follows: define the voltages (5) (6) Here, we suppressed the explicit dependence of on time and removed the phase of the original signal since it does not affect the analysis. The mismatch and nonisolated nature of the combiner transforms the input phase into an output phase given by [13] (7) where and , with representing the characteristic impedance of the quarter-wavelength transmission line, representing the magnitude of the susceptance representing the of the shunt elements in the combiner, and impedance of the output load. Thereafter, the output signal is defined by (8) where is the - and -dependent reflection coefficient seen at the upper input of the combiner. 1) Linearity Condition: As shown by (8), and as detailed in [13], the output of a Chireix-outphasing combiner is nonlinear. The linearity condition can be easily identified by summing (5) and (6). Clearly, to reproduce a signal that is a linear amplification, i.e., multiplication by constant gain, the output term , where is the overall constant gain. must be Therefore, the combiner with any predistortion must exhibit a behavior and will, consequently, have efficiency. Using this definition, the linearity of Chireix outphasing can be be achieved by requiring that the term . Without predistortion, this equation does not equal to have a solution. Therefore, we need to search for predistortion

2242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

A. Constant Phase-Imbalance Predistortion can be quite comThe solution to plex if considered in general. However, one relatively straightand forward solution to equation is the choice of , which corresponds introducing a constant phase imbalance between both branches. We will limit our study to this particular solution and we seek the value of that would produce good linearity at output. Using these expressions, (5) and (6) become Fig. 3.

Topology of a Chireix-outphasing system with predistortion functions.

(12) functions that help find a solution to this equation and that would be implemented as shown in Fig. 3. 2) Predistortion Formulation: We seek a predistortion function that maintains constant envelope operation for the power amplifiers and the overall LINC architecture. Therefore, only the phase predistortion is permissible. In its most general form, the sought predistortion would act upon both branches of the LINC amplifier and would be represented by two functions and , as shown in Fig. 3. In this manner, the input phases and are transformed to new phases and , respectively. These new phases would produce the required phases at the combiner’s inputs such that the output signal is distortion free. To find the predistortion functions, let us first note that

(13) Consider now the sum of

and

, which is defined as (14)

represents a constant phaseAs mentioned, the term rotation term that can be compensated and does not affect the analysis. From (14), it is clear that the relative phase imbalance , while can be split into with one branch phase advanced by . Using this, we can the second branch is phase lagged by and by replace the original input voltage expression of two new expressions, i.e., and , given by (15)

(9) (10)

and that the term is not affected by the Chireix-outphasing system and is, hence, factored out. Next, the output phases associated with the let us denote by input predistorted phases (see Fig. 3). By using (7) and (8), the output signal can then be written as

(16) These new expressions offer the symmetry required to allow us to reuse [13, eqs. (5)–(8)] by replacing and by and , respectively. As a result, the relation between and defined by (7) becomes (17)

(11) introduces a phase shift Again, the term of , which is added to the phase of the original signal. As this term changes with , it presents a phase distortion of the signal. On the other hand, the term corresponds to the amplitude of output signal and would account for amplitude distortion. Therefore, and that we inany phase-distortion functions troduce to correct the amplitude distortion will necessarily introduce a phase distortion in the output signal. To avoid this, to zero or a constant we have to constrain nonzero value, which would correspond to an overall phase rotation that can be easily compensated. In the following, , we study both options starting with with being a nonzero constant phase. We call this option the constant phase-imbalance option. The second option, i.e., , is dubbed the variable phase-distortion option and is studied in second place.

The output signal

defined by (8) is also changed to

(18) and the new expression for the instantaneous combining efficiency, which gives the ratio of the power obtained after combining to the sum of powers available at each branch, becomes

(19)

BIRAFANE AND KOUKI: PHASE-ONLY PREDISTORTION FOR LINC AMPLIFIERS WITH CHIREIX-OUTPHASING COMBINERS

This expression can be written in terms of the LINC decompo, by using the following explicit resition angle , i.e., and , derived using (17) and lationship between (18):

is equal to , where , the stub’s Given that , which gives the electric length, it follows that closed-form expression for the required phase shift to attain linearity. For this value of , the expression for becomes (27)

(20)

with

and

. The linearity condition stated previously can also be formulated in terms of the efficiency by requiring that it verify the following equation [16]: (21)

2243

A study of this function shows that its maximum value is 1, . Conwhich is obtained when satisfies , the linearization achieved with sequently, since leads to a degradation in efficiency and the best efficiency that can be achieved for a linearized Chireix-outphasing amplifier is that of classical LINC with a resistive combiner. B. Variable Phase Predistortion

where is a constant. Since (19) must equal (21) and since the latter is a function of , while the former is a function of , a relation between and must be found. To this end, we as a function of , , , and . This seek to express relationship is given by (A-8) and the steps for its derivation are detailed in Appendix A. Equating (19) and (21) leads to the following polynomial equation, as detailed in Appendix A:

As stated, the second solution of phase predistortion correor sponds to the case [16]. In this case, we seek a variable phase-distortion function to linearize the amplifier. Again, the linearity condition can be explicitly stated as

(22)

is a constant term accounting for the impact of the where given and values on the gain. The problem is, therefore, to such that when the phases of find a predistortion function instead of , the output the input signals and are voltage satisfies (28). Following the notation shown in Fig. 3, the linearity condition under phase-only predistortion means that (8) and (28) must be identical, which leads to

where ( to ) are the polynomial coefficients for given , , , and values. If (22) is to hold for all values, then the individual polynomial coefficients must all be equal to zero as follows:

(28)

(23) Equation (23) represents a system of seven homogeneous nonlinear equations in , , , and , which must be solved simul, taneously. By using the first equation corresponding to an expression of as an explicit function of , , and can be determined as follows based on (A-17):

(24)

The remaining six equations, i.e., for by [see (A-18)]

are given

(25) where

are given by (A-19). To satisfy (25), either must all be simultaneously equal to 0, which leads to a complex problem whose solution is not guaranteed to exist, or the common multiplicative term must be equal to 0. This latter option can easily be studied by solving (26)

(29) This equation can be rewritten as (30) for convewhere we have introduced the function must have values nience. Note that, by construction, varies from 0 to 90 , (29) from 0 to 1. Given that varies from 0 to . Therefore, must satisfy . Since that the right-hand side of (29) is a decreasing function in whose to 0, the left-hand side must have the values are from same dependence. This is required so that the input signal’s dynamic range is maintained at the output. At the lower bound, must be 0 since it can be shown that cannot be null, which means that is 90 . For the upper bound, we take the first value from 90 that yields the maxand call it . The function imum of is then defined in the interval with being given by (31)

2244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

where is the inverse function of . Using the explicit ex[13], (30) can be written in the following pression for detailed form:

(32) and using the explicit form of (32), one Letting can shown that (31) has two solutions given by (see Appendix B)

Fig. 4. Simulation circuit for validating the voltage equations (17)–(19), (21), (26), and (27) at a frequency of 2 GHz.

III. RESULTS (33) are given by (B-7) and (B-8). It where , , , , and should be noted that the solutions in (33) exist for all values . However, only solutions that guarantee that of spans the entire range will lead to an accurate predistorrange that is not attainable tion. Otherwise, the part of the will correspond to a truncated signal. In such a case, a complete phase-only predistortion function does not exist. When the solutions in (33) exist, only one corresponds to the actual inverse of (32). We consider the only solution of (33), which maps the range from 0 to 90 to the range from to 90 . This solution is chosen based on a graphical analysis. At this stage, we know that to have at the output, we must have at the input, as given by (33). On the other hand, we also know from (7) that is related to the input angle by phase

(34)

It is, therefore, this function that gives the phase-only predistortion and that must be implemented. While this predistortion will linearize the output signal, its impact on efficiency must also be investigated. Using this predistortion function and the Chireix-combiner efficiency expression [13], we can write

(35) On the other hand, to meet the linearity requirement as stated by (28), we must also have (36) , mulThis equation is of the form of a constant , which is the instantaneous efficiency of the tiplying LINC resistive combiner [15]. Clearly, to improve efficiency, must be . However, we show (see the term Appendix C) that this terms is indeed always . Hence, the efficiency of the Chireix-outphasing LINC amplifier with phaseonly predistortion is less than or equal to that the classical LINC amplifier with a matched combiner.

To validate the above results for both constant phase imbalance and variable phase predistortion, two validation approaches have been used. First, we validate the various equations that we derived through circuit simulation using a commercial simulator whose accuracy in analyzing microwave circuit is well established (ADS). Second, we use an experimental setup where the predistortion functions derived are tested with various Chireix-outphasing combiners. A. Simulation Results Fig. 4 shows the circuit setup used to validate our analysis and the equations that we derived for the constant phase predistortion. The analysis frequency is 2 GHz and the line lengths are normalized to this frequency. To simulate a time-varying envelope signal, the angle is swept through a “parameter-sweep” control over a range from 0 to 90 in 1 steps and predistorted by offsetting one of the paths by relative to the other. It should be noted that this type of simulation is carried out using analog simulation. However, to simulate a complex modulated signal, such as a CDMA signal, or to test the variable phase predistortion with a swept value, we use the mixed-signal portion of the ADS simulator (Ptolemy) to implement the LINC signal decomposition and phase-distortion functions in the baseband, as shown in Fig. 2. For these latter cases, we use circuit envelope simulation. Since the simulator allows the probing of the total voltage waveforms at various points of the circuit, we use these probed values to compare to those we predict using our equais sampled at the load. tions. Here, the output voltage First, we consider the case of constant phase imbalance. Using the simulator-probed complex voltage values, we compare the phase [using (17)], magnitude [using (18)], and efficiency [using (19)–(21)] expressions to the simulation results. The comparisons are shown in Figs. 5–7, respectively, combinations. Perfect agreement is for various , , and observed between the simulator values and those computed using our equations, thus showing their accuracy. It should has been be noted that the constant phase-offset term subtracted from the simulation results. Further, we consider an IS-95 CDMA signal having a 7-dB peak average and analyze its output with and without constant phase-imbalance predistortion using the mixed-signal simulation. The results are presented in

BIRAFANE AND KOUKI: PHASE-ONLY PREDISTORTION FOR LINC AMPLIFIERS WITH CHIREIX-OUTPHASING COMBINERS

Fig. 5. Comparison between the simulated and computed phase  versus  using (17) for y = 1, = 1; 0:364 and c = 20 ; 70 .

Fig. 6. Computed and ADS-simulated voltage for (y = 1, = 1, c = 20 ) and (y = 1, = 0:364, c = 70 ).

Fig. 8 and show that by proper choice of the phase-distortion , perfect linearity can be achieved. angle Next, we examine the variable phase predistortion described above and we consider the circuit of Fig. 3 with an IS-95 CDMA signal with 7-dB peak-to-average and a Chireix-outphasing and . Using the mixed-signal characterized by simulator, we simulate the circuit with and without variable phase predistortion. The results of these simulations are shown in Fig. 9 and prove that the phase predistortion, as described by (34), does indeed linearize the output signal. As for the efficiency of the linearized Chireix-outphasing combiner, we have demonstrated (see Appendix C) that it is less than, or at best equal to, that of the resistive combiner as a consequence always being . Fig. 10 gives a graphical of confirmation of this result for various and values. This result is plausible since signal cancellation is still required between both branches to reproduce the original signal. This can also be explained through a more detailed examination of the change in the probability distribution function of the signal as a function of the decomposition angle with and without predistortion. Finally, it should be noted that the phase-only

2245

Fig. 7. Comparison between the simulated efficiency using ADS simulator and (19)–(21) for (y = 1, = 1, c = 20 ), (y = 1, = 0:364, c = 70 ) and = 40 ). (y = 1, = 0:364, c = 2 

0 3

0

Fig. 8. Comparison between the output signal without predistortion (y = 1, = 0:364) and with constant phase predistortion (y = 1, = 0:364 and c = 40 ).

0

predistortion used here does not impact the bandwidth of the LINC system. This was proven through detailed simulations. B. Experimental Results To experimentally validate both predistortion methods described above, we use the setup shown in Fig. 11. The ADS simulator is used to generate the desired signal, and implement its LINC decomposition and any predistortion functions. The output signals are streamed to two programmable RF sources (Agilent E443x), which are synchronized at a carrier frequency of 2.1 GHz, and their symbol rates and power levels are equalized. The output signals are combined by hybrid or Chireix combiners. These combiners are made of printed circuit lines and . The combined signal stubs on a Duroid substrate is fed to vector signal analyzer that acts as a demodulator (Agilent 89600 VSA). In the experiments we carried out, we used a 16-QAM signal filtered with a raised cosine filter of rolloff 0.5. Three different combiners, a hybrid and two Chireix combiners , , and ) were measured. For the hybrid (

2246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 12. Measured demodulated 16-QAM constellation with a hybrid combiner. Fig. 9. Output CDMA signal of the simulated circuit with and without a predistortion function by using the ADS software for y = 0:8 and = 0:78.

Fig. 13. Measured demodulated 16-QAM constellation using a Chireix combiner (y = 1 and = 1) without predistortion.

Fig. 10. to 1.4).

Parameter (1=[yK ( ; y )]) versus B:Zo for different values of y (0.4

Fig. 11. Block diagram of the experimental setup for phase-only predistortion validation.

combiner, only the LINC decomposition is performed, i.e., no predistortion is performed. However, for the two Chireix comand ), three digital circuits are conbiners ( structed in ADS and are used to generate the corresponding signals. The first circuit implements the LINC decomposition without predistortion. The second implements the LINC decomposition with the constant phase-imbalance predistortion, while the third implements the LINC decomposition with the variable phase-distortion function. Each digital implementation circuit considered here generates two signals, which are applied to each or ) by means of the proChireix combiners ( grammable RF signal generators.

Fig. 14. Measured demodulated 16-QAM constellation using a Chireix combiner (y = 1 and = 1) with constant phase-imbalance predistortion.

Fig. 12 shows the result of the 16-QAM output signal when the hybrid Wilkinson combiner is used. This measurement allows us to calibrate the two RF programmable sources by adjusting the phase and amplitude between the two sources since such imperfections are not accounted for in the simulator. One can observe the linearity of the hybrid combiner from this figure. Fig. 13 shows the demodulated 16-QAM output signal when and ) is used without the the Chireix combiner ( phase-distortion function. The distortion of the signal in this

BIRAFANE AND KOUKI: PHASE-ONLY PREDISTORTION FOR LINC AMPLIFIERS WITH CHIREIX-OUTPHASING COMBINERS

Fig. 15. Measured demodulated 16-QAM constellation using a Chireix combiner (y = 1 and b = 1) with variable phase predistortion.

2247

Fig. 18. Measured demodulated 16-QAM constellation using a Chireix combiner (y = 1 and b = 0:364) with variable phase predistortion.

The same set of measurement have been done with the and . Figs. 16–18 Chireix combiner having show the results obtained without predistortion (Fig. 16), with constant phase-imbalance predistortion (Fig. 17), and with variable phase predistortion (Fig. 18). These results demonstrate that the predistortion techniques that we developed are valid for different combining structures. IV. CONCLUSION

Fig. 16. Measured demodulated 16-QAM constellation using a Chireix combiner (y = 1 and b = 0:364) without predistortion.

Fig. 17. Measured demodulated 16-QAM constellation using a Chireix combiner (y = 1 and b = 0:364) with constant phase-imbalance predistortion.

case is caused by the nonisolate and unmatched nature of the Chireix combiner [13]. When the constant phase-imbalance predistortion is applied, the linearity of the 16-QAM output signal is reestablished. The distortion-free demodulated signal for this case is shown in Fig. 14. Similar linearity can be achieved by using a variable phase predistortion for the same combiner as shown in Fig. 15.

In this paper, two new methods for phase-only predistortion of the Chireix-outphasing combiner have been developed and presented. Both methods allow maintaining constant envelope operation and, therefore, high saturated power-amplifier efficiency. The first method was dubbed constant phase-imbalance predistortion method. For this method, we showed, using analytical expressions that, for each value of the stub used in the Chireix combiner, there corresponds one value of the phase imbalance that we need to introduce in one RF branch in order to have perfect linearity at the output. The second method was dubbed the variable phase-distortion method. This method was developed analytically by solving a second-degree equation. Validations of the two methods have been carried out using simulation, as well as experimental measurements and complex modulated signal such as CDMA and 16 QAM. In all simulation cases, excellent agreement was obtained between simulator results and those obtained through our equations. The experimental results demonstrated that the phase-distortion functions that we developed do indeed lead to excellent linearization within the hardware precision. It was also shown that phase-only predistortion functions do, however, lead to efficiency degradation. We have indeed shown that having perfect linearity and maximum efficiency with Chireix combining and phase predistortion leads back to the efficiency of the classical LINC with resistive combining or less. Finally, the predistortion functions presented provide linearity by correcting the effect of the lossless combiner without any added cost on the amplifiers. Additional gains may be achieved if these functions are adjusted to take into account the amplifiers’ gain variation resulting from the dynamic loads seen by each one of them. Such a gain would translate into an equivalent gain in a link budget of a wireless system.

2248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

APPENDIX A CONSTANT PHASE-IMBALANCE PREDISTORTION Taking the tangent of (7) and replacing have

by

with , we

(A-9) (A-1)

Using (A-8), (21) becomes

This equation can be rewritten as (A-10) On the other hand, (19) is of the form (A-2)

(A-11) where

which is of the form (A-3) where (A-12) Equating (19) and (21) is equivalent to equating (A-10) and (A-11) and leads to (A-13)

(A-4)

Furthermore, letting simplified to

and

, (A-3) can be

and are polynomials of degree 2 in and Given that that and are polynomials of degree 4 in , consequently, (A-13) is the polynomial in of degree 6, as given by (22). The explicit expressions of the polynomial coefficients are given by for

(A-5)

Denoting by ,

(

where

to

(A-14)

to ) are defined as follows:

, and we have

(A-15) (A-6)

we express , , , and as function of trigonometric expressions, and we find

by using a

and

(

to ) are defined as follows: for

(A-7) (A-8)

For (22) to hold true for all be zero. In particular, setting

to

(A-16)

values, all expressions must leads to (A-17)

BIRAFANE AND KOUKI: PHASE-ONLY PREDISTORTION FOR LINC AMPLIFIERS WITH CHIREIX-OUTPHASING COMBINERS

Replacing

by its expression (A-17) in (A-14) gives for

to

Let

,

2249

then

(A-18)

(B-2)

with (B-3)

(B-4)

(B-5) (A-19) (B-6)

and with

(B-7)

and (B-8) Two solutions are possible, i.e.,

(B-9) the negative solution is rejected, and only the positive solution is retained. We know that with , the two , thus, solutions corresponding to

(B-10)

APPENDIX C IMPACT ON EFFICIENCY

APPENDIX B VARIBALE PHASE-IMBALANCE PREDISTORTION Here, we detail how to calculate the inverse function of defined in (32). Starting with

(B-1)

Comparing (35) to the maximum attainable efficiency of 1 allows us to assess the impact on efficiency. We define as shown in (C-1)–(C-4) at the top of the following page. From (C-4), it is . From this result, and clear that is always negative considering (35) and (36), we deduce the stated result, namely, that (C-5)

2250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

(C-1) (C-2) (C-3) (C-4)

REFERENCES [1] D. C. Cox, “Linear amplification with nonlinear components,” IEEE Trans. Commun., vol. COM-22, no. 12, pp. 1942–1945, Dec. 1974. [2] H. Chireix, “High power outphasing modulation,” Proc. IRE, vol. 23, no. 11, pp. 1370–1392, Nov. 1935. [3] Y. Jaehyok, Y. Yang, and B. Kim, “Effect of efficiency optimization on linearity of LINC amplifiers with CDMA signal,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, May 2001, pp. 1359–1362. [4] F. J. Casadevall and A. Valdovinos, “Performance analysis of QAM modulations applied to the LINC transmitter,” IEEE Trans. Veh. Technol., vol. 42, no. 4, pp. 399–406, Nov. 1993. [5] S. Tomisato, K. Chiba, and K. Murota, “Phase error free LINC modulator,” Electron. Lett., vol. 25, no. 9, pp. 576–577, Apr. 1989. [6] L. Sundstrome, “Automatic adjustment of gain and phase imbalances in LINC transmitters,” Electron. Lett., vol. 31, no. 3, pp. 155–156, Feb. 1995. [7] S. Ampem-Darko and H. S. Al-Raweshidy, “Gain/phase imbalance cancellation technique in LINC transmitters,” Electron. Lett., vol. 34, no. 22, pp. 2093–2094, Nov. 1998. [8] X. Zhang and L. E. Larson, “Gain and phase error-free LINC transmitter,” IEEE Trans. Veh. Technol., vol. 49, no. 5, pp. 1986–1994, Sep. 2000. [9] X. Zhang, L. E. Larson, and P. M. Asbeck, “Calibration scheme for LINC transmitter,” Electron. Lett., vol. 37, no. 5, pp. 317–318, Mar. 2001. [10] A. S. Olson and R. E. Stengel, “LINC imbalance correction using baseband preconditioning,” in IEEE Radio and Wireless Conf., Aug. 1–4, 1999, pp. 179–182. [11] C. P. Conradi and J. G. McRory, “Predistorted LINC transmitter,” Electron. Lett., vol. 38, no. 7, pp. 301–302, Mar. 2002. [12] F. H. Raab, “Efficiency of outphasing RF power-amplifier systems,” IEEE Trans. Commun., vol. COM-33, no. 10, pp. 1094–1099, Oct. 1985. [13] A. Birafane and A. Kouki, “On the linearity and efficiency of outphasing microwave amplifier,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1702–1708, Jul. 2004. [14] G. Poitau, A. Birafane, and A. Kouki, “Experimental characterization of LINC outphasing combiners’ efficiency and linearity,” in IEEE Radio Wireless Conf., Sep. 19–22, 2004, pp. 87–90. [15] A. Birafane and A. Kouki, “An analytical approach to LINC power combining efficiency estimation and optimization,” in 33rd Eur. Microwave Conf. Dig., 2003, pp. 1227–1229. , “Distortion free LINC amplifier with Chireix-outphasing com[16] biner using phase-only predistortion,” in 34th Eur. Microwave Conf. Dig., 2004, pp. 1069–1072.

Ahmed Birafane (M’03) received the B.Sc. degree in electronic engineering from École Nationale Supérieure des Ingénieurs des Etudes et Techniques d Armement (ENSIETA), Brest, France, in 1990, the M.Sc. degree in electronic engineering from the University of Lille, Lille, , France, in 1991, and the Ph.D. degree in microwaves from the University of Paris XI, Paris, France in 1997. His doctoral research concerned nonlinear modeling of HBTs for the design of microwave circuits. In 1999, he joined the RF Team, Communications and Microelectronics Laboratory (LACIME), Ecole de Technologie Supérieure (ETS), Montreal, QC, Canada, as a Post-Doctoral Researcher involved with HBT nonlinear modeling. In 2000, he was a consultant on the design and devel-band solid-state power transmitter (SSPB) for use in very small opment of a aperture terminals (VSATs) for Satcomm Networking Technology Inc. From 2000 to 2001, he was with Wavesat Telecom Inc., Montreal, QC, Canada, as a Research and Development Engineer involved with power-amplifier linearization techniques. Since 2002, he has been a Researcher with the RF Group, ETS, where his main focus has been on the investigation of the linearity and efficiency of LINC and Chireix-outphasing techniques.

Ku

Ammar B. Kouki (S’88–M’92–SM’01) was born in Teboursouk, Tunisia. He received the B.S. (with honors) and M.S. degrees in engineering science from the Pennsylvania State University, University Park, in 1985 and 1987, respectively, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1991. From 1991 to 1993, he was a Post-Doctoral Fellow with the Microwave Research Laboratory, Ecole Polytechnique de Montreal. From 1994 to 1998, he was a Senior Microwave Engineer with the same laboratory, where he was involved with power-amplifier linearization techniques. In 1998, he co-founded AmpliX, Inc., a company that specialized in RF linearizers for SatCom applications. In 1998, he joined the faculty of the Ecole de Technologie Supérieure, Montreal, QC, Canada, where he is currently a Full Professor of electrical engineering. He is cofounder of ISR Technologies, a software-defined radio company. His research interests are in the areas of intelligent and efficient RF transceiver architectures for wireless applications, power-amplifier linearization and efficiency-enhancement techniques, computational electromagnetic techniques for the modeling and design of passive microwave structures, and active device modeling and characterization. He is also active in multiple-input–multiple-output (MIMO) systems and intelligent antennas research.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

2251

Novel Multimode J-pHEMT Front-End Architecture With Power-Control Scheme for Maximum Efficiency John Christopher Clifton, Lutfi Albasha, Senior Member, IEEE, Alan Lawrenson, Member, IEEE, and Anthony M. Eaton

Abstract—Based upon a unique junction pseudomorphic high electron-mobility transistor (J-pHEMT) device, a novel method of providing high-efficiency power amplifier (PA) power control for variable envelope modulation schemes is demonstrated for enhanced data rates for global system for mobile communications evolution and wide-band code division multiple access. This new technique, based upon the use of a linear PA, was extended to provide a simple, but highly effective method of PA efficiency enhancement based upon dynamic adaptive bias control. Together, the architecture allows for substantially higher efficiency levels compared with conventional linear solutions over the entire range of handset operating conditions, while avoiding the necessity for complex control loops and linearization schemes. Furthermore, it is shown that the characteristics of the J-pHEMT, when used with this architecture, can be exploited to facilitate an efficient and completely novel single-chip PA plus antenna switch to substantially reduce the RF complexity of a cellular handset. Index Terms—Adaptive bias control, enhanced data rates for global system for mobile communications (GSM) evolution (EDGE), envelope tracking, monolithic microwave integrated circuit (MMIC) power amplifiers (PAs), power control, pseudomorphic high electron-mobility transistor (pHEMT).

I. INTRODUCTION

P

OWER amplifiers (PAs) for Gaussian minimum shift keying (GMSK) modulation, used extensively in multiband handsets to date, are relatively simple to implement and provide efficiencies of up to 60% [1]. This is due to the fact that the constant envelope-modulation scheme permits PA operation in the saturated region. Fig. 1 illustrates the output power and efficiency performance of a Sony quad-band junction pseudomorphic high electron-mobility transistor (J-pHEMT) PA across the GSM850 and EGSM900 frequency bands at a supply of 3.2 V and is considered amongst the best in class. The pseudomorphic high electron-mobility transistor (pHEMT) exhibits several attractive characteristics compared to alternative PA at turn-on (good for saturated technologies such as high bias characteristic (good for linear PA) PA) and a linear [2]–[4]. The ability of the device to operate well at low supply voltages keeps the technology future-proof. Operation with nonconstant envelope modulation schemes, such as those associated with enhanced data rates for global system for mobile communications (GSM) evolution (EDGE) ( /8-8 phase-shift keying (PSK) modulation) or wide-band code division multiple access (WCDMA) (hybrid phase-shift Manuscript received October 13, 2004; revised December 14, 2005. The authors are with Sony Semiconductor and Electronic Solutions, Basingstoke RG22 4SB, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848747

Fig. 1.

GSM PA characteristic at low band (824–915 MHz).

keying (HPSK) modulation), traditionally require the PA input power to be backed off by several decibels into the linear region such that AM–AM and AM–PM distortion is reduced as the input signal peaks with the modulation envelope. Operation within the linear region comes at the price of lower efficiency. Also, a variable gain amplifier (VGA) is necessary in order to adjust the input power to the PA. Such a function can consume 20–50 mA—further degrading the efficiency and adding to the chipset size and cost. While substantial progress has been made on cellular basestations, most of these efficiency enhancement techniques are unsuited to handsets due to excessive component count, size, and cost. Thus, significant effort has recently been devoted to applying effective, but simpler schemes for handset use. In particular, the demand for efficiency-enhanced EDGE solutions has been a main focus. Linear-backoff PAs have been used in the first commercial EDGE enabled handsets. However, the narrow modulation bandwidths of EDGE can permit the use of other techniques such as envelope elimination and restoration (EER) or “polar loop” [5], which involve driving the PA into compression and achieving greater efficiency. A number of these methods were examined and compared for the case of J-pHEMT-based cellular PAs [6]. Efficiency-enhancement techniques based upon polar loop have been proposed for GSM/EDGE handsets [7]; however, these implementations are typically associated with a high level of circuit complexity and do not necessarily allow an elegant migration path to third generation (3G). Consequently, most current dual-mode RF architectures are based upon multiple transmit strips with fundamentally different architectures for GSM/EDGE and WCDMA. Furthermore, the efficiency potential of polar-loop solutions for EDGE is often not fully

0018-9480/$20.00 © 2005 IEEE

2252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

realized due to current consumption requirements within the high bandwidth correction loops. In order to address all GSM, EDGE, and WCDMA requirements, a novel control scheme based upon a drain power-control architecture has been reported [8], which permits optimum GSM efficiency while allowing enhanced EDGE and WCDMA performance compared to conventional multimode linear PA solutions, maintaining architectural compatibility for all modes. While the use of bias adjustment to realize higher efficiency PA operation under backoff conditions has been demonstrated some time ago [9], the reported scheme allows the efficiency enhancements to be combined with a simple method of power control for EDGE and WCDMA. Further research has been conducted to combine this scheme with a method to achieve further efficiency gains, based upon dynamic adaptive bias control, backed up by both simulation and measurement, and will be reported in this paper. As with the power-control scheme previously reported [8], the addition of the adaptive bias control does not require AM–AM or AM–PM feedback loops and can be operated open loop. The adaptive bias control method is based upon drain envelope tracking and does require the use of high-speed dc–dc converters to realize the additional efficiency improvements [10]–[12]. Such devices have been the subject of active research and development recently in order to realize on-chip implementation [13], [14] with operational switching frequencies as high as 100 MHz [13]. The likelihood of further reductions in handset battery supply voltage will pose challenges to the performance of the PA. In particular, efficiency, frequency bandwidth, die size, and matching complexity are adversely impacted by reduced supply voltage—at a time when efficiency and multimode operation are key expectations. The use of dc–dc converters to increase supply voltage can address these concerns while also permitting optimum performance by using the proposed power-control and envelope-tracking scheme. Finally, a novel architecture for a single-chip PA antenna switch is shown together with simulation and key measurement results.

II. DRAIN CONTROLLED LINEAR PA RESULTS Although several methods of GSM power control exist, openloop supply regulation has been used extensively due to its simplicity and good control characteristics. Fig. 2 illustrates the basic concept of power control using supply regulation. Due to the fact that the output power from the PA has a predictable relationship with supply voltage over temperature and frequency, power detectors and couplers can be avoided, thus saving cost and reducing size. Fundamentally, the method of control relies upon the supply voltage limiting the RF output voltage and is, thus, normally only valid for constant envelope modulation schemes, such as GMSK, which are insensitive to the gross AM nonlinearities associated with this technique. Conventional linear PAs for nonconstant envelope modulation schemes, such as those associated with EDGE and

Fig. 2. Power control based upon supply regulation.

Fig. 3.

EDGE mode: characteristics versus drain voltage.

WCDMA, avoid supply regulation due to the fact that envelope distortion is normally inevitable as the supply voltage is reduced and clipping occurs. Instead, power control is achieved by means of varying the input power to the PA by use of a VGA. However, the use of a VGA impacts current consumption, die size, and the ability to meet the stringent wide-band noise requirements for GSM and EDGE. By making use of the gain/drain voltage characteristic possible with the J-pHEMT process, a linear PA has been demonstrated based upon drain regulation and has been characterized for both EDGE and WCDMA modulation schemes. Fundamentally, this technique relies upon the PA gain rolling off faster than the output voltage clipping such that the peak-mean power ratio, or backoff, is maintained over the required control range. As detailed in [8], measurement results have confirmed the ability to use drain control for EDGE and WCDMA PAs such that all or part of the power-control range can be achieved using this technique. Fig. 3 illustrates the resulting error vector magnitude (EVM) and adjacent channel power ratio (ACPR) performance across the operating control range (5–28.5 dBm). EVM remains below 5% and ACPR remains well inside specification ( 54 dBc @400 kHz or 36 dBm) over the complete control range. Taking a complete PA module with integrated power control, the output power and efficiency were measured against power-control voltage . Fig. 4 illustrates the efficiency and output power performance over the control range while keeping inside the EVM and ACPR limits. Over temperature, the characteristic was also proven to be well behaved with less than 1-dB variation from 20 C to 85 C (Fig. 5). The low variation over temperature and flatness across the frequency band also

CLIFTON et al.: NOVEL MULTIMODE J-pHEMT FRONT-END ARCHITECTURE WITH POWER-CONTROL SCHEME FOR MAXIMUM EFFICIENCY

Fig. 4. EDGE mode: power-control and efficiency characteristics using GSM control IC.

2253

Fig. 6. High-band GSM PA in WCDMA mode at 1920 MHz: ACPR and output power versus drain voltage.

Fig. 7. Consumption of linear PA based on drain regulation compared to conventional approach of input power variation. Fig. 5. EDGE power-control/V ( 20 C to +85 C).

0

characteristic over temperature from

make it possible to eliminate the output coupler and power detector circuits, which are often used for linear EDGE PA solutions, such that the method of open-loop drain control is used for both GSM and EDGE modes. Furthermore, it was also possible to remove the isolator associated with other linear PA solutions. Measured voltage standing-wave ratio (VSWR) variations of 3 : 1 at the PA output were found to degrade EVM by around only 2% at the worst case phase. Receive band noise was measured at 10–30-MHz offset from carrier and found to meet the requirements with a value of 84 dBm in 100 kHz at 20-MHz offset. A high-band three-stage GSM PA was also measured with the WCDMA modulation scheme within the European Universal Mobile Telecommunications System (UMTS) frequency band while using the drain regulation method. ACPR performance could be maintained over the top 10–15 dB of control range (see Fig. 6), thus relaxing the requirements on the high dynamic-range TX VGA required for WCDMA (total of 90-dB range is necessary). As with the case of EDGE, significant efficiency improvements could be realized compared to conventional control. This method of power control permits significant improvements in efficiency to be achieved at typical handset operating powers (10–20 dBm) compared to the standard linear PA. Performance under typical operating conditions is not substantially different to polar loop solutions, while the need for additional complex control loops is avoided. The relative improvement in

efficiency is brought about by the fact that the reduction in drain voltage results in a corresponding reduction in drain current. Fig. 7 illustrates the supply current consumption variation with output power for the drain-controlled linear PA compared with the conventional approach of an external VGA prior to PA input and fixed PA supply voltage. While consumption is similar at full output power, substantial savings are incurred in the typical handset operating region of 10–20 dBm even before consumption of a VGA is taken into account. The efficiency improvements brought about by drain regulation for a linear PA can be further exploited by use of dc–dc converters instead of the conventional linear supply regulator. Table I illustrates the efficiency comparisons between the proposed drain-controlled linear PA and conventional fixed supply linear PA with VGA (assuming 20-mA consumption) at three different output powers. For the drain-controlled linear PA, three cases are considered, which are: 1) conventional pMOS supply regulation; 2) regulation based upon an ideal dc–dc converter; and 3) and envelope drain tracking [10] based upon a fast dc–dc converter. Fast drain envelope tracking has also been previously demonstrated with wider bandwidth modulation schemes such as code division multiple access (CDMA) IS95. The use of dc–dc converters to realize substantial efficiency savings, over 40% in several cases, at typical handset transmit levels (often 10–20 dB below the maximum rated PA power) has been clearly demonstrated for CDMA modulation schemes [11], [12], [15]–[17]. Spurious emissions and a negative efficiency impact at maximum PA output power are two identified issues often associated with the use of such converters, although

2254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE I DUAL-MODE (GSM/EDGE) PA EFFICIENCY COMPARISONS BETWEEN CONVENTIONAL LINEAR PA AND DRAIN-CONTROLLED J-pHEMT PA WITH EDGE MODULATION

the spurious issue can be greatly improved by careful system design [18] and use of noise-reduction techniques [19].

III. EDGE DRAIN ENVELOPE-TRACKING MEASUREMENTS The simulated EDGE efficiency improvements associated with drain envelope tracking were compared with actual measurements. Since it was important to reduce the impact of PA gain variations across the modulation envelope on constellation distortion, it was decided to apply the drain envelope tracking to the final stage only where the efficiency improvement potential is the greatest. In order to set a reference point, the 18-mm gatewidth singlestage PA (matched for optimum GSM performance) was first characterized at 900 MHz with a fixed drain supply of 2.9 V and gate voltage of 0.23 V. With a gain of around 9 dB, the output power was 28.0 dBm with a drain efficiency of 35.9%. ACPR and EVM were 56 dBc (400 kHz) and 1.1%, respectively. Using exactly the same input power and gate voltage, the drain supply voltage was then made to track the modulated input waveform (see Fig. 8). By adjustment of operational amplifier gain and offset, the maximum and minimum limits of the tracking drain voltage could be set. In order to avoid going too close to the knee voltage of the device and the point at which significant gain variation occurs, the minimum envelope-tracking voltage was set to 1.2 V, allowing a generous margin. Simulation work indicated that improved efficiency could be obtained by reducing the minimum drain voltage closer to the knee voltage of the device. However, a complete AM compensation loop connecting to the PA output would be required in this case, increasing the complexity of the solution and incurring output losses due to a coupler. The maximum drain voltage was set to 2.7 V, resulting in an average of 2.1 V (Fig. 9). Fig. 9 also shows the detected EDGE input signal, highlighting the negligible time delay between the two waveforms. The resulting output power was 27.7 dBm with EVM of 1.9% 400 kHz . From the ACPR plot of and ACPR of 58 dBc Fig. 10, around 2–3 dB of asymmetry was observed (no asymmetry in case of fixed drain supply). Based upon the average supply voltage during the envelope and measured current consumption, the resulting efficiency was calculated to be 47.6%, a 12% improvement compared to the case of the fixed drain voltage. The extent of the efficiency improvement is closely in line with the simulation results given in Table I for the complete dual-mode PA.

Fig. 8.

Drain envelope-tracking scheme.

Fig. 9. Upper trace: EDGE PA drain tracking voltage measured on an oscilloscope with 1-V/division vertical scale and 20-s/division horizontal time scale. Peak envelope voltage is 2.7 V with minimum of 1.2 V. Lower trace: detected PA input waveform for reference. Vertical scale is 20 mV/division with same horizontal time scale of 20 s/division.

While this is a worthwhile efficiency improvement, the use of practical dc converters (for envelope tracking and power control) will impact upon these gains unless further architectural improvements can be made based upon the wider opportunities brought about by the use of dc–dc converters. IV. ONE-CHIP PA

SWITCH ARCHITECTURE

In order to further exploit the advantages of the higher supply voltage operation afforded by the use of dc converters, a novel one-chip PA SW architecture was derived (Fig. 11). Instead of the conventional approach of separate PA and switch components [20], the series stacked PA output stage also acts as

CLIFTON et al.: NOVEL MULTIMODE J-pHEMT FRONT-END ARCHITECTURE WITH POWER-CONTROL SCHEME FOR MAXIMUM EFFICIENCY

2255

Fig. 10. EDGE drain tracking PA output spectrum at output power of 27.7 dBm and center frequency of 900 MHz with 30-kHz resolution bandwidth. Vertical scale is 10 dB/division with horizontal frequency scale of 100 kHz/divison. Fig. 12.

Fig. 11. Novel one-chip PA transistor output stage.

+ antenna switch architecture based upon series

the TX branch of the corresponding antenna switch, thus performing dual functionality. In addition to performance advantages, this dual functionality substantially reduces the overall transistor gatewidth and enables one-chip implementation. Use of series transistor configurations have previously been utilized in other PA applications, yielding a number of performance advantages such as reduced ohmic losses and simplified output matching [21]. Practically, series stacks of three or four transistors are required within antenna switches to handle the RF voltages produced by the ON transmit paths [20]. In the case of dual-, triple-, or quad-band GSM/EDGE, two PA and transmit switch paths are normally assumed. Thus, compared with the conventional approach, the loss incurred by the two separate switch transmit branches is avoided, yielding more than 0.5-dB reduction in post PA transmit loss. This goes some way in overcoming the losses associated with the dc–dc converters by decreasing the amount of dc current required to attain a specified power level at the antenna. To practically assess a number of the more challenging aspects of the architecture, the GSM850/900 PA output stage/switch transmit branch was designed and fabricated using the Sony J-pHEMT process. Fig. 12 illustrates the layout of

Die layout of series configuration PA output stage.

the series configuration structure based upon three serially connected transistors. Compared to a conventional 3-V PA output stage, total gatewidth is significantly less: 7.2 mm instead of 18 mm. When the elimination of the gatewidth associated with the switch TX branch is taken into account, the reduction is even more dramatic. Due to the higher RF drain and source impedances compared with a conventional 3-V output stage, it was possible to eliminate the ground vias, thus reducing the number of process steps, and achieve sufficient grounding with a limited number of bond-wire connections. The design was fabricated and operated close to target performance. Apart from a low- -factor bias choke and the addition of a shunt 2-pF capacitor, which simulates the maximum capacitive loading effect of the other switch paths (one other transmit in addition to four receive in the case of a quad-band switch), no off-chip output matching network was added. For ease of layout on this test chip, the gate bias voltages were applied to each series field-effect transistor (FET) via a resistive potential divider rather than individually, as depicted in the schematic in Fig. 11. Some input transformation was achieved by on-chip 1-pF series capacitors, which also help off-state isolation, although a simple single-pole off-chip input network was used to optimize return loss over the GSM frequency bands (824–915 MHz). Fig. 13 illustrates the measured output power and drain efficiency GSM900 bands. The extremely flat across the GSM850 output response across both bands is a result of the high-output impedance compared to the conventional PA. A 12-V supply was required to achieve 34-dBm output power, 2–3 dB into compression, across the band with 33 dBm attainable from a 10-V supply. The GSM850 and GSM900 power-control specifications require a maximum of 33 dBm at the antenna port for GMSK modulation. The measured linear gain was 12 dB, which is around 2 dB higher than the equivalent 3-V output stage. Despite the lack of any complex matching networks to optimize efficiency, the resulting drain efficiency (Fig. 13) was found to be quite acceptable.

2256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 13. Measured performance of series configuration output stage with minimal input/output matching.

To estimate the OFF state loading of the series PA output stage (which impacts other ON state transmit or receive switch paths), the package bond wire and lead-frame inductances were first resonated out and the insertion-loss impact due to the OFF loading measured using a network analyzer. The measured value of 0.39 dB at 900 MHz corresponds to an effective loading capacitance of 1.8 pF. This value will have some impact on receive path insertion loss and could be reduced by more compact layout of the series transistor stack in order to eliminate the capacitive parasitic elements associated with the interconnecting metal layers and by careful layout of the transistors themselves. Increasing the series transistor stack from 3 to 4 while maintaining the total gatewidth of the series stack (reducing the gatewidth of the individual devices) will also reduce loading, but will necessitate the use of a higher operating voltage in transmit mode. In receive mode, the insertion loss is also impacted by the on-resistance of the series transistor stack associated with the particular switch receive branch. In order to avoid the use of excessively large transistor gatewidths, which will adversely affect receive isolation and off-state loading, the process should be capable of delivering on-resistances below 2 mm at the relatively high threshold voltages 0.2 V required for single positive-voltage PA operation. Values as low as 1.1 mm have been reported [4] for the J-pHEMT process at a threshold voltage of 0.5 V. The RF loss, due to the on resistance of a single RX series stack of four transistors was measured to be 0.20 dB at 900 MHz. This results in a projected receive path loss of around 1 dB for an SP6T switch at 900 MHz once the TX and RX off-state loading is taken into account. As detailed above, some reduction in TX path loading capacitance will be required in the final implementation in order to reduce the RX loss within the four GSM bands since some additional loss is incurred by the GSM1800/1900 TX harmonic filter, which resides between the switch and antenna port (Fig. 11). Including the harmonic filter loss (0.25–0.40 dB), overall RX path losses of 1.0–1.2 dB should be ultimately achievable with this configuration. In transmit mode, supply voltages of less than 10–12 V are possible by minimizing the number of series transistors in the PA output stage while increasing the gatewidth of the individual devices. As well as a negative impact to RX performance, as detailed above, this will, of course, reduce the PA output impedance and require greater attention to be paid to the overall front-end matching circuitry while also increasing the

off-state loading capacitance. Thus, both transmit and receive RF performance is likely to suffer for a lower supply voltage. In the same way as for the 3-V output stage with results described in Section III, the supply voltage may be tracked to the input waveform to attain efficiency savings for the EDGE mode. In this case, the same dc converter used to provide the 10–12-V supply must be sufficiently fast to track the modulation envelope [13]. As highlighted in Table I, the efficiency performance across the entire power-control range will also benefit from the use of a switched-mode supply in place of the pMOS. This advantage will be effective for both EDGE and GMSK modulations. The use of the one-chip PA switch architecture will go a long way in overcoming the inherent losses associated with dc–dc converters at maximum transmit power, allowing the practical efficiency improvements associated with the power control and supply tracking to closely match the simulated figures given in Table I. From a dc–dc converter viewpoint, a boost topology is required with a high switching speed. Asbeck et al. [11] demonstrated such a converter with 10–20-MHz switching speed, which allowed a variable output voltage of 3–10 V from a 3.3-V battery by use of pulsewidth modulation. This small area solution also lends itself to future on-chip implementation with the PA. In addition to ongoing conversion efficiency improvements and management of spurious emissions, further advances in integrated-circuit (IC) implementation to allow inclusion of passive components [13] will permit the converter to be integrated onto the PA die or silicon power-control IC. In turn, this will enable the substantial reductions in handset RF size and complexity afforded by this architecture to be realized in a practical handset. V. CONCLUSIONS A proposed open-loop linear PA efficiency improvement scheme has been presented based upon the use of a novel power-control scheme together with a form of envelope tracking. Significant efficiency improvements have been demonstrated using EDGE modulation. In principle, the scheme can also be applied to wider bandwidth modulation schemes such as WCDMA. While the use of practical dc–dc converters does impact upon some of these efficiency gains, a novel one-chip PA/switch front-end architecture has been described, which achieves further RF efficiency improvements and significant reductions in MMIC die area due to the elimination of the separate antenna switch transmit branches. The high-output impedance enables the high- -factor off-chip output matching circuit to be eliminated and wide-bandwidth multiband operation made possible with reduced voltage handset battery technologies. ACKNOWLEDGMENT The authors would like to acknowledge the support and encouragement for this work from H. Kawasaki, H. Kawamura, and H. Motoyama, all of the Sony Semiconductor Network Company, Atsugi, Japan. The comments and advice from S. Iezekiel and I. Hunter, both of The University of Leeds, Leeds, U.K., are also greatly appreciated by the authors.

CLIFTON et al.: NOVEL MULTIMODE J-pHEMT FRONT-END ARCHITECTURE WITH POWER-CONTROL SCHEME FOR MAXIMUM EFFICIENCY

REFERENCES [1] W. Abey, T. Moriuchi, T. Hajji, T. Nakamura, Y. Nonaka, E. Mitani, W. Kennan, and H. Dang, “A single supply high performance PA MMIC for GSM handsets using quasi-enhancement mode pHEMT,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, May 2001, pp. 20–25. [2] L. Albasha, J. C. Clifton, A. Lawrenson, and A. Eaton, “Novel control architecture for JpHEMT power amplifiers achieving high efficiency EDGE application,” in IEEE Radio and Wireless Conf., Atlanta, GA, Sep. 2004, pp. 343–346. [3] D. Wu, M. Frank, N. Hendin, and S. Yajima, “Radio front-end module technologies and design for multiband/mode cellular handsets,” presented at the IEEE MTT-S. Int. Microwave Symp. Workshop, Philadelphia, PA, Jun. 8, 2003. [4] N. Saka, M. Nakamura, M. Shimada, T. Kimura, H. Motoyama, and I. Hase, “Low standby leakage current power amplifier module made with junction pHEMT technology,” in 25th Annu. IEEE Gallium Arsenide Integrated Circuit Symp. Dig., Nov. 9–12, 2003, pp. 301–304. [5] S. Mann, M. Beach, P. Warr, and J. McGeehan, “Increasing the talk-time of mobile radios with efficient linear transmitter architectures,” Electron. Commun. Eng. J., vol. 13, no. 2, pp. 65–76, Apr. 2001. [6] J. C. Clifton, L. Albasha, and M. Willer, “High efficiency amplifiers for EDGE applications based on enhancement-mode junction pHEMT,” presented at the Univ. California Power Amplifier Workshop, San Diego, CA, 2004. [7] T. Sowlati, D. Rozenblit, E. MacCarthy, M. Damgaard, R. Pullela, D. Koh, and D. Ripley, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” in Int. Solid-State Circuits Conf., vol. 1, San Francisco, CA, Feb. 2004, pp. 186–521. [8] J. C. Clifton, L. Albasha, A. Lawrenson, and A. Eaton, “Meeting the needs of cellular dual-mode (EGPRS/3G) power amplifiers with a unique J-pHEMT process and novel control architecture,” in Eur. Microwave Conf., Amsterdam, The Netherlands, Sep. 2004, pp. 21–24. [9] B. D. Geller, F. T. Assal, R. K. Gupta, and P. K. Cline, “A technique for the maintenance of FET power amplifier efficiency under backoff,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 13–15, 1989, pp. 949–952. [10] J. Staudinger, “An overview of efficiency enhancements with application to linear handset power amplifiers,” in IEEE Radio Frequency Integrated Circuits Symp., Jun. 2–4, 2002, pp. 45–48. [11] P. Asbeck, G. Hanington, P. F. Chen, and L. Larson, “Efficiency and linearity improvement in power amplifiers for wireless communications,” in Gallium Arsenide Integrated Circuit Symp., Nov. 1–4, 1998, pp. 15–18. [12] G. Hanington, P. F. Chen, P. M. Asbeck, and L. E. Larson, “High-efficiency power amplifier using dynamic power-supply voltage for CDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1471–1476, Aug. 1999. [13] S. Abedinpour, Deligoz, J. Desai, M. Figiel, and S. Kiaei, “Monolithic supply modulated RF power amplifier and DC–DC power converter IC,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 8–13, 2003, pp. A89–A92. [14] D. Guckenberger and K. Kornegay, “Integrated DC–DC converter design for improved WCDMA power amplifier efficiency in SiGe BiCMOS technology,” in Proc. Low Power Electronics and Design Int. Symp., Aug. 25–27, 2003, pp. 449–454. [15] J. Staudinger, B. Gilsdorf, D. Newman, G. Norris, G. Sadowniczak, R. Sherman, and T. Quach, “High efficiency CDMA RF power amplifier using dynamic envelope tracking technique,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 11–16, 2000, pp. 873–876. [16] B. Sahu and G. A. Rincon-Mora, “A high-efficiency linear RF power amplifier with a power-tracking dynamically adaptive buck-boost supply,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 112–120, Jan. 2004. [17] M. Ranjan, K. H. Koo, G. Hanington, C. Fallesen, and P. Asbeck, “Microwave power amplifiers with digitally-controlled power supply voltage for high efficiency and high linearity,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 11–16, 2000, pp. 493–496. [18] B. Sahu and G. A. Rincon-Mora, “System-level requirements of DC–DC converters for dynamic power supplies of power amplifiers,” in Proc. IEEE Asia–Pacific Conf., Aug. 6–8, 2002, pp. 149–152. [19] H. Kobayashi and P. M. Asbeck, “Active cancellation of switching noise for DC–DC converter-driven RF power amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2–7, 2002, pp. 1647–1650.

2257

[20] J. C. Clifton and L. Albasha, “RFIC antenna switch solutions for GSM dualband telephones,” presented at the IEE Multi-Chip Modules and RFIC Colloq., London, U.K., May 5, 1998. [21] A. Ezzeddine, H. -L. A. Hung, and H. C. Huang, “High voltage FET amplifiers for satellite and phased-array applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 85, Jun. 1985, pp. 336–339.

John Christopher Clifton received the B.Sc. degree in physics and M.Phil. degree in electronics engineering from The University of Leeds, Leeds, U.K., in 1985 and 1988, respectively. Prior to positions within Sony, he was involved with military and commercial microwave/RF projects at M/A-Com U.K., then subsequently as Senior Engineer for satellite TV projects with SAT-TEL, Northampton, U.K., as Chief Design Engineer with the GEC-Marconi Caswell III–V facility, and as RF Engineering Manager with DSC Communications, London, U.K. Joining the Sony semiconductor European operation in 1997, he initially managed the applications activity for cellular RF products, helping secure significant design wins in the European GSM phone market. Working closely with colleagues at the main design facility in Atsugi, Japan, the design flow for the highly successful GaAs MMIC technology was implemented within the U.K. design center so that European products could be designed locally. Following the successful setup of the MMIC design facility and under his leadership, other areas of mixed-signal development were expanded at the Basingstoke facility, including cellular RF transceivers, full Bluetooth chipset solutions, and analog devices for DTV applications. In 2001, he became Divisional Director for wireless products within the European development centre. He is currently the Technology Officer for Sony Semiconductor and Electronic Solutions, Basingstoke, U.K., where he is involved with numerous technology groups. He has authored over 20 international publications. He holds a number of patents. A major research interest of his is technology requirements for high data-rate mobile handsets. Mr. Clifton is a Chartered Engineer (C.Eng.) in the U.K. He is a member of the Institution of Electrical Engineers (IEE), U.K. He has sat on the boards of a number of U.K. Government-sponsored bodies covering training and promotion of engineering within the U.K.

Lutfi Albasha (S’04–M’90–SM’04) received the B.Eng. degree in electronic and electrical engineering from The University of Leeds, Leeds, U.K., in 1990, the M.Sc. degree (with distinction) in RF communications from Bradford University, Bradford, U.K., in 1991, and the Ph.D. degree in microwave circuit design from The University of Leeds, in 1995. From 1995 to 1997, he was a Post-Doctoral Research Fellow with the Institute of Microwaves and Photonics, The University of Leeds, where he was involved in MMIC design and developed a new breakdown model for pHEMT transistor devices based on thermal physical modeling. In 1997, he joined Sony Semiconductor and Electronic Solutions, Basingstoke, U.K., where he joined a small team that was involved in the design, development, and successful commercialization of a series of multiband GaAs MMIC complex antenna switches and GSM/digital communication system (DCS) PA products. From 2000 to 2001, he was with Filtronic (LK and Compound Semiconductors), where he setup and managed a design team that was initially responsible for IC design optimization for large-volume production yield at Filtronic’s new six-in-wafer MMIC foundry. The group was then successful in producing the first ever range of commercial MMIC products from that foundry. In mid-2001, he rejoined Sony Semiconductor and Electronic Solutions, where he has since been involved with silicon design (SiGe BiCMOS). He has been involved with different projects at chip-level system architecture and RF integrated-circuit (RFIC) design. His main involvements were in a 3G UMTS direct-conversion receiver, GSM quad-band transceiver, and 2.5G (second-generation GSM plus general packet radio system (GPRS) and EDGE) PA power-control architectures. Since late 2003, he has been a technical leader involved with the architecture and circuit design of digital TV RF wide-band tuners. He has authored and coauthored over 25 refereed papers in various journals and conferences. Dr. Albasha was the recipient of two academic awards presented by The University of Leeds and the Institution of Electrical Engineers (IEE), U.K. and three Sony Recognition Awards.

2258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Alan Lawrenson (M’96) received the B.Eng. degree in electrical and electronic engineering and M.Sc. degree in RF and microwave engineering from the University of Bradford, Bradford, U.K., in 1987 and 1989, respectively. After an brief period with the Advanced Development group, Philips Radio Communications, Cambridge, U.K., to complete his Master’s project, from 1990 to 1991, he began working with Philips Components, Southampton, U.K., where he was an RF Design Engineer responsible for the design of RF PA modules at VHF and UHF using Philips bipolar devices and thick-film module technology. From 1991 to 1997, he was with Nokia Mobile Phones, Camberley, U.K., where he was Senior RF Design Engineer extensively involved with analog handset design including transmitters, receivers, synthesizers, and system design. This role further required support of the handset products into mass production and providing after-sales training. He later concentrated on the design and development of low-voltage high-efficiency PAs for mobile communications making extensive use of load–pull techniques for device characterization. In 1997, he joined Sony Semiconductor and Electronic Solutions, Basingstoke, U.K., where he is currently a Principal Design Engineer involved with a team responsible for the design and performance optimization of GaAs junction field-effect transistor (JFET) PAs for GSM/digital communication system (DCS)/personal communications system (PCS) applications. More recently, he has been involved in the development of cellular handset PAs for both GSM and EDGE applications. Mr. Lawrenson is a member of the Institution of Electrical Engineers (IEE), U.K., since 2002.

Anthony M. Eaton was born in Cheshire, U.K., in 1972. He received the B.A. and M.Eng. degrees in engineering from the University of Cambridge, Cambridge, U.K., in 1995 and 1996, respectively. Prior to positions within Sony, he was involved with wide-band code division multiple access (WCDMA), GSM, digital enhanced cordless telecommunications (DECT), and terrestrial trunked radio (TETRA) system designs and product development as a Radio Systems Engineer with the PA Consulting Group, Melbourn, U.K. and as a Product Development Project Manager within the medical electronics industry with Starkey Laboratories, Minneapolis, MN. In 1999, he joined Sony Semiconductor and Electronic Solutions, Basingstoke, U.K., where he led the development of a Bluetooth RFIC within the U.K. design center. Since then, he has been involved with the application of CMOS to cellular RF applications and contributed to RFIC and PA product developments in the areas of GSM, EDGE, and WCDMA. He is currently responsible for WCDMA/GSM RFIC IP development within the Sony development center.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.847082

Digital Object Identifier 10.1109/TMTT.2005.852216

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, K. ITOH, B. KIM, S. MARSH, W. MENZEL, A. MORTAZAWI, Y. NIKAWA, Z. POPOVIC, A. RÄISÄNEN, V. RIZZOLI, P. RUSSER, D. WILLIAMS REVIEWERS M. Abdul-Gaffoor M. Abe R. Abou-Jaoude M. Abouzahra A. Abramowicz L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agarwal D. Ahn H.-R Ahn M. Aikawa C. Aitchison M. Akaike C. Akyel A. Akyurtlu B. Albinsson F. Alessandri A. Alexanian C. Algani W. Ali-Ahmad F. Alimenti B. Allen D. Allsopp D. Allstot R. Alm B. Alpert A. Alphones A. Altintas A. Alvarez-Melcom M. Alzona S. Amari L. Andersen B. Anderson Y. Ando O. Anegawa K.-S. Ang I. Angelov R. Anholt Y. Antar G. Antonini D. Antsos K. Anwar I. Aoki R. Aparicio K. Araki J. Archer P. Arcioni F. Arndt R. Arora U. Arz M. Asai P. Asbeck K. Ashby H. Ashok J. Atherton A. Atia I. Awai K. Aygun S. Ayuz Y. Baeyens T. Bagwell Z. Baharav I. Bahl D. Baillargeat S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Bandurkin R. Bansal D. Barataud I. Barba F. Bardati I. Bardi S. Barker D. Barlage J. Barr D. Batchelor B. Bates H. Baudrand S. Beaussart R. Beck D. Becker K. Beilenhoff B. Beker V. Belitsky D. Belot H. Bell T. Benson M. Berroth G. Bertin S. Best W. Beyenne A. Beyer S. Bharj K. Bhasin P. Bhattacharya Q. Bi M. Bialkowski E. Biebl P. Bienstman R. Bierig R. Biernacki S. Bila L. Billonnet T. Bird B. Bishop G. Bit-Babik D. Blackham B. Blalock M. Blank P. Blondy P. Blount D. Boccoli B. Boeck F. Bögelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria V. Borich O. Boric-Lubecke E. Borie J. Bornemann R. Bosisio H. Boss S. Bousnina P. Bouysse M. Bozzi E. Bracken P. Bradley R. Bradley T. Brazil G. Brehm K. Breuer B. Bridges L. Briones T. Brookes S. Broschat E. Brown G. Brown R. Brown S. Brozovich S. Bruce

S. Bryan H. Bu D. Budimir T. Budka M. Bujatti C. Buntschuh J. Burghartz P. Burghignoli O. Buric-Lubecke D. Butler Q. Cai M. Calcatera C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa S. Cammer C. Campbell R. Campbell M. Campovecchio F. Canavero A. Cangellaris F. Capolino A. Cappy J.-L. Carbonero G. Carchon J. Carlin G. Carrer R. Carter F. Casas A. Cassinese J. Catala R. Caverly M. Celik M. Celuch-Marcysiak Z. Cendes B. Cetiner J. Cha N. Chaing H. Chaloupka M. Chamberlain C.-H. Chan C.-Y. Chang C. Chang F. Chang H.-C. Chang K. Chang H. Chapell W. Chappell W. Charczenko K. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen D. Chen H.-S. Chen J. Chen J.-I. Chen J. Chen K. Chen S. Chen W.-K. Chen Y.-J. Chen Y.-K. Chen Z. Chen K.-K. Cheng S. Cherepko W. Chew W.-C. Chew C.-Y. Chi Y.-C. Chiang T. Cho D. Choi J. Choi C.-K. Chou D. Choudhury Y. Chow C. Christopoulos S. Chung R. Cicchetti A. Cidronali T. Cisco J. Citerne D. Citrin R. Clarke J. Cloete E. Cohen L. Cohen A. Coleman R. Collin F. Colomb B. Colpitts G. Conciauro A. Connelly D. Consonni H. Contopanagos F. Cooray I. Corbella J. Costa E. Costamagna A. Costanzo C. Courtney J. Cowles I. Craddock G. Creech J. Crescenzi S. Cripps D. Cros T. Crowe M. Crya R. Culbertson C. Curry W. Curtice Z. Czyz S. D’Agostino C. Dalle G. Dambrine K. Dandekar A. Daryoush B. Das N. Das M. Davidovich M. Davidovitz B. Davis I. Davis L. Davis G. Dawe H. Dayal F. De Flaviis H. De Los Santos P. De Maagt D. De Zutter B. Deal A. Dec J. Deen J. Dees J. DeFalco D. Degroot C. Deibele J. Del Alamo A. Deleniv M. DeLisio S. Demir J. DeNatale E. Denlinger N. Deo

A. Deutsch Y. Deval T. Dhaene A. Diaz-Morcillo G. D’Inzeo C. Diskus B. Dixon T. Djordjevic M. A. Do J. Doane J. Dobrowolski W. Domino S. Dow C. Dozier P. Draxler R. Drayton A. Dreher F. Drewniak S. Dudorov S. Duffy L. Dunleavy V. Dunn J. Dunsmore A. Dutta D. Duvanaud A. Duzdar S. Dvorak L. Dworsky M. Dydyk L. Eastman J. Ebel R. Egri R. Ehlers T. Eibert H. Eisele B. Eisenstadt G. Eisenstein G. Eleftheriades I. Elfadel S. El-Ghazaly F. Ellinger T. Ellis B. Elsharawy R. Emrick N. Engheta B. Engst Y. Eo H. Eom N. Erickson J. Eriksson C. Ernst M. Eron L. Escotte M. Essaaidi J. Everard G. Ewell A. Ezzeddine M. Faber C. Fager D.-G. Fang N. Farhat M. Farina W. Fathelbab A. Fathy A. Fazal E. Fear R. Feinaugle M. Feldman P. Feldman A. Ferendeci C. Fernandes A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko I. Filanovsky P. Filicori D. Filipovic A. Fliflet P. Focardi B. Fornberg K. Foster P. Foster G. Franceschetti A. Franchois M. Freire R. Freund A. Freundorfer F. Frezza R. Fujimoto V. Fusco G. Gabriel T. Gaier Z. Galani I. Galin D. Gamble B.-Q. Gao M. Garcia K. Gard R. Garver G. Gauthier B. Geller V. Gelnovatch P. Genderen G. Gentili N. Georgieva W. Geppert J. Gerber F. Gerecht F. German S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini A. Gibson S. Gierkink J. Gilb B. Gilbert B.Gimeno E.Glass A. Glisson M. Goano E. Godshalk J. Goel M. Goldfarb C. Goldsmith P. Goldsmith M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon P. Gould K. Goverdhanam J. Graffeuil L. Gragnani B. Grant G. Grau A. Grebennikov B. Green T. Gregorzyk I. Gresham E. Griffin

J. Griffith A. Griol G. Groskopf C. Grossman T. Grzegorczyk M. Guglielmi P. Guillon K.-H. Gundlach A. Gupta K. Gupta R. Gupta F. Gustrau R. Gutmann W. Gwarek R. Haas J. Hacker G. Haddad S. Hadjiloucas C. Hafner M. Hagmann S. Hagness H.-K. Hahn A. Hajimiri D. Halchin A. Hallac B. Hallford K. Halonen R. Ham K. Hamaguchi M. Hamid J.-H. Han A. Hanke V. Hanna V. Hansen G. Hanson Y. Hao L. Harle M. Harris L. Hartin H. Hartnagel J. Harvey H. Hasegawa K.-Y. Hashimoto K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi J. Hayashi L. Hayden B. Haydl S. He T. Heath J. Heaton I. Hecht G. Hegazi P. Heide E. Heilweil W. Heinrich G. Heiter M. Helier R. Henderson R. Henning D. Heo J. Herren K. Herrick N. Herscovici J. Hesler J. Heston M. Heutmaker C. Hicks R. Hicks A. Higgins M. Hikita D. Hill G. Hiller W. Hioe J. Hirokawa T. Hirvonen V. Ho W. Hoefer R. Hoffmann M. Hoft J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii D. Hornbuckle J. Horng J. Horton K. Hosoya R. Howald H. Howe J.-P. Hsu Q. Hu C.-C. Huang C. Huang F. Huang H.-C. Huang J. Huang P. Huang T.-W. Huang A. Huber D. Huebner H.-T. Hui A. Hung C. Hung H. Hung I. Hunter J. Hurrell M. Hussein B. Huyart I. Huynen H.-Y. Hwang J. Hwang K.-P. Hwang J. Hwu C. Icheln T. Idehara S. Iezekiel P. Ikonen K. Ikossi K. Inagaki A. Ishimaru T. Ishizaki Y. Ismail K. Itoh T. Itoh F. Ivanek A. Ivanov T. Ivanov C. Iversen D. Iverson D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob M. Jacob H. Jacobsson D. Jaeger N. Jaeger N. Jain R. Jakoby G. James R. Janaswamy

Digital Object Identifier 10.1109/TMTT.2005.852214

V. Jandhyala W. Jang R. Jansen J. Jargon B. Jarry P. Jarry A. Jelenski W. Jemison S.-K. Jeng M. Jensen E. Jerby G. Jerinic T. Jerse P. Jia D. Jiao J.-M. Jin J. Johansson R. Johnk W. Joines K. Jokela S. Jones U. Jordan L. Josefsson K. Joshin J. Joubert R. Kagiwada T. Kaho M. Kahrs D. Kajfez S. Kalenitchenko B. Kalinikos H. Kamitsuna R. Kamuoa M. Kanda S.-H. Kang P. Kangaslahtii B. Kapilevich K. Karkkainen M. Kärkkäinen A. Karpov R. Karumudi A. Kashif T. Kashiwa L. Katehi A. Katz R. Kaul S. Kawakami S. Kawasaki M. Kazimierczuk R. Keam S. Kee S. Kenney A. Kerr O. Kesler L. Kettunen M.-A. Khan J. Kiang O. Kilic H. Kim I. Kim J.-P. Kim W. Kim C. King R. King A. Kirilenko V. Kisel A. Kishk T. Kitamura T. Kitazawa M.-J. Kitlinski K. Kiziloglu R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kobayashi G. Kobidze P. Koert T. Kolding N. Kolias B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa B. Kopp B. Kormanyos K. Kornegay M. Koshiba T. Kosmanis J. Kot A. Kraszewski T. Krems J. Kretzschmar K. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa H. Kubo C. Kudsia S. Kudszus E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar J. Kuno J.-T. Kuo P.-W. Kuo H. Kurebayashi T. Kuri F. KurokI L. Kushner N. Kuster M. Kuzuhara Y.-W. Kwon I. Lager R. Lai J. Lamb P. Lampariello M. Lanagan M. Lancaster U. Langmann G. Lapin T. Larsen J. Larson L. Larson J. Laskar M. Laso A. Lauer J.-J. Laurin G. Lazzi F. Le Pennec J.-F. Lee J.-J. Lee J.-S. Lee K. Lee S.-G. Lee T. Lee K. Leong T.-E. Leong Y.-C. Leong R. Leoni M. Lerouge K.-W. Leung Y. Leviatan R. Levy L.-W. Li

Y.-M. Li L. Ligthart C.-L. Lin J. Lin G. Linde S. Lindenmeier A. Lindner C. Ling H. Ling D. Linkhart P. Linnér D. Lippens F. Little A. Litwin L. Liu Q.-H. Liu S.-I. Liu Y.-W. Liu O. Llopis S. Lloyd C. Lohmann J. Long U. Lott D. Lovelace K. Lu L.-H. Lu S. Lu W.-T. Lu V. Lubecke S. Lucyszyn R. Luebbers L. Lunardi S. Luo J. Luy C. Lyons G. Lyons Z. Ma S. Maas G. Macchiarella S. Maci T. Mader M. Madihian A. Madjar M. Magana T. Magath C. Mahle S. Mahmoud I. Maio M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu D. Malocha L. Maloratsky V. Manasson C. Mann H. Manohara R. Mansour S. March V. Mark F. Marliani R. Marques G. Marrocco S. Marsh J. Martens L. Martens J. Marti A. Martin E. Martinez A. Massa D. Masse K. Masterson A. Materka K. Matsunaga A. Matsushima R. Mattauch M. Mattes G. Matthaei P. Mayer W. Mayer J. Mazierska J. Mazur G. Mazzarella K. McCarthy P. McClay T. McKay J. McKinney R. McMillan R. McMorrow D. McPherson D. McQuiddy E. McShane F. Medina D. Meharry C. Meng H.-K. Meng W. Menzel F. Mesa R. Metaxas P. Mezzanotte K. Michalski E. Michielssen A. Mickelson V. Mikhnev R. Miles E. Miller M. Miller P. Miller R. Minasian J. Mink S. Mirabbasi J. Miranda D. Mirshekar T. Miura S. Miyahara H. Miyashita M. Miyazaki K. Mizuno S. Mizushina C. Mobbs M. Mohamed A. Mohammadian A. Mohan A. Mondal T. Monediere R. Mongia M. Mongiardo C. Monzon C. Moore J. Morente M. Morgan A. Morini J. Morsey A. Mortazawi H. Mosallaei J. Mosig A. Moulthrop G. Mourou A. Moussessian M. Mrozowski J.-E. Mueller T. Mueller J. Muldavin M. Muraguchi V. Nair K. Naishadham T. Nakagawa M. Nakatsugawa

M. Nakhla C. Naldi J. Nallatamby S. Nam T. Namiki G. Narayanan T. Narhi M. Nasir A. Natarajan J. Nath B. Nauwelaers J. Navarro J. Nebus D. Neikirk B. Nelson A. Neto E. Newman H. Newman M. Ney E. Ngoya C. Nguyen T. Nichols K. Niclas E. Niehenke S. Nightingale Y. Nikawa P. Nikitin A. Niknejad N. Nikolova K. Nikoskinen M. Nisenoff T. Nishikawa G. Niu S. Nogi T. Nojima T. Nomoto A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon J. O’Callahan M. Odyneic H. Ogawa K.-I. Ohata T. Ohira H. Okazaki V. Okhmatovski A. Oki M. Okoniewski G. Olbrich A. Oliner S. Oliver J. Olsson F. Olyslager A. Omar B.-L. Ooi A. Orlandi R. Orta S. Ortiz J. Osepchuk J. Ou W. Ou T. Oxley R. Paglione T. Palenius W. Palmer D.-S. Pan S.-K. Pan C. Panasik R. Panock C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park H. Park D. Parker T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino S. Patel P. Pathak A. Pavio J. Pavio T. Pavio J. Pearce W. Pearson J. Pedro B. Pejcinovic S.-T. Peng R. Pengelly J. Pereda L. Perregrini M. Petelin A. Peterson D. Peterson O. Peverini U. Pfeiffer A.-V. Pham J. Phillips L. Pierantoni B. Piernas J. Pierro P. Pieters B. Pillans M. Pirola W. Platte A. Platzker C. Pobanz A. Podell R. Pogorzelski P. Poire R. Pollard G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prather R. Pregla D. Prescott M. Prigent S. Pritchett Y. Prokopenko S. Prosvirnin J. Pulliainen L. Puranen D. Purdy J. Putz Y. Qian T. Quach D. Quak P. Queffelec R. Quere F. Raab V. Radisic L. Raffaelli M. Raffetto C. Railton O. Ramahi S. Raman

J. Randa R. Ranson T. Rappaport J.-P. Raskin P. Ratanadecho J. Rathmell C. Rauscher J. Rautio J. Rayas-Sánchez H. Reader G. Rebeiz B. Redman-White E. Reese R. Reid H.-M. Rein J. Reinert I. Rekanos R. Remis K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle E. Rius J. Rius B. Rizzi V. Rizzoli I. Robertson P. Roblin S. Rockwell A. Roden A. Rodriguez M. Rodwell H. Rogier A. Rong Y. Rong J. Roos D. Root N. Rorsman L. Roselli A. Rosen J. Rosenberg U. Rosenberg F. Rotella E. Rothwell L. Roy J. Roychowdury T. Rozzi J. Rubio R. Ruby A. Rudiakova M. Rudolph A. Ruehli P. Russer D. Rutledge A. Rydberg D. Rytting T. Saad C. Saavedra K. Sabet M. Sachidananda G. Sadowniczak A. Safavi-Naeini A. Safwat M. Sagawa M. Salazar M. Salazar-Palma A. Sanada M. Sanagi A. Sangster W. Sansen K. Sarabandi T. Sarkar C. Sarris P. Saunier S. Savov D. Schaubert I. Scherbatko G. Schettini F. Schettino M. Schetzen B. Schiek M. Schindler M. Schlechtweg E. Schmidhammer L. Schmidt D. Schmitt F. Schmückle F. Schnieder J. Schoukens D. Schreurs G. Schreyer W. Schroeder H. Schumacher J. Schutt-Aine F. Schwering W. Scott F. Sechi A. Seeds J. Sercu R. Settaluri J. Sevic O. Sevimli D. Shaeffer L. Shafai O. Shanaa Z. Shao M. Shapiro A. Sharma V. Shastin P. Shastry R. Shavit T. Shen T. Shibata A. Shibib H. Shigesawa Y.-C. Shih T. Shiozawa M. Shirokov W. Shiroma Y. Shoji N. Shuley M. Shur P. Siegel D. Sievenpiper B. Sigmon A. Sihvola C. Silva M. Silveira M. Silveirinha K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler J. Sitch N. Skou Z. Skvor R. Sloan D. Smith G. Smith P. Smith C. Snowden R. Snyder R. So H. Sobol E. Sobolewski A. Sochava N. Sokal V. Sokolov

M. Solano K. Solbach M. Solomon B.-S. Song M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers T. Sowlati R. Sparks S. Spiegel P. Staecker D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson A. Stelzer J. Stenarson K. Stephan M. Stern C. Stevens S. Stitzer M. Stone B. Strassner P. Stuart M. Stubbs M. Stuchly R. Sturdivant A. Suarez N. Suematsu T. Suetsugu Y. Suh F. Sullivan C. Sun L. Sundstrom S. Sussman-Fort K. Suyama J. Svacina D. Swanson B. Szendrenyi A. Szu W. Tabbara A. Taflove G. Tait Y. Tajima Y. Takayama M. Taki Y. Takimoto S. Talisa K. Tan W.-C. Tang E. Taniguchi R. Tascone J. Taub J. Tauritz D. Teeter F. Teixeira M. Tentzeris S.-A. Teo K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson G. Thoren M. Thumm N. Tilston W. Tinga I. Tittonen G. Tkachenko M.-R. Tofighi T. Tokumitsu K. Tomiyasu P. Tommasino A. Toropainen M. Toupikov I. Toyoda C. Trask S. Tretyakov R. Trew A. Trifiletti C. Trueman P. Truffer A. Truitt C.-M. Tsai R. Tsai J. Tsalamengas L. Tsang H.-Q. Tserng J. Tsui M. Tsuji T. Tsujiguchi R. Tucker J. Tuovinen C.-K. Tzuang T. Ueda K. Uehara S. Ueno J. Uher A. Uhlir T. Ulrich Y. Umeda T. Uwano N. Uzunoglu R. Vahldieck M. Vaidyanathan P. Vainikainen M. Valtonen N. Van der Meijs D. Van der Weide P. Van Genderen E. Van Lil C. Van Niekerk M. Vanden Bossche G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie K. Varian L. Vegni G. Venanzoni I. Vendik S. Verdeyme V. Veremey R. Vernon J. Verspecht L. Verweyen H. Vickes A. Victor L. Vietzorreck A. Viitanen F. Villegas D. Vinayak C. Vittoria S. Vitusevich D. Viveiros E. Viveiros J. Volakis V. Volman J. Vuolevi K. Wagner K. Wakino P. Waldow A.-A. Walid T. Walid

D. Walker V. Walker P. Wallace J. Walsh C. Wan A. Wang B.-Z. Wang C. Wang E. Wang H. Wang J. Wang K.-C. Wang L. Wang T.-H. Wang W. Wang Y. Wang Z. Wang K. Warnick K. Washio T. Watanabe R. Waterhouse R. Waugh D. Webb J. Webb K. Webb R. Webster S. Wedge C.-J. Wei R. Weigel T. Weiland A. Weily S. Weinreb J. Weiss S. Weiss A. Weisshaar C. Weitzel K. Weller T. Weller C.-P. Wen W. Weng M. Wengler S. Wentworth C. Westgate C. Whelan J. Whelehan L. Whicker J. Whitaker P. White S. Whiteley K. Whites W. Wiesbeck G. Wilkins A. Wilkinson D. Williams B. Wilson J. Wiltse P. Winson K. Wong K.-L. Wong T. Wong J. Woo J. Wood G. Woods G. Wrixon B.-L. Wu H. Wu K.-L. Wu R.-B. Wu T. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin H.-Z. Xu S.-J. Xu Y. Xu Q. Xue A. Yakovlev S. Yamamoto C.-H. Yang F. Yang H.-Y. Yang Y. Yang H. Yano H. Yao K. Yashiro S. Ye J. Yeo K. Yeo S.-P. Yeo S.-J. Yi W.-Y. Yin H. Ymeri S. Yngvesson T. Yoneyama C.-K. Yong H.-J. Yoo J.-G. Yook R. York N. Yoshida S. Yoshikado A. Young L. Young G. Yu M. Yu A. Zaghoul K. Zaki J. Zamanillo P. Zampardi J. Zapata J. Zehentner Q.-J. Zhang R. Zhang A. Zhao L. Zhao L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin R. Ziolkowski H. Zirath A. Zolfaghari T. Zwick