IEEE MTT-V053-I04A (2005-04) [53, 4A ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - [email protected] 1
020 - 01284775......Page 3
030 - [email protected] 4
040 - [email protected] 5
050 - [email protected] 16
060 - [email protected] 26
070 - [email protected] 33
080 - [email protected] 40
090 - [email protected] 47
100 - [email protected] 57
110 - [email protected] 65
120 - [email protected] 72
130 - [email protected] 81
140 - [email protected] 90
150 - [email protected] 97
160 - [email protected] 106
170 - [email protected] 114
180 - [email protected] 122
190 - [email protected] 132
200 - [email protected] 144
210 - [email protected] 152
220 - [email protected] 159
230 - [email protected] 167
240 - [email protected] 179
250 - [email protected] 188
260 - [email protected] 194
270 - [email protected] 199
280 - [email protected] 207
290 - [email protected] 217
300 - [email protected] 225
310 - [email protected] 236
320 - [email protected] 243
330 - [email protected] 254
340 - [email protected] 258
350 - [email protected] 268
360 - [email protected] 275
370 - [email protected] 276

Citation preview

APRIL 2004

VOLUME 52

NUMBER 4

IETMAB

(ISSN 0018-9480)

Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Steer

1089

PAPERS

Envelope Transient Analysis of Self-Oscillating Mixers . . . . . . . . . . . . . . . . . . . . . E. de Cos, A. Suárez, and S. Sancho Monolithic Distributed Amplifier With Active Control Schemes for Optimum Gain and Group-Delay Flatness, Bandwidth, and Stability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Jeong and Y. Kwon Dual-Band Bandpass Filters Using Equal-Length Coupled-Serial-Shunted Lines and -Transform Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L.-C. Tsai and C.-W. Hsue Multiharmonic Source–Pull/Load–Pull Active Setup Based on Six-Port Reflectometers: Influence of the Second Harmonic Source Impedance on RF Performances of Power Transistors . . . E. Bergeault, O. Gibrat, S. Bensmida, and B. Huyart An Efficient Integration of GPS and WCDMA Radio Front-Ends . . . . . . . . . . . . . . . . . S. J. Spiegel and I. I. G. Kovacs Joint Optimization of the Power-Added Efficiency and the Error-Vector Measurement of 20-GHz pHEMT Amplifier Through a New Dynamic Bias-Control Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Forestier, P. Bouysse, R. Quere, A. Mallet, J.-M. Nebus, and L. Lapierre Arbitrary Dual-Band Components Using Composite Right/Left-Handed Transmission Lines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .I.-H. Lin, M. DeVincentis, C. Caloz, and T. Itoh Coplanar Quarter-Wavelength Quasi-Elliptic Filters Without Bond-Wire Bridges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Zhou, M. J. Lancaster, and F. Huang Lumped-Element Impedance-Transforming Uniplanar Transitions and Their Antenna Applications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-S. Lin and C. H. Chen Enhanced PML Performance Using Higher Order Approximation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. A. Jamid Millimeter-Wave Tune-All Bandpass Filters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Mercier, J.-C. Orlianges, T. Delage, C. Champeaux, A. Catherinot, D. Cros, and P. Blondy Split-Step TLM (SS TLM)—A New Scheme for Accelerating Electromagnetic-Field Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Le Maguer, A. Peden, D. Bourreau, and M. M. Ney A Mode Transformer Using Fin-Line Array for Spatial Power-Combiner Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Belaid, R. Martínez, and K. Wu A Fast Converging Series Expansion for the 2-D Periodic Green’s Function Based on Perfectly Matched Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Rogier and D. De Zutter Sensitivity Analysis With the FDTD Method on Structured Grids . . . . . . . . .N. K. Nikolova, H. W. Tam, and M. H. Bakr

1090 1101 1111 1118 1125

1132 1142 1150 1157 1166 1175 1182 1191 1199 1207

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Detection, Location, and Imaging of Multiple Scatterers by Means of the Iterative Multiscaling Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .S. Caorsi, M. Donelli, and A. Massa Self-Heating and Trapping Effects on the RF Performance of GaN MESFETs . . . . . . . . .S. S. Islam and A. F. M. Anwar Theory and Experiment of Dual-Mode Microstrip Triangular Patch Resonators and Filters . . . . . . . J.-S. Hong and S. Li Wafer-Level Packaging Technology for High- On-Chip Inductors and Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. J. Carchon, W. De Raedt, and E. Beyne Planar Distributed Structures With Negative Refractive Index . . . . . . . . . . . . . . . . . . . A. Sanada, C. Caloz, and T. Itoh Microwave Noise Modeling for InP–InGaAs HBTs . . . . . . . . . . . . . . . . . . . . . . . J. Gao, X. Li, H. Wang, and G. Boeck 9.3–10.4-GHz-Band Cross-Coupled Complementary Oscillator With Low Phase-Noise Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Jia, J.-G. Ma, K. S. Yeo, and M. A. Do Varactor-Tuned Combline Bandpass Filter Using Step-Impedance Microstrip Lines . . . . . . . . . B.-W. Kim and S.-W. Yun Analysis of Microwave MESFET Power Amplifiers for Digital Wireless Communications Systems. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-C. Huang, H.-T. Pai, and K.-Y. Chen Crosstalk Between Finite Ground Coplanar Waveguides Over Polyimide Layers for 3-D MMICs on Si Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .J. Papapolymerou, G. E. Ponchak, E. Dalton, A. Bacon, and M. M. Tentzeris Signal and Noise Conversions in RF-Modulated Optical Links . . . . . . . . . . . M. R. Salehi, Y. Le Guennec, and B. Cabon General Envelope-Transient Formulation of Phase-Locked Loops Using Three Time Scales . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Sancho, A. Súarez, and J. Chuan Low-Dispersion Algorithms Based on the Higher Order (2,4) FDTD Method . . . . . . . T. T. Zygiridis and T. D. Tsiboukis Modeling Polarization Mode Coupling in Frequency-Selective Surfaces . . . . . . . . . . . . . . J. J. Lynch and J. S. Colburn New Envelope-Currents Method for the Simulation of Weakly Nonlinear Communication Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Crespo-Cadenas and J. Reina-Tosina Characterization of Liquid Crystal Polymer (LCP) Material and Transmission Lines on LCP Substrates From 30 to 110 GHz . . . . . . . . .D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou -Band InGaP–GaAs HBT MMIC VCOs With Balanced and Differential Topologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Baek, S. Ko, J.-G. Kim, D.-W. Kim, and S. Hong Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1217 1229 1237 1244 1252 1264 1273 1279 1284 1292 1302 1310 1321 1328 1339 1343 1353 1360

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE R. J. TREW, President M. P. DE LISO S. M. EL-GHAZALY K. C. GUPTA S. B. COHN T. ITOH

K. C. GUPTA, Vice President M. HARRIS D. HARVEY J. HAUSNER

A. MORTEZAWI, Secretary

L. KATEHI S. KAWASAKI J. S. KENNEY

Honorary Life Members A. A. OLINER K. TOMIYASU T. S. SAAD L. YOUNG

N. KOLIAS D. LOVELACE J. MODELSKI

F. ALI P. ASBECK L. DAVIS H. J. DE LOS SANTOS

V. J. NAIR B. PERLMAN W. SHIROMA

Distinguished Lecturers W. GWAREK W. HEINRICH A. KATZ G. REBEIZ

M. HARRIS, Treasurer R. SORRENTINO R. J. TREW K. VARIAN C. SCHAFFER A. J. SEEDS R. TREW R. WEIGEL

S. WETENKAMP R. WIEGEL Past Presidents F. SCHINDLER (2003) J. T. BARR IV (2002) C. M. JACKSON (2001)

MTT-S Chapter Chairs Albuquerque: G. WOOD Atlanta: J. KEMP Austria: R. WEIGEL Baltimore: B. MCCARTHY Beijing: Y.-R. ZHONG Belarus: S. MALYSHEV Benelux: B. NAUWELAERS Buffalo: M. R. GILLETTE Bulgaria: F. FILIPOV Central Iowa/Cedar Rapids: D. JOHNSON Central New England/Boston: T. COSTAS Central & South Italy: R. TIBERIO Chicago: R. KOLLMAN Cleveland: M. TABIB-AZAR College Station: K. MICHALSKI Columbus: C. C. CHEN Croatia: J. BARTOLIC Czech/Slovakia: Z. RAIDA Dallas: P. WINSON Dayton: A. TERZOULI, JR. Denver: K. BOIS East Ukraine: A. KIRILENKO Egypt: I. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’BRIEN

Foothill: C. ANTONIAK France: O. PICCON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: L. K. MAN Houston: J. WILLIAMS Hungary: T. BERCELI Huntsville: M. BARNES India: K. S. CHARI Israel: A. MADJAR Japan: Z. KYO Kiev: Y. POPLAVKO Kitchener-Waterloo: R. MANSOUR Lithuania: I. NAIDIONOVA Long Island/New York: M. HANCZOR Los Angeles: T. LEE Malaysia: D. GHODGAONKAR Melbourne: R. BOTSFORD Milwaukee: G. HANSON Mohawk Valley: E. P. RATAZZI Montreal: K. WU Moscow: V. A. KALOSHIN Nanjing: W. X. ZHANG New Hampshire: D. RYAN New Jersey: K. DIXIT New Jersey Coast: S. PRASANNA New South Wales: G. TOWN

Nizhny: Y. BELOV North Italy: G. GHIONE North Queensland: J. MAZIERSKA Northern Nevada: B. RAWAT Novosibirsk: V. SHUVALOV Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. ROY Philadelphia: J. LAW Phoenix: B. KIM Poland: M. MROZOWSKI Portugal: J. FREIRE Princeton/Central Jersey: P. PALENA Queensland: M. BIALKOWSKI Republic of Georgia: R. ZARIDZE Rio de Janeiro: J. R. BERGMANN Rochester: F. POLO Saint Louis: D. MACKE Saint Petersburg: M. SITNIKOVA San Diego: U. DHALIWAL San Fernando: S. SWIFT Santa Clara: J. SANFORD Saratov-Penza: M. V. DAVIDOVICH Seattle: T. RASCHKO Seoul: S.-W. YUN Singapore: O. B. LEONG

South Africa: J. JOUBERT South Australia: B. BATES South Brazil: L. KRETLEY Southeastern Michigan: L. M. ANNEBERG Spain: L. DE-HARO Springfield: S. C. REISING Sweden: J. JOHANSSON Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: V. VIVEK Tomsk: D. GOLODIN Toronto: R. ABHARI Tucson: A. KWAN Turkey: L. GUREL Twin Cities: M. J. GAWRONSKI U.K.: A. REZAZADEH Venezuela: A. N. BIANCHI Victoria: A. MITCHELL Vinnitsya: P. MOLCHANOV Virginia Mountain: D. MILLER Washington DC/Northern Virginia: R. SUTTON West Ukraine: M. ANDRIKYCHUK Winnipeg: J. LOVETRI Yugoslavia: A. MARINCIC

Associate Editors

Editor MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

WOLFGANG MENZEL Univ. of Ulm Germany email: [email protected]

ANTTI RAISANEN BUMMAN KIM Helsinki Univ. Pohang Univ. Sci. Technol. of Technol. Korea Finland email: [email protected] email: [email protected]

M. GUPTA, Editor, IEEE Microwave Magazine

DYLAN F. WILLIAMS NIST USA email: [email protected]

R. VAHLDIECK, Editor, IEEE Microwave and Component Letters

RANDY LEHMANN TriQuint Semiconductor USA email: [email protected]

J. LIN, Webmaster

THE INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS, INC. Officers MICHAEL R. LIGHTNER, Vice President, Publication Services and Products ARTHUR W. WINSTON, President MARC T. APTER, Vice President, Regional Activities W. CLEON ANDERSON, President-Elect MOHAMED EL-HAWARY, Secretary JAMES T. CARLO, President, IEEE Standards Association PEDRO A. RAY, Treasurer RALPH W. WYNDRUM, JR., Vice President, Technical Activities MICHAEL S. ADLER, Past President JOHN W. STEADMAN, President, IEEE-USA JAMES M. TIEN, Vice President, Educational Activities HAROLD L. FLESCHER, Director, Division IV — Electromagnetics and Radiation

Executive Staff DANIEL J. SENESE, Executive Director DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration W. THOMAS SUTTLE, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $49.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2004 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2004.827960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1089

Editorial

W

ITH THIS issue, this TRANSACTIONS has achieved an average time from submission to print of 32 weeks. This is the best we have ever achieved. Many thanks go to the team of editors and reviewers who have made this possible. Thanks also to the authors who have been diligent in turning around revisions and putting their manuscripts in final form. Over the last year, we have removed slow or nonresponsive reviewers from this TRANSACTIONS’ Editorial Board and this, coupled with the editors’ almost daily devotion to duty, have contributed significantly. So too has the adoption of an e-mail-based reviewing system. The rapid turn around of this TRANSACTIONS creates an interesting situation. One paper in this TRANSACTIONS was submitted on December 8, 2003, which was the deadline for submission of summaries for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). This paper is being published in this TRANSACTIONS more than two months prior to the conference. This is not unusual, as numerous papers in this TRANSACTIONS took less time to get into print than they would have if their authors took the conference route. Historically, conferences were the fastest way to disseminate results, as journals papers took as long as two years to appear. IEEE journal papers and most IEEE conference papers are now available for download through IEEE Xplore. This calls into question the historical practice of quick dissemination in a conference followed by a more deliberate exposition in a journal paper. The electronic age is rapidly changing the way we disseminate information. Conference publications are now much more significant and accessible than ever. The relationship between conference and journal papers is a subject of considerable discussion among the editors of IEEE journals and will be the major topic of discussion at the Panel of Editors meeting in April 2004. This TRANSACTIONS maintains a web site at http://www.mtt.org/publications/Transactions/transactions.htm where Calls for Papers for special issues and links to author tools are maintained. Current Calls for Papers are as follows:

Digital Object Identifier 10.1109/TMTT.2004.825619

1) Mini-Special Issue on the 2004 IEEE RFIC Symposiums. Deadline for submission of manuscripts: April 10, 2004; scheduled publication date: November 2004. 2) Special Issue of the Transactions on the IEEE MTT-S International Microwave Symposium. Deadline for submission of manuscripts: 21 April 2004; scheduled publication date: December 2004. 3) Special Issue of the Transactions on Multifunctional RF Systems. Deadline for submission of manuscripts: 1 April 2004; scheduled publication Date: February 2005. 4) Mini-Special Issue on the 2004 International Conference on Microwave Radar and Wireless Communications (MiKon). Deadline for submission of manuscripts: 15 April 2004; scheduled publication date: February 2005. 5) Special Issue of the Transactions on Metamaterial Structures, Phenomena and Applications. Deadline for submission of manuscripts: 1 June 2004; scheduled publication date: April 2005. 6) Special Issue of the Transactions on the 34th (2004) European Microwave Conference. Deadline for submission of manuscripts: 15 September 2004; scheduled publication date: July 2005. Upcoming Special Issues whose submission dates have passed are as follows: 1) Mini-Special Issue of the Transactions on Terahertz Electronics. Originally scheduled for publication in February 2004, but delayed. 2) Special Issue of the Transactions on Medical Applications and Biological Effects of RF/Microwaves, scheduled publication date: September 2004. 3) Special Issue of the Transactions on Model Order Reduction Methods for Computer-Aided Design of RF/Microwave and Mixed-Signal ICs and Systems, scheduled publication date: October 2004. MICHAEL STEER, Editor-in-Chief North Carolina State University Department of Electrical and Computer Engineering Raleigh, NC 27695-7911 USA

0018-9480/04$20.00 © 2004 IEEE

1090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Envelope Transient Analysis of Self-Oscillating Mixers Elena de Cos, Almudena Suárez, Senior Member, IEEE, and Sergio Sancho

Abstract—In this paper, the envelope-transient method is applied to the analysis of intermodulation distortion in self-oscillating mixers (SOM). A two-tone Fourier-series expansion of the circuit variables with time-varying harmonic components is used with a new initialization technique of the oscillation to avoid convergence toward unstable forced solutions. The two cases of an autonomous oscillation and a sub-synchronized oscillation are studied and compared. In the sub-synchronized SOM, the ranges of sub-synchronized operation in terms of the sub-synchronization generator power and frequency are determined through harmonic balance. The techniques are applied to a SOM with 5.5-GHz input frequency and 0.5-GHz IF. In the case of an autonomous oscillation, two different values of the quality factor of the load circuit are considered. For sub-synchronized operation, a generator is introduced at approximately one-third the self-oscillation frequency. In order to validate the analysis techniques, the circuit has been experimentally characterized in both autonomous and sub-synchronized operation, obtaining very good agreement with the simulation results. Index Terms—Envelope transient, intermodulation distortion, self-oscillating mixer (SOM), sub-synchronization.

I. INTRODUCTION

I

N A self-oscillating mixer (SOM) [1]–[4], the same nonlinear device gives rise to the oscillation (at the autonomous ) and behaves as a mixer element. Due to this frequency double function, the circuit design is very compact and simplified. The reduced number of components increases reliability and manufacturing yield and less active devices reduce the power consumption. The self-oscillating approach simplifies the circuitry of the entire receiver system [1]. The SOM circuits also have some disadvantages compared to the standard mixers. The self-oscillation frequency depends on the values of the circuit elements and is also influenced by the input-generator signal [1], [4]. To reduce this influence, the quality factor of the circuit load must be increased. SOMs based on MESFETs and high electron-mobility transistors (HEMTs) are usually stabilized through the use of a dielectric resonator [1]. In [2] and [3], a different strategy has been proposed consisting of the sub-synchronization of the self-oscillation with with being a positive inan external source at teger. This enables the direct control of the oscillation frequency through the reference signal and the reduction of phase noise,

Manuscript received May 22, 2003; revised November 3, 2003. This work was supported by the Spanish Comisión Interministerial de Ciencia y Tecnología under Project TIC2002-03748. The authors are with the Communications Engineering Department, University of Cantabria, 39005 Santander, Spain. Digital Object Identifier 10.1109/TMTT.2004.825708

which, close to the carrier, is mainly determined by this signal [2], [3]. The SOMs are also difficult to simulate. The use of harmonic balance (HB) for this simulation is not straightforward [4], [5]. If no sub-synchronization is used, these circuits operate in an autonomous quasi-periodic regime with two fundamental frecorresponds to the quencies. The autonomous fundamental corresponds to the self-oscillation. The second fundamental carrier frequency of the input generator. This autonomous quasiperiodic solution coexists with a generally unstable one, which . In this periis periodic, at the input generator frequency odic solution, the circuit is not actually oscillating. Unless the autonomous quasi-periodic solution is suitably initialized in the Newton–Raphson process, convergence toward the trivial periodic solution will be obtained since the external generator at leads to this solution in a natural manner. In this trivial solution, all the harmonic components with and integers and are equal to zero. In addition to this depends on initialization problem, the oscillation frequency the power and frequency of the RF/IF generator. Thus, it is an unknown of the HB system [4]–[6]. In the case of a sub-synchronized SOM, the oscillation at is sub-synchronized to an external signal at the frequency . In sub-synchronized operation, the oscillation frequency fulfills with being the sub-synchronization order, and co. exists with the frequency delivered by the RF/IF generator and The solution is quasi-periodic at the two fundamentals . Unlike the case of the autonomous SOM, the oscillation frequency is not an unknown of the system. However, problems of convergence toward unstable trivial solutions are also encountered in this regime since the oscillating solution coexists with a generally unstable one forced by the two external generators at and for which the circuit is not actually oscillating. Thus, techniques to prevent undesired convergence are also needed in the case of a sub-synchronized SOM. In [4] and [5], the auxiliary-generator (AG) technique was used for the initialization of the oscillating solution in the autonomous SOM, i.e., in SOM in which the oscillation is not sub-synchronized. The AG technique [5] relies on introduction of an artificial generator at the autonomous fundamental . The presence in the circuit of this artificial generator with a value different from zero enables the initialization of the harmonic comwith in the HB ponents at frequencies convergence process. The AG must, of course, satisfy a nonperturbation condition of the steady state, which provides additional constraints to the HB system. This technique has proven very useful for the SOM analysis in the absence of modulations [6].

0018-9480/04$20.00 © 2004 IEEE

DE COS et al.: ENVELOPE TRANSIENT ANALYSIS OF SOMs

In previous works [4]–[6], the instability phenomena that delimit the operation bands of the autonomous SOM were studied. This was done in terms of the RF/IF generator power and frequency. No similar analysis has been carried out in the case of the sub-synchronized SOM and will be one of the objectives of this paper. We believe that this study can be helpful for the designer since the circuit operates in the desired sub-synchronized regime only for limited intervals of the power and frequency of the two external generators: the sub-synchronization generator and the RF/IF generator. According to the values of these generators, the loss of synchronization and the asynchronous extinction of the oscillation are possible. Up to now, the SOM circuits have been analyzed in the absence of modulation only. However, it will be of great interest to predict the effect of the circuit nonlinearity and self-oscillation on the modulated output signal. As in the case of standard mixers [7], the most efficient technique for this kind of analysis will be the envelope transient [8]–[10]. The efficiency comes from the fact that two different time scales are used: a faster one, at the carrier frequencies, and a slower one, at the modulation. It is then possible to obtain a system of differential equations in the slowly varying harmonic components of the circuit variables, which is integrated at the modulation time rate [7]–[10]. This implies a substantial reduction of the computational effort compared to that of standard time-domain integration at the carrier time rate. For the envelope-transient analysis of a standard frequency mixer [7], a Fourier-series expansion is used in the two fundamental frequencies provided by the RF or IF generator frequency and local oscillator, respectively. The modulated input (at RF or IF) gives rise to time-varying harmonic components in this two-tone Fourier-series expansion. The application of the envelope-transient technique is more involved in the case of the SOM. On the one hand, the risk of convergence to the forced periodic solution, for which the circuit does not actually oscillate, is still present. This is due to the fact that the oscillation frequency changes during the oscillation transient and the a priori selection of the integration time step is demanding. A proper initialization of the autonomous solution is necessary, as in the case of standard HB. On the other hand, in the absence of a sub-synchronization signal, the oscillation frequency will be time varying due to the modulation. In the case of sub-synchronization to an external source, the oscillation frequency will be constant so this possibility seems advantageous. A second objective of this study has been the extension of the envelope-transient method to the simulation of the SOM using special techniques to avoid nonoscillating solutions. This should enable the analysis of intermodulation distortion in these kinds of circuits, which, to our knowledge, has not yet been carried out. The two types of SOMs, i.e., autonomous and sub-synchronized, will be considered. The techniques will be applied to a SOM with 5.5-GHz input frequency and 0.5-GHz IF. No attempt has been made to achieve an optimized design. Instead, the aim has been to show the capabilities of the analysis techniques and give insight into some essential nonlinear phenomena occurring in these systems. In future studies, the techniques will be used for an optimized design of the SOM circuit.

1091

This paper is organized as follows. Section II is devoted to the autonomous SOM and directly addresses the intermodulation analysis since the techniques for the determination of the stable operation ranges of this kind of circuit have already been provided in [4]–[6]. The intermodulation analysis is illustrated by means of its application to the 5.5–0.5-GHz downconverter. Section III is devoted to the sub-synchronized SOM. Initially, no modulation will be considered. The circuit will be analyzed versus three different parameters: the power and frequency of the sub-synchronization generator and the power delivered by the RF/IF input source. The frequency bands with sub-synchronized operation will be determined through an HB technique in terms of these three parameters. The influence of the subsynchronization power on the conversion gain and the 1-dB compression point will also be analyzed. The envelope-transient method will then be applied to the analysis of intermodulation distortion, studying the influence on this distortion of the sub-synchronization signal. The techniques will be illustrated by means of their application to the same 5.5–0.5-GHz downconverter with a sub-synchronization generator at 1.833 GHz. Comparisons with measurements will be carried out in both sections. II. ENVELOPE TRANSIENT ANALYSIS OF AUTONOMOUS SOMs A. Analysis Technique For the application of the envelope-transient technique to the SOM circuit, two fundamental frequencies are considered in the Fourier-series expansion of the circuit variables. One of the fundamentals is the carrier frequency and the second fundamental is the oscillation frequency . In the presence of the RF/IF generator, this frequency will be slightly different from its free-running value . As already mentioned, an initialization of the autonomous quasi-periodic solution is required to avoid convergence toward the forced periodic solution for which the circuit does not actually oscillate. In addition, the self-oscillais an unknown of the system since it depends tion frequency on the input signal. Let a SOM with the modulated input be considered. Due to the modulation, the harmonic components of all the circuit variables will be time varying, which is also true for the self-oscillation fre. In the steady-state regime, the modulation quency will have a double effect on this autonomous frequency. On of its average the one hand, it will give rise to a shift value with respect to the free-running frequency , i.e., . On the other hand, it will give rise to a time variation of the autonomous frequency at the modulation rate. Thus, the autonomous frequency can be expressed as . The technique used here for the initialization of the oscillation relies on the introduction into the circuit of a nonperturbing AG. This AG operates at the unknown autonomous frequency . When choosing a voltage AG, this generator is connected in parallel at a circuit node with an ideal filter centered at in series connection (see Fig. 1). The generator satisfies a nonperturbation condition of the solution given by the zero value of the ratio between the current through the

1092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 1. Block diagram of a SOM circuit with an AG for simulation purposes. Three different components may be distinguished in this simulation tool: the voltage generator at ! , the ideal filter at the same frequency, and the time-varying resistor R . The time-varying resistor is used in the envelope transient simulation to disconnect the AG from the circuit at the simulation time t .

AG at

and the delivered voltage . This is expressed as . More details on this calculation will be provided later. is fulfilled, the AG voltage When the condition agrees with the voltage of autonomous fundamental at that paragrees with the oscillation ticular node. The AG frequency frequency. When combining the AG technique with the envelope-transient method, this will imply solving the nonperturbation equation for each time point, i.e., doing . This is the technique that was used in [9] for the analysis of a free-running oscillator. However, the SOM operates in a quasi-periodic regime requiring a two-tone expansion of the circuit variables. at each time of the envelope can be comSolving putationally expensive. A different method is proposed in the following. In a first step of the technique, the modulation is not taken into account. A sinusoidal input generator at the RF/IF frequency is considered with constant amplitude . This amplitude must be the one providing the same total power as the input signal with the aim of obtaining a similar frequency shift from . For this initial analthe free-running value ysis, in which the modulation is not considered, an AG will be . This frequency, tointroduced at the frequency , will have to be determined gether with the AG amplitude in the solution process imposing the AG nonperturbation condition. Since the modulation is not yet considered, the circuit variables can be expanded in a two-fundamental Fourier series at and with constant coefficients. The AG amplitude is . There is no phase relationship between the oscillation and the input generator so the AG phase may be arbitrarily fixed to . For the circuit solution, the condition zero is imposed to the HB system, which represents two more equa) and two more untions (due to the complex nature of and . As already mentioned, after the knowns given by HB resolution, the voltage agrees with the node voltage at in the absence of modulation, i.e., the harmonic component . The AG frequency agrees with the oscillation frequency. In the case of using a commercial HB simulator, the

software nonlinear optimization tools can be used for the calcuand . The two variables are optimized so as to lation of and . fulfill the optimization goals In a second step of the technique, the modulated input is considered about the same carrier . The circuit variables will be expressed in a frequency Fourier series with time-varying envelopes. The oscillation . In spite of this, frequency will also be time varying the two fundamentals of the Fourier frequency basis will be and with kept constant. These fundamentals will be being the frequency value resulting from the previous HB analysis in which the modulation had not been taken into account. Thus, the following variables are expressed:

(1) with being a particular circuit node. In (1), the effect of the freis included in the time-varying quency difference phase of the harmonic components. Actually, the autonomous , thus, frequency can be expressed as with a constant frequency-basis formulation, the time-varying is part of the envelope at with the same thing phase occurring with the rest of the harmonic components. Once the formal expression (1) has been established for the circuit variables, the circuit is analyzed through the envelopetransient technique. The modified nodal equation [7]–[10] used in most simulators is very convenient for this analysis since no narrow-band assumption is made on the modulated envelopes [10]. The harmonic values resulting from the previous HB analysis are used to initialize the circuit variables. The envelope transient equations are integrated from these initial values. A different possibility is to initialize the solution by means of the AG. This initialization technique can be applied to any commercial simulator in which the envelope transient is available. The AG with the amplitude reoperates at the frequency sulting from the previous HB analysis and phase . The AG is connected to the circuit node for a short time interval and disconnected at . In fact, only the initial time point is necessary since the aim of this generator is just to provide the system after its disconnection from the circuit with initial values in the basin of attraction of the stable self-oscillating solution. Note that compared to the conventional assignation of initial values at particular circuit nodes, the AG enables , a global initialization of all the circuit variables. For the circuit evolves according to its own dynamics with no AG influence. In general terms, if the solution is stable, the circuit will evolve to it after removing the AG. The advantage of this initialization technique, based on the use of the AG, is that it can be applied to any simulator in which the envelope transient is available regardless of the availability or not in the particular simulator of specific analysis tools for autonomous circuits. The AG disconnection from the circuit is in carried out by introducing a time-dependent resistance , as shown in Fig. 1. The resistance series with the filter at is time dependent. It is equal to zero for and equal . A practical value is . to infinite for

DE COS et al.: ENVELOPE TRANSIENT ANALYSIS OF SOMs

1093

Taking the AG into account, the modified nodal equation would be formulated as

(2b) (2b) is the vector containing the time-varying harmonic where is components of the node voltages and branch currents, the vector of charges and fluxes, and is the vector of sums of currents (that enter each node) and branch voltages. The contains the time-varying harmonic components of vector the impulsive responses associated to the distributed elements is a diagonal matrix containing the frequencies of the and two-tone Fourier expansion in appropriate order. Finally, is the vector with the time-varying harmonic components of is the circuit generators in which the RF/IF generator included. Note that the modified nodal equation will agree with the original equation only after the AG disconnection from the , the AG acts as a forcing term that does circuit. For not exist in the original equation. An advantage of the new technique, from the calculation point-of-view is that, because the variables are expressed using a constant frequency basis [see (1)], no derivation is necessary , unlike the free-runin the solution of (2) with respect to ning oscillator analysis of [9]. In contrast with the oscillator analysis of [9], the new technique will not generally be able to simulate the SOM transient. Actually, the AG provides initial conditions that are in the neighborhood of the modulated solution. If the initial conditions are far from this solution, the integration time step has to be reduced to take into account the shift of the oscillation frequency from its steady-state value and the technique may become inefficient. An example of the modulated input signal to be used later for the intermodulation analysis is the one consisting of two with the frequency input tones about the carrier frequency spacing . The periodically modulated frequency can be written with being the different harmonic terms. Particularizing (1) to this two-tone modulation, the following expression is obtained for the circuit state variables:

(3) and integers. As can be seen, the frequency modwith ulation due to the oscillation autonomy gives rise to an additional exponential term that will increase the harmonic content and might expand the modulation bandat width. The influence of the RF/IF generator on the self-oscillation frequency can be expected to decrease with the quality

. This is in close relationship with the smaller senfactor as sitivity to perturbations of the oscillation frequency increases, which was demonstrated by Kurokawa [11]. The relationship between the frequency modulation and quality factor can be seen more clearly considering a total on the AG variables and dependence of the function and the input-generator value at the input frequency , as has been done in [14]. The equation is solved in combination with HB, and this total dependence is possible when the HB system is solved for any variation of the two AG in a two-level procedure, as has been done in variables or [5] and [14]. Assuming small amplitude of the RF/IF generator, can be developed in a first-order Taylor-series the function expansion about the free-running oscillation point given by and . Splitting the resulting complex into real and imaginary parts, it is easily equation seen that the increment of the oscillation frequency with respect to its free-running value can be approached as follows:

(4)

where . The above expression assumes a total derivation with respect to each variable calculated at the free-running oscillation point. The harmonic content of the solution is taken into account in the derivative calcula, which would mean tion. For a higher value of would a higher quality factor, a smaller increment be obtained. The use of the AG and the fact that the frequency basis is kept constant during the simulation facilitates the application of the envelope-transient method to commercial simulators. To show this, a comparison between the performance of the technique on a commercial simulator and on in-house software has been carried out. The cubic-nonlinearity oscillator [12] behaving as a SOM has been used for this comparison. The simplicity of the circuit enables an accurate comparison of simulation results without any discrepancies between the element models. The . The carnonlinear element is MHz and the oscillation frequency rier frequency is is MHz. The modulation signal is composed of two mA and frequency input tones with equal amplitude kHz. spacing The comparison between the simulation results is shown in Fig. 2, where the magnitude of the harmonic component at the IF has been represented. The simulation with in-house software has been carried out by directly providing the envelope transient with the results of the HB analysis as initial value. In the commercial software simulation, the AG is disconnected after the initial time point. As can be gathered from this figure, the steady-state intermodulation spectrum is exactly the same in the

1094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 2. Comparison between the results of a commercial and an in-house simulator. The simulated circuit is the cubic-nonlinearity oscillator of [14] operating as a SOM.

two cases. An example of application to a realistic SOM in autonomous regime is presented in the following. B. Application to a SOM With 0.5-GHz IF The above envelope-transient technique has been applied to a down-converter SOM with 5.5-GHz input frequency and 0.5-GHz IF (Fig. 3). The circuit has been designed and manufactured in hybrid technology in a similar way to [6]. The oscillation start-up condition is fulfilled at the gate port. At the drain port, a filter has been introduced to select the IF (see line at the oscillation frequency. The Fig. 3) together with a transistor is an ATF26884. The MESFET model is a corrected model to ensure the continuity of higher order derivatives and with a [13]. The bias point relatively large oscillation amplitude will enable a good test of the simulation method. In a first design (Fig. 3), the oscillator load has a relatively low . The circuit will be used at a later stage quality factor (Section III-B) in sub-synchronized operation and this quality factor will provide relatively wide synchronization ranges. For dBm and frequency GHz the input-power with no modulation signal, the initial HB optimization provides and GHz with the AG values the conversion loss dB. The dc consumption is mW. For the analysis of intermodulation distortion, two equally dBm centered at spaced tones of power GHz have been used. The frequency interval between the kHz. The fundamental frequencies of the tones is GHz Fourier-series expansion considered in (1) are GHz. The output voltage at the IF and will then correspond to the harmonic component . The AG, with the values resulting form the initial HB analysis, is connected to the circuit only for the initial time point and initializes all the circuit variables. Fig. 4(a) shows the amplitude corresponding to evolution of the harmonic component at the AG node. This figure shows the initial 6 ns of the simulation interval. The effect of the AG disconnection can be

appreciated. From the second time point, the circuit evolves according to its own dynamics. The effect of the modulation is not observable in this short time interval. Fig. 4(b) shows the magnitude of the envelope at the difference frequency in steady state and during a time interval that comprises four periods of the modulation signal. The modulation spectrum around the IF frequency is obtained from the Fourier transform of the corresponding envelope (see Fig. 5). Due to the low quality factor, a relatively broad-band spectrum is obtained, as a result of the modulation at kHz. of the oscillation frequency The previous HB analysis had been carried with the same total RF input power and using the same harmonic orders and tolerances to avoid any possible discrepancy. This has enabled obtaining a spectrum, which, (for this type of modulation) is . The experimental spectrum is nearly centered around superimposed in Fig. 5 with good qualitative agreement. As an additional test, in a second design, the oscillator quality . The reduction of the frefactor has been increased to quency modulation effect is verified in the simulation of Fig. 6 obtained after the introduction of a dielectric resonator in the oscillator load circuit. For illustration, in this case, the tolerances in the HB and envelope transient analysis were slightly different, which leads to a static frequency error of approximately 0.7 MHz. This error gives rise to a slight frequency shift of the spectrum, but does not compromise the accuracy. The circuit is operating in the small-signal regime, which has enabled the caldBc for culation of the carrier–intermodulation ratio dBm. an output power III. HB AND ENVELOPE-TRANSIENT ANALYSIS OF SUB-SYNCHRONIZED SOMs A. Analysis Technique The sub-synchronization of the SOM circuit has been proposed in [2] and [3]. The disadvantage of this arrangement is the requirement of an external sub-synchronization signal. However, in communication systems for which this signal is available, the sub-synchronization technique enables the accurate control of the oscillation frequency and the reduction of phase noise. In a sub-synchronized SOM, a generator at a frequency close with to a subharmonic of the oscillation frequency being the sub-synchronization order, is introduced through appropriate filtering. Thus, the sub-synchronized SOM has two external generators. One of them is the RF/IF generator at the and the other is the sub-synchronization generator frequency at the frequency . There is also an oscillation at the frequency , which, when the sub-synchronization is achieved, becomes . a multiple of the generator frequency , i.e., The power delivered by the sub-synchronization generator will obviously have an influence over SOM characteristics such as the 1-dB gain compression point and intermodulation distortion. Thus, in order to select the optimum operation conditions, the designer should be able to predict this influence at the simulation stage. On the other hand, the sub-synchronized regime will only be possible for some values of the sub-synchronization generator power and frequency. For each power value, there will

DE COS et al.: ENVELOPE TRANSIENT ANALYSIS OF SOMs

Fig. 3.

1095

Schematic of the SOM. The AG is introduced for simulation purposes.

Fig. 5. SOM with autonomous oscillation and low Q value. Output-power spectrum of the envelope about the IF, i.e., about the harmonic component f f , showing the effect of the frequency modulation. The experimental spectrum has been superimposed.

0

Fig. 4. SOM with autonomous oscillation and low Q value when two tones with spacing f kHz are introduced. (a) Initial time evolution of the at the node where the AG is connected. The first 6 voltage magnitude at ! ns have been represented. (b) Amplitude of the complex envelope of the output voltage at the IF f f in steady state during four periods of the modulation signal.

1 = 100 0

be limited frequency bands of sub-synchronized operation. Outis not synchronized to side these bands the self-oscillation at and the two frequencies will generally coexist with the signal delivered by the RF/IF generator. Too high an input power may also extinguish the oscillation. In addition, when the power delivered by the RF/IF generator is not so small, this power may also give rise to a shift of the sub-synchronization frequency

Fig. 6. SOM with autonomous oscillation when using a dielectric resonator in the oscillator load circuit. Output-power spectrum of the envelope around the f . IF, i.e., around the harmonic component f

0

bands. It will be interesting for the designer to know in advance the input generator values for sub-synchronized regime. The determination of the sub-synchronization bands and the study of the influence of the sub-synchronization power on the conversion gain can be generally carried out through an HB

1096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

analysis of the SOM circuit, neglecting the influence of the modulation. For the HB simulation of the sub-synchronized SOM, the frequency basis of the Fourier-series expansion of the circuit and . An AG will be introduced at variables is given by to take the self-oscillation into the frequency account. The AG will now operate at the harmonic component . Note that the oscillating quasi-periodic solution coexists with a nonoscillating one at the same fundamental frequencies and . In this solution, the component at is roughly a harmonic of th order of the sub-synchronization generator. Due to the sub-synchronization to , the oscillation frequency is no longer an unknown of the HB system. Due to the harmonic relationship between the two frequencies, there will be a phase relationship between the AG and sub-synchronization generator. Thus, the AG variables to determine in and phase the initial HB analysis will be the amplitude . On the other hand, since and are incommensurate, is unrelated to the phase of the input RF/IF generator at and that of the that of the sub-synchronization generator at AG. The circuit operates in a quasi-periodic regime at the two and with . fundamentals The operation bands of synchronized circuits are usually delimited by points of infinite slope (also called turning points [5]) of the solution curve versus the input frequency or other parameters. The Jacobian matrix of the HB system becomes singular at these infinite-slope points [5]. Thus, in order to determine the sub-synchronization bands of the SOM circuit, the HB method must be complemented with a continuation technique capable of circumventing the turning points. One efficient continuation technique to trace the circuit solution curve versus a parameter is the parameter switching [5]. When the turning point is approached, the analysis parameter is switched to the variable with the fastest increment. The actual parameter and all the rest of variables are then calculated versus the fast variable, which necessarily gives rise to bounded increments. When tracing the entire solution curve versus the parameter , a comparison between the increments of the variables and is performed at each step of the sweep, taking the variable with the fastest increment as the analysis parameter. As will be shown, the use of an AG for the SOM analysis enables parameter switching [5] between the two AG variables (amplitude and phase) and the actual parameter . This parameter may, for instance, be the sub-synchronization generator frequency or power. When using an AG, a different continuation technique is also possible [14], which can be very efficient in synchronized regimes. The synchronized solution curve can be obtained by sweeping the phase of the AG operating at the harmonic . For each phase value , the AG frequency and frequency or the particular parameter amplitude are calculated in order to fulfill the AG nonperturbation condition . This allows circumventing the turning points versus the parameter since the harmonic components of the HB solution do not usually exhibit turning points versus . The turning points are obtained when the phase variable composing any of these harmonic terms versus the parameter . As will be shown, the phase sweep technique is very well suited for tracing closed synchronization curves. In open syn-

chronization curves, the technique may be difficult to apply since the HB variables might be too sensitive to the phase. A switching parameter algorithm may be used in terms of the AG amplitude, AG phase, and sub-synchronization frequency (or any other parameter). In most applications, the technique reduces to sweeping the AG amplitude or phase in the neighborhood of turning points instead of the sub-synchronization frequency or the particular parameter. Note that one of the main advantages of using the AG is that it enables the application of parameter switching in commercial HB software. Thus, it will be possible to circumvent the turning points when using commercially available tools. , the expresIn the case of a modulated RF/IF input sion (2) will be used in the presence of the sub-synchronization . The two will be introduced in the vector generator and of (2). In contrast with the case of the autonomous SOM, the oscillation frequency is not modulated within the ranges of sub-synchronized operation. Equation (2b) is modified to , which enables the efficient initialization of the oscillating solution. The envelope transient enables the analysis of the sub-synchronized SOM with a modulated input signal. However, this technique can also be applied in the absence of input modulation to obtain the SOM sub-synchronization bands versus or any other parameter. The same fundamental-frequency basis and is used. A sweep in or the particular given by parameter is carried out, representing the magnitude of any harmonic component of any of the circuit variables after the tran(or the particular parameter). In sient has died out versus the parameter intervals for which the circuit solution is synchronized, only one point will be obtained for each parameter value. However, in the intervals for which the solution is not synchronized, the harmonic magnitude will be time varying. The reis no longer fulfilled and the envelope lationship magnitude can show an oscillation at the frequency difference or other more complex time variations. Thus, the magnitude representation will give rise to a distribution of points corresponding to the different time samples of the harmonic magnitude. Compared with the previous HB continuation technique, the application of this method is straightforward. However, it is more demanding from the computational point-of-view. For the envelope-transient technique to be valid, the circuit must be operating in the steady state at each point sweep, which may require a relatively long simulaof the tion interval for each point of the sweep. On the other hand, the HB continuation technique is able to provide multivalued solutions due to its capability to circumvent the turning points. To obtain multivalued solutions with the envelope-transient technique, different sweeps would have to be carried out with different initial values of the AG. B. Application to a SOM With 0.5-GHz IF The technique has been applied to the same circuit of Section II-B with the inclusion of a sub-synchronization generator at a frequency . The circuit contains two external and the generators, i.e., the sub-synchronization generator at . Three different parameters will be considRF generator at

DE COS et al.: ENVELOPE TRANSIENT ANALYSIS OF SOMs

ered in the circuit analysis, i.e., the power and frequency of the sub-synchronization generator and the power of the RF input source. The object of the first HB analysis is the determination of the frequency band of sub-synchronized operation for constant power of the sub-synchronization generator at . This analysis is carried out considering a variable sub-synchronization is kept confrequency , while the RF input frequency stant. Thus, the IF varies along the sub-synchronization band . The sub-synchronization curves according to are determined by sweeping the phase of the AG at in the presence of both the input generator and sub-synchronization generator, and calculating the AG amplitude and the AG . frequency to fulfill the AG nonperturbation condition The three synchronization curves of Fig. 7 have been obtained using the AG technique for constant sub-synchronization power dBm and input frequency GHz and different . The curves show the evolution of the values of RF power . conversion gain versus the IF calculated as Each point of the closed curves is a quasi-periodic solution at and with the sub-synthe two fundamental frequencies . For this analysis, the chronized oscillation fulfilling maximum harmonic order at is nine, while the maximum harmonic order at the lower-amplitude fundamental is three. An interesting fact is that the solution curve, when synchronizing one of the fundamentals of a quasi-periodic regime, is a closed curve versus the synchronization frequency, as in the pevalue, the two points of infinite riodic regime [5]. For each slope (turning points) of the closed curve determine the synchronization interval. The lower section of each closed curve is unstable, as has been verified through the Nyquist stability criterion [5]. As can be seen in Fig. 7(a), for relatively high input power of the input RF generator, this generator has an influence on the sub-synchronization bands. There is a shift of the sub-synchronization band that has also been observed in the experiment. dBm, the IF frequency can be modified in the For 493–506-MHz range through variations in the frequency of the sub-synchronization generator. A similar range is obtained for dBm since the closed solution curves tend to overlap as the input power decreases. The synchronization curve for dBm has the greatest frequency shift and has lower gain conversion values due to saturation effects. Measurements have been superimposed in Fig. 7(a) for the three values of RF input power. The experimental bands are narrower than the simulated ones, which could be due to inaccuracies in the circuit-element models. However, the shift of the frequency band with the RF input power is also appreciated in the measured results. To validate the HB simulations, the envelope transient technique with the same nonmodulated input has been applied. Fig. 7(b) shows the variations of the conversion gain versus for the RF input power the sub-synchronization frequency dBm. In the sub-synchronized regime, only one point is obtained for each value. In the nonsynchronized regime, there is a distribution of vertical points for each value for which the calculated conversion gain has no actual meaning. The synchronized curve agrees with the stable section

1097

Fig. 7. SOM with a sub-synchronization generator at ! = ! =3. (a) Synchronization bands for constant synchronization power P = 3 dBm 3! . and three different values of RF input power versus the IF ! = ! For relatively high input power P , there is a shift of the synchronization bands with this power. Measurement points are superimposed. (b) Envelope transient analysis versus the sub-synchronization frequency ! for the input power P = 14 dBm.

0 0

0

(between the two turning points) of the curve obtained through HB for the same RF input power. Another analysis of interest will be the one providing the variation of the conversion gain versus the sub-synchronization power for constant RF power and frequency. This analysis has been carried out in Fig. 8 for the RF generator values dBm and GHz. Again, each point of the curve is a quasi-periodic solution at the two fundamental and with the sub-synchronized oscillation frequencies . A closed curve has been obtained, isolated fulfilling from the nonoscillating quasi-periodic curve, with the same fun. In this nonoscillating solution damental frequencies and curve, the conversion gain is extremely low. For other operation conditions, the two curves could merge for relatively high sub-synchronization power. As can be seen in Fig. 8, if the frequency of sub-synchronization generator is not exactly a sub-multiple of the free-running oscillation frequency , the synchronization is achieved only from a certain synchronization power , which is necessary . The synchronization for the frequency entrainment

1098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 8. Conversion gain of the sub-synchronized SOM versus the power delivered by the sub-synchronization generator for the 0.5-GHz IF. Measurements are superimposed.

is obtained at the turning point . The application of the Nyquist criterion [5] has shown the stability of the upper section of the curve. Measurements have been superimposed in this figure. The higher limit in input power for sub-synchronized operation dBm in simulation and approximately is approximately dBm in the experiment. The gain conversion values are similar in both cases. Fig. 9 shows the influence of the sub-synchronization power on the conversion gain level and the 1-dB compression point. Three different values of sub-synchronization power have been MHz. The open solution considered for constant IF curves have been obtained through parameter switching. In the . flat section of the curves, the parameter is the input power The parameter is then switched to the AG phase to circumvent the turning point . As can be seen in Fig. 9, for each value, there are two coexisting solutions, which are in good agreement with the closed shape of the synchronization curves of Fig. 7. Only the upper section of the solution curve is stable. Both the stable and unstable solutions merge at the turning point . At this point, the loses synchronization with . This is due oscillation at to the influence of the relatively high power at the nonsyn, which pushes the self-oscillation frechronous frequency quency to higher values and leads the solution out of the synchronized state. As shown in Fig. 9, the conversion gain decreases with the sub-synchronization power. However, the synchronization range, in terms of the RF power, increases with the power of the sub-synchronization source [see Fig. 9(a)]. Experimental points have been superimposed on the solution curve for dBm. For the rest of the curves, only the experimental 1-dB gain-compression point is indicated. The measurements show good qualitative agreement with the simulation curves. Beyond the turning point , the circuit continues to oscillate, but this oscillation is no longer sub-synchronized to the input generator. The envelope-transient technique enables the simulation of the nonsynchronized regime. The magnitude of the envelope of the output voltage at the IF (which would be constant in sub-synchronized regime) has been represented in Fig. 9(b) for the input power dBm and the sub-synchronization

Fig. 9. (a) Conversion gain of the sub-synchronized SOM versus the RF input power for different values of the power delivered by the sub-synchronization generator. Experimental points are superimposed. (b) Envelope magnitude about the harmonic component ! 3! obtained for P = 6:4 dBm and P = 3 dBm.

0

power tion at

0

0

dBm. The envelope shows a periodic oscillaMHz. This corresponds to the frequency shift ( being the oscillation frequency) since the sub-synchronization condition is no longer fulfilled. There is a regime at the three fundamental frequencies , , , which, when using the envelope-transient formulation and and gives rise to peat the two fundamental frequencies riodic envelopes at , as can be appreciated in Fig. 9(b). The three-fundamental regime is often unstable. For relatively high RF input power, a chaotic spectrum is likely to be experimentally observed instead of the three-fundamental solution [15]. The analysis of intermodulation distortion is carried out through the introduction of two equally spaced tones with dBm around the frequency GHz, as diskHz. The cussed in Section II-B, with a separation dBm, which simulation of Fig. 10 corresponds to (see Fig. 8). It is the envelope is slightly above the point of the output signal at the IF frequency, i.e., at the frequency . It shows high intermodulation distortion, component which is probably due to the proximity to the bifurcation point

DE COS et al.: ENVELOPE TRANSIENT ANALYSIS OF SOMs

1099

Fig. 10. SOM with sub-synchronized oscillation. Output-power spectrum around the IF experimental spectrum is superimposed.

f

03

f

for the sub-synchronization power

P

=

05 5 dBm. The :

. The comparison with the experimental spectrum confirms the capability of the technique to predict this complex behavior. As shown in Fig. 11(a) and in agreement with Fig. 8, a slight reduces the conversion loss. The intermoduincrease of lation distortion is also reduced. Measurement points have been superimposed on the simulated spectrum. The calculated dBc for an output carrier–intermodulation ratio is dBm. To show that the circuit is actually power of oscillating, the high magnitude of the gate–voltage envelope , is shown in at the oscillation frequency, i.e., Fig. 11(b). IV. CONCLUSIONS In this paper, the envelope-transient method has been extended to the analysis of SOMs with modulated input signals. A technique in two steps is used for the variable initialization to avoid undesired convergence to unstable periodic solutions. The technique relies on the use of an AG. The two cases of an autonomous oscillation and a sub-synchronized oscillation have been considered. The nonlinear dynamics of the sub-synchronized SOM has been analyzed versus variations in both the sub-synchronization generator and the input-RF generator. The sub-synchronized operation ranges of the SOM have been determined through a continuation technique. The performance, from the intermodulation point-of-view, has been compared with that of the autonomous SOM. ACKNOWLEDGMENT

0

Fig. 11. (a) Simulated spectrum for P = 3 dBm with experimental data superimposed. (b) Magnitude of the envelope at the oscillation frequency of the (t)). AG voltage mag(V

The authors are grateful to E. Malaver and Dr. J. A. Garcia, both of the University of Cantabria, Santander, Spain, for help in the experimental characterization of the circuit and valuable discussions.

1100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

REFERENCES [1] G. C. Wang, T. J. Lin, W. C. Liu, and S. Y. Yang, “A low cost DBS low noise block downconverter with a DR stabilized MESFET self-oscillating mixer,” in IEEE MTT-S Int. Microwave Symp. Dig., 1994, pp. 1447–1450. [2] X. Zhou, A. X. Zhang, and A. S. Daryoush, “A phase-controlled self-oscillating mixer,” in IEEE MTT-S Int. Microwave Symp. Dig., June 1994, pp. 749–752. [3] , “A new approach for a phase-controlled self-oscillating mixer,” IEEE Trans. Microwave Theory Tech., vol. 45, pp. 196–204, Feb. 1997. [4] A. Suárez, “Self-oscillating mixer circuits: Stability analysis,” presented at the IEEE MTT-S Int. Microwave Symp. Workshop, Boston, MA, June 2000. [5] A. Suárez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Norwood, MA: Artech House, 2003. [6] S. Ver Hoeye, L. Zurdo, and A. Suárez, “New nonlinear design tools for self-oscillating mixers,” IEEE Microwave Wireless Comp. Lett., vol. 11, pp. 337–339, Aug. 2001. [7] J. Chen, D. Feng, J. Phillips, and K. Kundert, “Simulation and modeling of intermodulation distortion in communication circuits,” in IEEE Custom Integrated Circuits Conf., 1999, pp. 5–8. [8] H. G. Brachtendorf, G. Welsch, and R. Laur, “A time-frequency algorithm for the simulation of the initial transient response in oscillators,” in IEEE Circuits and Systems Int. Symp., vol. 6, 1998, pp. 236–239. [9] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady-state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microwave Symp. Dig., June 1996, pp. 1365–1368. [10] J. C. Pedro and N. B. Carvalho, “Simulation of RF circuits driven by modulated signals without bandwidth constraints,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 2173–2176. [11] K. Kurokawa, “Some basic characteristics of broadband negative resistance oscillator circuits,” Bell Syst. Tech. J., pp. 1937–1955, July–Aug. 1969. [12] A. Suárez, J. Morales, and R. Quéré, “Synchronization analysis of autonomous microwave circuits using new global-stability analysis tools,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 494–503, May 1998. [13] J. A. García, J. C. Pedro, M. L. De la Fuente, N. B. Carvalho, A. Mediavilla, and A. Tazón, “Resistive FET mixer conversion loss and IMD optimization by selective drain bias,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 2382–2392, Dec. 1999. [14] F. Ramírez, E. De Cos, and A. Suárez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 1752–1762, June 2003. [15] J. M. T. Thompson and H. B. Stewart, Nonlinear Dynamics and Chaos. New York: Wiley, 1986.

Elena de Cos was born in Torrelavega, Cantabria, Spain, in February 1976. She received the Telecommunication Engineering degree from the University of Cantabria, Santander, Spain, in 2002, and is currently working toward the Ph.D. degree at the University of Cantabria. Her research interests are stability and phase-noise analysis of nonlinear circuits such as oscillators, frequency dividers, SOMs, and multiharmonic generators.

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1995, she has been an Associate Professor with the Communications Engineering Department, University of Cantabria. Her areas of interest include the nonlinear design of microwave circuits and the stability and phase-noise analysis of these circuits.

Sergio Sancho was born in Santurce, Spain, in 1973. He received the Physics degree from Basque Country University, Bilbao, Spain, in 1997, and the Ph.D. degree in electronic engineering from the University of Cantabria, Santander, Spain, in 2002. His research interests include the nonlinear analysis of microwave circuits and frequency synthesizers, investigation of chaotic regimes, and phase-noise analysis.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1101

Monolithic Distributed Amplifier With Active Control Schemes for Optimum Gain and Group-Delay Flatness, Bandwidth, and Stability Jinho Jeong, Student Member, IEEE, and Youngwoo Kwon, Senior Member, IEEE

Abstract—In this paper, active control schemes are presented to optimize the performance of the distributed amplifier (DA) subject to the process variation. A detailed analysis of the DA with mismatched termination loads has been performed, which reveals that pronounced gain and group-delay ripple arises at the low-frequency end from the reflected waves in the artificial transmission line. To solve this problem, an active variable resistor is proposed as the gate-line termination load. The gain and stability of the cascode DA has also been analyzed, which identifies the most critical component determining the tradeoff between the gain-bandwidth product (GBP) and the stability to be the gate feedback resistor of common-gate field-effect transistor. It is also replaced with the active resistor to maximize GBP, while avoiding oscillations. A nine-section cascode DA with active control features is designed and fabricated using commercial GaAs pseudomorphic high electron-mobility transistor foundry. The measurement shows that the gain and group-delay ripple can be minimized, and GBP can be maximized without oscillations by the active bias controls. Active control schemes allow the monolithic DAs to be fine tuned after the fabrication and, thus, can be a robust DA design methodology against process variation and inaccurate device models. Index Terms—Cascode field-effect transistor (FET), distributed amplifier (DA), high electron-mobility transistor (HEMT), monolithic microwave integrated circuit (MMIC).

I. INTRODUCTION

D

ISTRIBUTED AMPLIFIERS (DAs) have been effectively used for broad-band amplifications due to the inherent broad-band characteristics of artificial transmission lines [1]–[3]. Its flat gain and excellent phase linearity over broad bandwidth (from kilohertz up to tens of gigahertz) allows low timing jitter and high signal-to-noise ratio in high date-rate fiber-optic communication systems [4]–[10]. Monolithic versions of DAs allow small chip size and uniform performance at low cost. However, slight process variations and inaccurate device models can degrade the performance of the amplifier and, in some cases, make the entire DA oscillate.

Manuscript received June 19, 2003; revised December 3, 2003. This work was supported in part by the Korean Ministry of Science and Technology under the Creative Research Initiative Program. The authors are with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-744, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825659

One common problem of monolithic DAs exposed to process variation appears in the form of the gain and group-delay fluctuations at the low-frequency end [7], [8]. As will be shown later, the mismatch between gate/drain lines and termination loads is responsible for this phenomenon; unexpected variations in process parameters such as the resistance of thin-film resistor (TFR) and internal parameters of transistors can result in the mismatch between the artificial transmission line and termination load. Another form of catastrophic failure is the oscillation of the DA. This is often found in the DAs using cascode gain cells [11], which are commonly used in high-gain/high-frequency DAs since they offer high input–output isolation, high output impedance, and high voltage swing [2]–[10]. Furthermore, they are often used to maximize the gain-bandwidth product (GBP) by inducing the negative resistance [11], [12]. With proper negative resistance loading, the losses in the gate and drain artificial transmission lines can be compensated, resulting in improved gain and extended bandwidth. This also allows one to use a larger number of gain cells without compromising the bandwidth. However, the induced negative resistance of cascode cells is very sensitive to the transistor parameters, as well as other passive components. Therefore, in some cases, overcompensation due to process variations makes DA susceptible to oscillation, while undercompensation results in the pronounced gain rolloff at high frequencies. This paper analyzes the root causes of these problems and presents effective methods of tuning the circuit performance after the chip is fabricated. In Section II, a detailed analysis of DA gain is presented to include the effect of the reflections in the artificial transmission lines to understand the effect of mismatches at the termination loads. The stability and gain of a DA using a cascode field-effect transistor (FET) cell are also analyzed and the critical component determining the stability/GBP tradeoff is identified. The solutions are provided in the form of active resistors. The DA with nine gain cells of cascode FETs with active control features have been designed and fabricated. The measured frequency-domain results, together with the time-domain results, are presented in Section III. It will be demonstrated that the gain and group-delay flatness, as well as the GBP can be controlled and optimized by the active bias control, which makes the DA design robust against process variations and inaccurate device models.

0018-9480/04$20.00 © 2004 IEEE

1102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 1.

Simplified equivalent circuits of DA using FET gain cells. (a) Gate line. (b) Drain line.

II. GAIN AND GROUP-DELAY FLATNESS, BANDWIDTH, AND STABILITY OF DA

output load tion as

(1a)

A. Analysis of Gain and Group Delay of DA A closed-form gain expression of a DA was presented in [13] using the simplified equivalent circuit of a transmission line by absorbing the capacitances of an FET into those of the transmission line. The analysis and systematic design methodology of a DA were presented in [14], which presented tradeoffs among the device size, number of devices, and impedances and cutoff frequency of the lines to obtain the desired frequency response. In both papers, it was assumed that the gate and drain lines were terminated with the matched loads. However, the mismatches in the termination loads cannot be ignored in practical cases, and can often degrade the performance of the DA, as will be shown later. Here, a detailed analysis of gain and group delay of the DA is presented, including the effects of mismatches at the termination loads. Fig. 1(a) and (b) shows the equivalent circuits of the gate and drain lines of a DA using FET gain cells, which are connected using transmission lines with the length of and in the gate and are and drain lines, respectively. In these figures, and represent the input and output capacitance, and the input and output resistance of the gain cell, respectively. The gain from the input to the output of the gain cell is represented . with transconductance No assumption is made in the analysis that the gate- and and are matched to the drain-line termination loads characteristic impedances of each line and , respectively. Thus, the reflective waves may exist on the gate and drain lines and at the termination with the reflection coefficients ends, respectively. For the simplicity of analysis, it is assumed that the characis almost insensitive to FET teristic impedance of drain line parameter variations unlike the case of the gate-line impedance . This assumption is reasonable in practice since drain capacis much smaller than gate capacitance in FETs. itance Under this assumption, the total output current flowing into the

can be expressed after straightforward deriva-

where (1b) (1c) (1d) (1e)

(1f) The propagation constants of gate and drain lines and can be obtained in terms of the equivalent parameters of Fig. 1 [13]. The gain of the DA can then be written as

(2) Equation (2) shows that the gain can be expressed with the represents the forsum of four output current components. ward traveling-wave component assuming no reflections from and are caused by the reflective waves termination loads. and ) between gate/drain lines ( due to mismatches ( and ) and termination loads ( and ), respectively. The , is the multiplied component of the reflective last term, i.e., waves from both and and is, thus, usually smaller than and . From this gain expression, interesting characteristics of the DA can be found, especially at low frequencies.

JEONG AND KWON: MONOLITHIC DA WITH ACTIVE CONTROL SCHEMES

Fig. 2. Calculated performance of a DA according to the variations of the sheet resistance of a TRF by 15%. (a) Gain. (b) Group delay.

6

For intuitive interpretation, we assume that gate and drain lines are lossless, or and , and the electrical lengths of the gate and drain lines are equal, or , which is a common assumption to make the forward traveling waves add in-phase at the output load. With these assumptions, (1b)–(1e) can be simplified as , , , and , respectively. The Bragg frequencies of gate and drain lines at which the characteristic impedances go to zero, indicating no signal transfer, can be written as and , where , , , and are the inductance and capacitance per unit length of the gate and drain lines, respectively [15]. Considering the Bragg frequency, is typically designed to lie between 0 and 2 for the entire passband of the DA. From the property of sinc , which shows maximum values of function, at integer and rapid magnitude reduction as deviates from 0 and , it can be easily seen that and have a larger impact on the gain of the DA at low frequencies than at higher frequencies . This means fluctuates with frequency and can increase or decrease that according to the sign of and at the low-frequency end of the passband. Similar observations can be made for the phase of . The frequency dependence of the phase of deviates from that of

1103

Fig. 3. Calculated performance of a DA according to C (a) Gain. (b) Group delay.

Fig. 4.

variations by

615%.

Conventional configuration of a gain cell using cascode FETs.

, which is linear, as the contributions from and become important. This means that the group delay, which is the derivative of the phase with respect to the frequency, is also expected to fluctuate with the frequency at low frequencies due to the reflections. To estimate the amount of gain and group-delay ripple due to the reflected waves, a simplified analysis was performed on a virtual nine-stage DA that was assumed to be subject to process variations. The gain cell was a cascode FET using 80- m-wide GaAs pseudomorphic high electron-mobility transistors (pHEMTs) with 0.15- m-long gates. The assumed values of small-signal parameters of a common-source FET were mS, gate-to-source as follows: transconductance fF, drain-to-source capacitance capacitance fF, and gate-to-drain capacitance fF. The input and output equivalent parameters ( , , ,

1104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 5. Simulation of cascode FET varying the value of the series feedback resistor R (c) Real part of output admittance.

, and ) of the cascode unit cell shown in Fig. 1 were then calculated. These parameters are actually dependent on the frequencies. However, to simplify the analysis, the low-frequency values calculated using the results of [16] have been used as frequency-independent values for these parameters. This approximation may lead to nonnegligible errors at high frequencies since it does not account for the high-frequency effects of the cascode cell such as negative resistive loading and gain peaking. However, the analysis of the low-frequency ripples is not affected by this assumption. and were asThe gate and drain termination loads sumed to be realized with TFRs. Process variations were simulated by assuming that the sheet resistance of the TFR and the capacitances of the FET were subject to random variation from the design value by up to 15%. Fig. 2(a) and (b) shows the calculated results of the gain and group delay according to , which the variation of the sheet resistance of the TFR varies the termination resistance. As shown in Fig. 2(a), the low-frequency gain was varied by as much as 2.8 dB (18.7 dB and 15.9 dB at ) due to the at reflected waves. However, as the frequency increases, the gain fluctuation was reduced significantly. Above 10 GHz, corre, the gain fluctuation was less than 0.5 dB. sponding to Fig. 2(b) shows a similar fluctuation in the group delay by the variation of the sheet resistance. At the low-frequency end, the group delay changed as much as 6.8 ps ( 14.9%) when was 15%.

from 20 to 80 . (a) S

and S . (b) Real part of input impedance.

variation The simulated effect of transistor capacitance variation results in is shown in Fig. 3(a) and (b). The variation, which changes the characteristic impedance of gate line generating the reflected wave on the gate line. The gain variation shown in Fig. 3(a) shows two distinct characteristics. is increased, First, the gain at high frequencies degrades as which is attributed to the reduction of the cutoff frequency of is smaller the gate artificial transmission line. Second, when than the design value, the gain peaks near 10 GHz and decreases at the low-frequency end. Gain reduction at the low-frequency end is attributed to the increase of , resulting from the reduced . This makes and negative, which decreases the gain at low frequencies, but have a minimal effect above 10 GHz. The variation is, therefore, a further degradation net effect of variation impact of the gain flatness in the passband. The on the group-delay flatness was also simulated and the result is shown in Fig. 3(b). Again, the effect appears clearly at the low-frequency end, where the group delay fluctuates by as much has also been simulated as 2.5 ps ( 5.4%). The effect of using a circuit simulator, showing a much lesser effect than , as predicted earlier. The analysis shows that the gain and group-delay fluctuation at the low-frequency end arises mostly from the reflected waves on the gate and drain artificial transmission lines. This implies that the ripple can be minimized by tuning the gate- and drain-line termination loads to eliminate the reflected waves. and , improving the It reduces the current components

JEONG AND KWON: MONOLITHIC DA WITH ACTIVE CONTROL SCHEMES

1105

Fig. 7.

Schematic of the designed nine-section DA with active control features.

Fig. 8. Photograph of the fabricated DA. The chip size is 3.0 mm

Fig. 6. Simulation of a DA. R

was swept from 20 to 80 . (a) S . (b) S .

gain flatness and phase linearity. As a practical solution applicable to monolithic circuits, variable resistors are proposed as the gate-line termination loads instead of the fixed TFR. The details of the circuit design will be presented in Section III. The effect of the gain and group-delay flatness on the time-domain performance of the DA was also simulated using the circuit simulator by applying the 10-Gb/s pseudorandom bit sequences. When the fluctuation in the gain and group delay was minimized, the best eye performance was obtained in terms , the of the jitter and rise/fall times. In the case of eye amplitude increased, but the jitter and rise/fall times were seriously degraded, showing undershoot. On the other hand, , overshoot and ripple were observed with when the reduced eye amplitude. B. Bandwidth and Stability of DA Using Cascode Gain Cell The bandwidth and number of gain cells are generally limited by the losses in the gate and drain artificial transmission lines. The line losses are mainly due to the inherent resistive compoand nents inside the transistors, which are represented as in Fig. 1. In order to alleviate the resistive loading problems and thereby improve the GBP, the attenuation compensation technique, where the negative resistances are intentionally induced from the gain cell, has often been employed in the design of a DA [11], [12].

2 1.1 mm.

When used as gain cells, cascode FETs offer many advantages, such as high gain and high output impedance, as well as negative resistance loading. However, it can sometimes cause the instability at the high-frequency band edge due to overcompensation. The conventional method to prevent the high-frequency oscillation of the DA using a cascode gain cell has been to add the series feedback resistor at the gate of a common-gate FET (CG FET) [4], [5]. Fig. 4 shows the conventional gain cell consisting of cascode and are shown in Fig. 5(a), as the seFETs. Simulated ries feedback resistance of the CG FET is varied from 20 and are strongly to 80 . It is clear from this figure that , especially at high frequencies. As affected by the value of is increased, the input and output impedances become more and more resistive, which improves stability on one hand, but increases attenuation to the gate and drain lines on the other hand. The GBP is reduced in this way, while the return losses and stability are improved. Alternatively, the smaller value of results in very small or even negative input and output impedances, which can be used to compensate for the losses in the gate and drain artificial transmission lines. This effect can be more easily understood by investigating the real parts of input impedance and output admittance, as shown in Fig. 5(b) and (c). It is worthwhile to note that the real part of the input impedance , while the opporeduces with frequency for small values of . In the case of output admittance, the site happens for large real part decreases to a negative value for small . However, , it increases to a positive value at high frequencies. for large Fig. 6 shows the simulated gain of the DA using the same . As is transistors as the previous section for various

1106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

0

0

Fig. 9. Measured performance of the fabricated DA as a function of V . V was varied from 1.6 to 0.6 V. (a) S . (b) S . (c) S . (d) Group delay. The simulation results are also added to the measurement of S and group delay for comparison. High-frequency effects, which were neglected in Section II, were included in this simulation.

decreased, the 3-dB bandwidth was increased and pronounced positive gain slope was observed with frequency, which results from the enhanced attenuation compensation with frequency [see Fig. 5(b) and (c)]. However, the DA starts to oscillate at becomes smaller than the high-frequency band edge when 35 . Statistical yield simulations were performed to estimate the sensitivity of the circuit performance to the process variations such as TFR sheet resistance and the intrinsic transistor parameters. Gaussian distribution with the standard deviation of 5% was assumed for the TFR resistance value, as well as the tran, , , and . The center sistor parameters such as was 45 , which allowed the return losses better value for than 10 dB, as shown in Fig. 6(b). The yield was simulated to be only 74% and 82% with the yield criteria of return losses better than 3 and 0 dB (no oscillation), respectively. This simulation result shows that a certain amount of margin should be allowed to guarantee stable operation and high in the selection of margin inevitably reduces the gain yield. However, a large bandwidth product. is required. However, Therefore, a careful selection of its optimum value cannot be determined reliably at the monolithic-microwave integrated-circuit (MMIC) design stage due to the inaccurate device model of cascode FETs and inevitable process variation. In this study, in order to solve these practical limitations and to be able to control the GBP after chip fabricawas replaced with a varition, the series feedback resistor

able resistor realized with a transistor biased in the linear region. The detailed circuit design will be presented in Section III. III. MEASUREMENT RESULTS OF DA Fig. 7 shows the schematic of the designed nine-section DA with the active control features discussed in Section II. The gate transmission line was terminated with a combination of an active variable resistor ( : 150- m wide) and passive resistors and ). The flatness of low-frequency gain and group ( delay can, in this way, be controlled by tuning the gate bias of , . The series resistor and parallel resistor were added to make sure that the transistor stays in the linear region during large voltage swing. On the other hand, the drain termination was realized with the conventional TFR, considering increased power-handling requirement of the drain line, as well as lower sensitivity of the drain termination load to the gain flatness compared with that of the gate termination load. For the control of the GBP and stability, the active series feedwas incorporated into the cascode unit cell. back resistor operates as a bias-controlled feedback resistor to the CG . A resistor is placed in parallel with to prevent FET from entering the saturation region at a large voltage swing. and are 80- m wide and is 75- m wide. The open stub was used at the input of the DA to improve the input return loss at the high-frequency end. The drain line had the -derived filter section of the inductive line m to improve

JEONG AND KWON: MONOLITHIC DA WITH ACTIVE CONTROL SCHEMES

1107

0

0

Fig. 10. Measured performance of the fabricated DA as a function of V . V was varied from 1.3 to 1.0 V. (a) S . (b) S . (c) S . (d) Group delay. The simulation results were added to the measurement of S . Unlike the results of Fig. 6, which was based on the passive feedback, the active feedback using transistors and other elements shown in Fig. 7 was used for this simulation.

the bandwidth, as well as to facilitate the line connection to the drain of . However, m can degrade the group-delay flatness at high frequencies because of the sharp rolloff characteristics of an -derived filter at the band edge, which will be shown experimentally. The designed DA was fabricated using a commercial GHz and foundry of 0.15- m GaAs pHEMTs ( GHz). The photograph of the fabricated chip is shown in Fig. 8. The chip size is 3.0 mm 1.1 mm. A. Frequency-Domain Measurement Results Fig. 9 shows the measured controllability of the gain and group-delay flatness by changing . The control voltage , which changed the resistance of the gate-line termination load was varied from 1.6 to 0.6 V. The gate termination resistance was estimated to change from 30 to 58 . The drain was fixed at 1.15 V. The gate bias was set to 3.5 V and and were 0.3 and 1.1 V, respectively, for this biases measurement. The corresponding dc current was 280 mA. As at the expected from the analysis and shown in Fig. 9(a), . For example, low-frequency end was changed according to at the frequency of 0.5 GHz, the gain was changed from 18.7 is increased from 1.6 to 0.6 V. In conto 15.1 dB as . trast, the gain above 5 GHz was virtually unaffected by is due to posiThe low-frequency gain overshoot for low . In the opposite case (high ), the negative results tive

Fig. 11. Eye pattern of the input signal to the device-under-test. X -axis: 20 ps/div. Y -axis: 0.1 V/div.

in the low-frequency gain undershoot. was measured to be better than 11.5 dB across the entire measurement band for all ’s, as shown in Fig. 9(b). Fig. 9(c) shows that the measured was better than 8.5 dB, which was almost independent of . Best gain flatness ( 0.25 dB) at frequencies below 20 GHz V, which also resulted in was achieved by setting performance. the best

1108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

= 01:2 V. (b) V

Fig. 12.

Output eye pattern at the input swing of 0.46 V

(linear region). (a) V

Fig. 13.

Output eye pattern at the input swing of 1.8 V

(saturated region). (a) V

Fig. 9(d) shows the measured and simulated group-delay variation according to . As predicted, the group-delay flatness shows the tendency similar to the gain flatness; reflections from results in a larger termination load for the extreme values of group-delay ripple. For frequencies below 20 GHz, the group V and was reduced delay was between 9.4 ps at V where the best group-delay and to 3.0 ps at gain flatness was achieved. As expected earlier, the group-delay ripple increases at the high-frequency end due to the -derived configuration. This measurement clearly shows the usefulness of an active termination load for improving gain flatness and group-delay ripple. Also shown in Fig. 9(a) and (d) are the simulated gain and group-delay ripple variation for comparison with experimental results. High-frequency effects, which were neglected in Section II, have been included in this simulation. The measured and simulated results were in good agreement over the entire frequency band, except for the shift in the gain; the measured gain was approximately 1 dB lower than the simulated data, which was attributed to the underestimation of the parasitic resistances in the transistors. Bandwidth and stability controllability using the active feedback resistor has also been demonstrated by measuring the -parameters of the DA at various . The measured results , which are shown in Fig. 10(a)–(c). The control voltage changed the series feedback resistance at the gate terminal of the CG FET in the cascode cell, was varied from 1.3 to 1.0 V. The corresponding magnitude of the feedback impedance at high frequencies changed from 32 to 76 . The termination

= 01:2 V. (b) V

= 01:6 V. X -axis: 16.5 ps/div. Y -axis: 1 V/div.

= 01:6 V. X -axis: 16.5 ps/div. Y -axis: 1 V/div.

was fixed at 1.2 V, and the other load control voltage biases were set to the same conditions as the experiment. results are also shown in Fig. 10(a) for comparSimulated ison. Unlike the simulation results of Fig. 6(a), which assumed that the passive feedback was realized with a single resistor , the active feedback including a transistor and other elements shown in Fig. 7 was used in this simulation. Aside from the gain difference explain earlier and high-frequency gain fluctuation under the oscillation conditions, the measured control characteristics were generally in good voltage agreement with simulation. The circuit breaks into oscillation V, where showed the abnormal overshoot at became greater than 0 dB at the high-frequency end, as and shown in Fig. 10(a) and (c), respectively. The 3-dB bandwidth from 37.5 GHz V to was controlled by V . The pronounced positive gain 46.5 GHz is increased above 1.1 V; for exslope was observed as ample, the gain increased from 16.6 dB at 0.5 GHz to 20.1 dB V. The positive gain slope can be at 38.5 GHz for effectively used to compensate for the package losses due to the connectors, bonding wires, and off-chip dc blocking capacitors, which all show enhanced losses as the frequency increases. However, the high-frequency return losses were degraded as is increased above 1.1 V, as shown in Fig. 10(b) and (c). Considering the gain, bandwidth, stability, and return losses, can thus be estimated to be 1.1 V. the optimum Fig. 10(d) shows the measured group-delay performance ac. The group-delay ripple was measured to be cording to 9.7 ps at V and 14.9 ps at V up

JEONG AND KWON: MONOLITHIC DA WITH ACTIVE CONTROL SCHEMES

1109

TABLE I SUMMARY OF CHARACTERISTICS OF THE MEASURED EYE DIAGRAMS

to 3-dB bandwidth, respectively. The large group-delay ripple V arises by the sharp rolloff characteristics of at at the high-frequency band edge; as increases, the 3-dB bandwidth increases, but, at the same time, the rolloff characteristics become sharper, as shown in Fig. 10(a). Since the sharp rolloff of the low-pass filter results in poor group-delay flatness, the DA, whose input and output lines are basically low-pass filters, shows the same characteristics [17]. This means that there is a tradeoff between the group-delay ripple and bandwidth in or the value of the series feedthe choice of the optimum back resistor. B. Time-Domain Measurement Results In order to investigate the controllability of large-signal char, a 10-Gb/s eye diagram acteristics using the control voltage ’s under two different operating rewas measured at various gions, i.e., linear and saturation regions. The on-wafer measurement was conducted using 10-Gb/s pseudorandom bit sequence . nonreturn-to-zero (NRZ) input data with the length of Two 1.5-m-long cables were used for electrical connection between circuit and test equipments, which slightly degraded the input signal to the modulator driver, as can be seen in the eye diagram of Fig. 11. To protect the oscilloscope, the 20-dB attenuator was used at the output of the device-under-test together with the bias tee. ’s Fig. 12 shows the output eye diagrams at two different V when the DA was operated in the linear region; V [see Fig. 12(b)]. The input [see Fig. 12(a)] and . At V, where the best voltage swing was 0.46 gain and group-delay flatness were achieved, the measured eye pattern was clear without overshoot and undershoot. However, as the control voltage is changed to 1.6 V, the jitter was increased (2.5 versus 1.7 ps), and rise/fall times were degraded significantly, as shown in Fig. 12(b). This is attributed to the pronounced gain and group-delay overshoot at the low-frequency end shown in Fig. 9(a) and (d). For the saturated case, where the , a similar observainput voltage swing was increased to 1.8 tion could be made in the eye patterns, as shown in Fig. 13. Howwas less pronounced comever, eye-pattern change with pared with the linear case due to gain saturation. Table I summarizes the characteristics of the measured eye patterns for the ’s. It can be linear and saturation regions at two different clearly seen from this table that the gain and group-delay fluctuation with frequency degrades the time-domain performance

such as jitter and rise and fall times, which can eventually be . restored by tuning IV. CONCLUSION In this paper, two common problems observed in the monolithic DAs subject to process variations have been studied. Gain and group-delay ripples in the low-frequency end have been analyzed, and the reflected waves in the artificial transmission lines have been found to be the main source of the problem. Catastrophic oscillations, often encountered in the high gain-bandwidth DA employing negative-resistance loading using cascode FETs, have also been investigated, and high sensitivity of negative resistances on the circuit parameter has been identified as the major source of the problem. In order to solve these problems, active control components have been incorporated in the monolithic DAs. The termination load in the gate transmission line has been replaced with an active resistor whose resistance can be bias tuned. In this way, the low-frequency ripple problems due to the reflected waves could be minimized even in the presence of the process variations. In the case of high gain-bandwidth DAs using cascode cells, the critical component determining the tradeoff between the GBP and the stability has been identified to be the series feedback resistor at the gate terminal of the CG FET. This resistor has also been replaced with the active resistor so that the GBP can be optimized without oscillations. A monolithic DA using nine identical stages of cascode cells has been designed and fabricated using a commercial pHEMT foundry. The low-frequency ripple control using an active termination load has been demonstrated experimentally. The bandwidth and gain slope have been effectively controlled by tuning the bias of the active feedback resistor. From the eye-pattern measurement, it has also been found that the large-signal characteristics such as the jitter and rise/fall times can also be controlled by the active bias control. The monolithic DA with active control schemes allows the circuit to be fine tuned after the fabrication and, thus, can be a robust DA design methodology against process variations and inaccurate device models. REFERENCES [1] K. Minot, B. Nelson, and W. Jones, “A low noise, phase linear distributed coplanar waveguide amplifier,” IEEE Trans. Microwave Theory Tech., vol. 41, pp. 1650–1653, Sept. 1993.

1110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[2] J. Perdomo, B. Hughes, H. Kondoh, L. Studebaker, G. Zhou, T. Taylor, C. Li, and T. Ma, “A monolithic 1 to 50 GHz distributed amplifier with 20 dBm output power,” in IEEE GaAs Integrated Circuits Dig., 1992, pp. 203–206. [3] B. Agarwal, A. E. Schmitz, J. J. Brown, M. Matloubian, M. G. Case, M. Le, M. Lui, and M. J. W. Rodwell, “112-GHz, 157-GHz, and 180-GHz InP HEMT travelling-wave amplifiers,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 2553–2559, Dec. 1998. [4] M. Leich, M. Ludwig, A. Hulsmann, V. Hurm, F. Steinhagen, A. Thiede, and M. Schlechtweg, “40 Gbit/s high voltage modulator driver in P-HEMT technology,” Electron. Lett., vol. 35, no. 21, pp. 1842–1844, 1999. [5] H. Shigematsu, N. Yoshida, M. Sato, N. Hara, T. Hirose, and Y. output Watanabe, “45-GHz distributed amplifier with a linear 6-V for a 40 Gb/s LinNbO3 modulator driver circuit,” in IEEE GaAs Integrated Circuits Dig., 2001, pp. 137–140. [6] R. S. Virk, E. Camargo, R. Hajji, S. Parker, R. Benelbar, S. Notomi, and H. Ohhishi, “40-GHz MMICs for optical modulator driver applications,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 91–94. [7] C. Yuen, K. Laursen, D. Chu, and K. Mar, “50 GHz high output voltage distributed amplifiers for 40 Gb/s EO modulator driver amplification,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 481–483. [8] M. S. Heins, C. F. Campbell, M.-Y. Kao, M. E. Muir, and J. M. Carroll, “A GaAs MHEMT distributed amplifier with 300-GHz gain-bandwidth product for 40-Gb/s optical applications,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 1061–1064. [9] J. M. Carroll, M. Coutant, M. S. Heins, C. F. Campbell, and E. Reese, “0.25 mm pHEMT 40 Gb/s E/O modulator drivers,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 489–492. [10] W. Mouzannar, F. Jorge, S. Yuye, E. Dutisseuil, and R. Lefevre, “40 Gbit/s high performances GaAs pHEMT high voltage modulator driver for long haul optical fiber communications,” in IEEE GaAs Integrated Circuits Dig., 2002, pp. 163–166. [11] K. W. Kobayashi, R. Esfandiari, and A. K. Oki, “A novel HBT distributed amplifier design topology based on attenuation compensation techniques,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 2583–2589, Dec. 1994. [12] S. Deibele and J. B. Beyer, “Attenuation compensation in distributed amplifier design,” IEEE Trans. Microwave Theory Tech., vol. 37, pp. 1425–1433, Sept. 1989. [13] Y. Ayasli, R. L. Mozzi, J. L. Vorhaus, L. D. Reynolds, and R. A. Pucel, “A monolithic GaAs 1–13-GHz traveling-wave amplifier,” IEEE Trans. Microwave Theory Tech., vol. MTT-30, pp. 976–981, July 1982. [14] J. B. Beyer, S. N. Prasad, R. C. Becker, J. E. Nordman, and G. K. Hohenwarter, “MESFET distributed amplifier design guidelines,” IEEE Trans. Microwave Theory Tech., vol. MTT-32, pp. 268–275, Mar. 1984.

[15] M. J. W. Rodwell, S. T. Allen, R. Y. Yu, M. G. Case, U. Bhattacharya, M. Reddy, E. Carman, M. Kamegawa, Y. Konishi, J. Pusl, and R. Pullela, “Active and nonlinear wave propagation devices in ultrafast electronics and optoelectronics,” Proc. IEEE, vol. 82, pp. 1037–1059, July 1994. [16] M. Schlechtweg, W. H. Haydl, A. Bangert, J. Braunstein, P. J. Tasker, L. Verweyen, H. Massler, W. Bronner, A. Hulsmann, and K. Kohler, “Coplanar millimeter-wave ICs for -band applications using 0.15 mm pseudomorphic MODFET’s,” IEEE J. Solid-State Circuits, vol. 31, pp. 1426–1434, Oct. 1996. [17] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

W

Jinho Jeong (S’00) was born in Jinju, Korea, in 1973. He received the B.S. and M.S. degrees in electrical engineering from the Seoul National University, Seoul, Korea, in 1997 and 1999, respectively, and is currently working toward the Ph.D. degree at the Seoul National University. His research interests include millimeter-wave power combining, large-signal modeling of microwave transistors, and MMIC/opto-electronic integrated-circuit (OEIC) design.

Youngwoo Kwon (S’90–M’94–SM’04) was born in Seoul, Korea, in 1965. He received the B.S. degree in electronics engineering from the Seoul National University, Seoul, Korea, in 1988, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1990 and 1994, respectively. From 1994 to 1996, he was with the Rockwell Science Center, where he was involved in the development of various millimeter-wave monolithic integrated circuits based on HEMTs and HBTs. In 1996, he joined the faculty of School of Electrical Engineering, Seoul National University. His current research activities include the design of MMICs for mobile communication and millimeter-wave systems, large-signal modeling of microwave transistors, application of micromachining techniques to millimeter-wave systems, nonlinear noise analysis of MMICs, and millimeter-wave power combining.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1111

Dual-Band Bandpass Filters Using Equal-Length Coupled-Serial-Shunted Lines and Z -Transform Technique Lin-Chuan Tsai and Ching-Wen Hsue, Senior Member, IEEE

Abstract—A synthesizing method is presented to design and implement digital dual-band filters in the microwave frequency range. A dual-band filter consists of a bandstop filter and a wide-band bandpass filter in a cascade connection, wherein the transfer functions of both the bandpass filter and bandstop domain. The bandstop filter is filter are expressed in the implemented by using a coupled-serial-shunted line structure, while the wide-band bandpass filter is constructed by using a serial-shunted line configuration. In particular, the bandwidth of each passband of the dual-band filter is controllable by adjusting the characteristics of both the bandpass filter and bandstop filter. By neglecting the dispersion effect between microstrip lines of different widths over a wide bandwidth, a dual-band filter is realized in the form of microstrip lines and its frequency responses are measured to validate this method. Index Terms—Bandstop filter, dual-band filter, microstrip lines, wide-band bandpass filter, domain.

I. INTRODUCTION

A

MICROWAVE system consists of a number of components including filters [1]–[11], amplifiers, and others. Filters are two-port networks capable of allowing transmission of signals over the passband and rejecting all signals over the stopband. The motivation of this paper is to address the characteristics of parallel coupled lines, serial lines, and shunt stubs in the domain and elaborate their applications to possible filter configurations. In particular, the filters are designed by using both -transform prototypes and a synthesis method to determine the usefulness of the new method. Recent research on microwave filters is very active because of the continuous demands of high-performance circuits from modern communication and electronic systems [1]–[6]. Roy et al. [1] used continuously varying transmission lines to design both bandpass and low-pass filters. Xiao et al. [2] presented an effective method to design nonuniformly coupled-line filters that can be used to realize arbitrary nonuiform transmission-line filters. Other methods [3]–[7] to design, synthesize, and implement microwave filters are invented succeedingly. Most of the work thus far has focused mainly on the filters for a single frequency band, and the filters of multiple frequency bands Manuscript received June 11, 2003; revised October 27, 2003. This work was supported by the National Science Council, R.O.C., under Grant NSC91-2213EO11-075. The authors are with the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan 106, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825680

have been largely ignored. In recent years, dual-band filters have become important components for wireless communication products at microwave frequencies. For example, global systems for mobile communications (GSMs) operate at both 900 and 1800 MHz. IEEE 802.11b and IEEE 802.11g wireless local area network (LAN) products operate in the unlicensed industrial–scientific–medical (ISM) 2.4-GHz band. In particular, IEEE 802.11a products operate in the ISM 5.2-GHz band. In this paper, we present a new configuration of a dual-band filter that covers these two ISM bands. The dual-band filter consists of a bandstop filter and a wide-band bandpass filter in a cascade connection. The bandstop filter is implemented by using an equal-length coupled-serial-shunted line configuration and the wide-band bandpass filter is implemented by using an equal-length serial-shunted line configuration. It is pertinent to point out that a double diplexing configuration [11] may be used as a dual-band filter. The major difference between these two structures is that a double diplexing configuration divides the signal into two paths, while the dual-band filter considered here employs only one signal path. To design either a bandpass or bandstop filter, we first choose an ideal discrete-time filter that satisfies the respective specification. Based on zero locations of the ideal digital filter, we configure the transmission-line network consisting of parallel-coupled lines (PCLs), unit elements, and shunt stubs so that the transfer function of the transmission-line network can emulate the ideal digital filter. For example, a low-pass PCL contributes , a short (open) stub contributes to a zero at to a zero at ( ) and a two-section open-circuited stub yields a pair of conjugate zeros locating on the unit circle in the -plane [4]. Both the system function of an ideal filter and the transfer function of the transmission-line network are transformed into two autoregressive (AR) processes after the zeros of the transfer function of transmission-line network are moved to the denominator of the system function of the ideal filter by dividing both transfer function and system function with the terms producing the zeros of transfer function of transmission-line network. Finally, we obtain the characteristic impedances of all transmission lines by comparing with the coefficients of these two AR processes so that the transmission-line network can emulate the ideal digital filter in the sense of minimum square error (MSE). A bandstop, bandpass, and dual-band filter are implemented in microstrip formats. The close agreement between theoretical values and experimental results illustrates the validity of this method.

0018-9480/04$20.00 © 2004 IEEE

1112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

II.

-DOMAIN REPRESENTATION OF A DUAL-BAND BANDPASS FILTER

A discrete-time filter can be obtained from the literature [12] or it can be obtained by a conversion from analog prototypes. For example, if the complex variables in the frequency domain is replaced with a function of the complex variable by using bilinear transformation, an analog prototype will become discrete-time prototype. Fig. 1(a) and (b) shows a wide-band and a bandstop filter , respectively, bandpass filter wherein the bandwidth of the bandpass filter is larger than that of the bandstop filter. For simplicity, both the passband of the bandpass filter and the stopband of the bandstop filter are centered at 1. The horizontal scales in Fig. 1(a) and (b) are normalized with respect to their respective normalizing frequencies. A can be obtained as the multiplidual-band bandpass filter cation of and , i.e., (a)

(1) and its magnitude response is shown in Fig. 1(c). We assume that the central frequencies of two frequency and , respecbands of a dual-band bandpass filter are tively, and . If two filters and have the same normalizing frequency , we set the following: (2a) (2b) with being the frequency factor that specifies the location of with respect to . Note that and represent the central frequencies of the lower and upper bands of a dual-band filter, respectively, in the normalized discrete-time signal proGHz and cessing domain. For example, if we set GHz, we get and GHz. With and as the normalized central frequencies of two frequency bands, a dual-band filter can be implemented by a cascade connection of a wide-band bandpass filter and a bandstop and do not have the same normalfilter. Note that if izing frequency, (2a) and (2b) will not hold and the lower and upper bands of a dual-band filter is not symmetric with respect to the normalized frequency 1, as shown in Fig. 1(c).

(b)

III. FORMULATIONS OF CHAIN SCATTERING PARAMETERS OF SIGNAL LINES A. Coupled Line and A two-port network is shown in Fig. 2, where are the incident and reflected waves at port 1, respectively, and and are the incident and reflected waves at port 2, respectively. These waves are interrelated through the chain scat, of a two-port network as tering parameters, follows: (c)

(3) A four-port PCL is shown in Fig. 3, where is the physical ) is the terminal current at the respeclength and ( tive port. In addition, the upper and lower lines in Fig. 3 have the same electrical characteristics. The coupled line in Fig. 3 can be decomposed into even- and odd-mode excitation config-

Fig. 1. (a) Wide-band bandpass filter (c) Dual-band bandpass filter ( ).

Iz

G(z).

(b) Bandstop filter

H (z ) .

urations [13], [14]. The overall circuit performance is the summation of the circuit responses due to both even- and odd-mode excitations.

TSAI AND HSUE: DUAL-BAND BANDPASS FILTERS USING EQUAL-LENGTH COUPLED-SERIAL-SHUNTED LINES AND

-TRANSFORM TECHNIQUE

1113

TABLE I BASIC TRANSMISSION-LINE ELEMENTS CHAIN-SCATTERING-PARAMETER MATRICES

Fig. 2.

Two-port device.

Fig. 3.

One section of parallel coupled lines.

Fig. 4. Fundamental circuit of a low-pass PCL.

The impedance parameters of a coupled line in the discrete-time domain is as follows: (4a)

where

(4b) (4c) (4d) , , and with . Notice that is the characteristic impedance of even-mode (odd-mode) excitation, is the propagation constant, and is the physical length. To implement a bandstop filter with a coupled line, we use a low-pass PCL. Fig. 4 shows a short circuit at port 2 and an open and circuit at port 3. Under such a circumstance, we set . The four-port parallel coupled lines now can be treated as a two–port network, where port 1 is the input terminal and port 4 is the output terminal. Under such a circumstance, we obtain the chain-scattering parameter matrix (or matrix) of a two-port network [14], [15] as follows: where

Low-pass

(5)

and is the reference characteristic impedance, which is 50 unless mentioned otherwise. B. Unit and Shunted Lines Table I shows chain-scattering-parameter matrices of the open-stub, short-stub, unit-line, and two-section open-circuited shunt component in the domain [3], [4]. An open stub and a and , respectively. short stub contribute to zeros at A two-section open-circuited shunt component contributes to two zeros locating on the unit circle in the -plane, which are . Although a unit element determined by the roots of , this zero is not realizable. This contributes to a zero at is due to the fact that represents the time delay and its absolute value is one for all frequencies.

1114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

A close examination of both (5) and Table I indicates that a low-pass PCL may also be replaced by an open stub and a unit line. It is pertinent to point out that the stopband attenuation rate of the former structure is larger than that of the latter configuration. C. Cascade Connection of Signal Lines The overall chain-scattering parameter matrix of a cascade connection of parallel coupled lines, open stubs, short stubs, two-section open-circuited stubs, and unit transmission lines is the sequential multiplication of the chain scattering matrix of each component, i.e., (6)

where is the number of the components, and , , , and are the matrix elements representing the th element. If a network consists of two-section open-circuited stubs, unit transmission-line sections, short stubs, open stubs, of the and low-pass PCLs, the matrix element overall network is as shown in (7), at the bottom of this page, are real and are determined by the characteristic where all impedances of all unit, shunted, and coupled components. When the output port of the cascade network is prop. The transfer function erly terminated, we have of such a network becomes (8), shown at the bottom represents time delay, and of this page, where is a function of the characteristic impedances of all unit, shunted, and coupled components has zeros at , involved. Equation (8) reveals that short stubs. also has which are contributed by zeros at , which are contributed by both open low-pass PCLs. In addition, has multiple stubs and plane, which conjugate-pair zeros on the unit circle in the are due to two-section open-circuited shunt components. To implement a filter with transmission lines, the electrical length of each transmission-line section is set to 90 at the nor, with representing malizing frequency [11]. We have

the physical length of each transmission-line section and resenting the wavelength at the normalizing frequency.

rep-

IV. SYNTHESIS OF A DUAL-BAND FILTER As stated previously, a dual-band filter is obtained by using a cascade connection of a wide-band bandpass filter and bandstop filter. We assume that either the bandpass filter or bandstop . The work for filter is represented by an ideal digital filter design and implementation of a filter with system function is to determine the configuration of a transmission-line network . The configuration can that emulates the system function be determined by the locations of zeros. For example, for a bandpass filter prototype, we use short-circuited stubs or two-section open-circuited stubs [3], [4]; and for a bandstop filter, we use a low-pass PCL, as shown in Fig. 4. The formulation of transfer can then be defunction of the transmission-line network termined by the number of stubs, PCLs, and unit transmission lines. and by the numerator of . We divide both and a function As a result, we obtain an AR process derived from . If both and have the same is an AR process . If this is not the case, zeros, an equivalent AR process of can be obtained by using parametric modeling technique [12]. The AR process is denoted as follows: (9)

where , , , , and represent the numbers of various transmission-line elements, as shown in (7) and (8). The final step is to adjust the values of characteristic impedances of all in transmission lines with optimization algorithms so that (8) and in (9) are as close as possible in the sense of MSE. and In other words, if the coefficient difference between is small enough, it ensures that the frequency response of can trace that of . Note that the term in (8) is neglected for the consideration of magnitude response. The main advantage of employing such a method is that it expresses the individual chain scattering parameters or overall

(7)

(8)

TSAI AND HSUE: DUAL-BAND BANDPASS FILTERS USING EQUAL-LENGTH COUPLED-SERIAL-SHUNTED LINES AND

-TRANSFORM TECHNIQUE

1115

Fig. 5. Configuration of Chebyshev type-II bandpass filter.

transfer function of transmission lines as a rational function of . The system function of a digital prototype filter in the doso that main is also expressed as a rational function of can be set very close to by merely adjusting the characteristic impedances of transmission lines. To simplify the design process, we treat the wide-band bandpass filter and the bandstop filter separately. A. Chebyshev Type-II Bandpass Filter

Fig. 6. Magnitude responses of F (z ) and T (z ) for the Chebyshev type-II bandpass filter with central frequency at 3.8 GHz.

The central frequency of the filter is 3.8 GHz with 40-dB ripple in the stopband. A discrete-time Chebyshev type-II bandpass filter prototype is given as [12]

(10) Fig. 7. Fabricated Chebyshev type-II bandpass filter.

where

and

The prototype filter shown in (10) is a high-pass filter in the digital signal processing (DSP) study. However, when we on the frequency axis, unfold the magnitude function is a periodic function with a period of . The filter is a bandpass filter with its central frequency prototype , which are , equal to . There exists five zeros in , . For such a case, we may select at least one short stub, two two-section open-circuited stubs, as well as unit lines as the basic network. Fig. 5 shows the pattern of the network used to synthesize the bandpass filter , , and . There are in (10), of which two two-section open-circuited stubs; one is composed of and and the other is composed of and . Both large and small values of characteristic impedances are difficult to implement because the width of the microstrip line is either very small or very large. Therefore, we set the values of the characteristic impedances of all microstrip lines to be from 116 to 15 . The optimization algorithm gives the characteristic impedances of short stubs from the left- to right-hand side in Fig. 5 as follows: (91.21, 62.87, 43.59, 33.38 ). The characteristic impedances of unit lines are (54.69, 59.57, 53.79, 63.23,

56.36, 63.55, 116, 106.94, 62.32 ). In addition, the characteristic impedances of two two-section open-circuited stubs are , , , and . Fig. 6 shows the frequency responses of both and . Fig. 7 shows the photograph of a Chebyshev type-II bandpass filter, which is built on the Duroid substrate having a thickness of 25 mil (0.63 mm) and a relative dielectric constant of 6.15. The total length of the filter excluding reference lines on both sizes is 86.3 mm. Note that each signal line has the electric length of 90 at 3.8 GHz. Fig. 8 shows both measured results and ideal values of the bandpass filter, of which is the transmission coefficient and is the reflection coefficient. The bandwidth of the passband is approximately 100%. in the stopband deviates The ripple behavior of measured slightly from the ideal value of . Nevertheless, the measured results are still in good agreement with the theoretical values. B. Butterworth Bandstop Filter A Butterworth bandstop filter is discussed here. The central frequency of the filter is set to 3.8 GHz. A discrete-time Butterworth filter prototype is given as follows [12]:

(11)

where , and

1116

Fig. 8. Measured S , S in Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

and ideal S , S

for the bandpass filter shown

. The prototype has four zeros at , which be realized by employing at least one PCL and , , and three open shunt stubs. We have in (7). Both the PCL and open stub are suitable for a bandstop filter. A PCL has a larger attenuation rate in the stopband than an open-shunt stub. For this consideration, one PCL and three open stubs match the prototype filter of (11) well. Of course, three PCLs and one stub may also serve as the circuit elements for a bandstop filter. However, such a selection will lead to a narrow-band bandstop filter. We minimize the value of by adjusting characteristic impedances of unit lines, open stubs, and the even- and odd-mode characteristic impedances of the PCL. The optimization algorithm gives the even- and odd-mode characteristic impedances of the PCL as (76.54 and 39.67 ). For given even- and odd-mode characteristic impedances, we get the linewidth and gap size of the PCL by using the HP LineCalc tool [16]. Note that the linewidth and gap size can also be obtained by using analytical formulations [10]. The characteristic impedances of open stubs are (102.93, 61.99, 100.47 ). The characteristic impedances of unit lines are (67.54, 76.39, 52.16 ). Fig. 9 shows the frequency responses of both and . In order to implement a practical circuit, the physical lengths of the PCL, shunt stubs, and unit lines have been modified to count for the fringing capacitance and discontinuity effects [14]. Fig. 10 shows the photograph of a Butterworth bandstop filter, which is built on the same Duroid substrate as that mentioned previously. The total length of the filter excluding reference lines on both sides of the circuit is 38.2 mm. Fig. 11 shows the measured results, as well as the ideal values. As shown in this is in good agreement with the ideal figure, the measured .

C. Dual-Band Filter We assume that scattering matrix of a bandpass filter is and the scattering matrix of a bandstop filter is . The transfer

Fig. 9. Magnitude responses of F (z ) and T (z ) for the Butterworth bandstop filter with a central frequency at 3.8 GHz.

Fig. 10.

Fabricated Butterworth bandstop filter.

Fig. 11. Measured S , S in Fig. 10.

and ideal S , S

for the bandstop filter shown

function of a cascade connection of a bandpass and bandstop filter is as follows: (12) , we get For a small value of . As shown in Fig. 8, is less than 20 dB in the passband. Therefore, the condition can be well met. As a result, a dual-band filter can be obtained by a cascade connection of a wide-band

TSAI AND HSUE: DUAL-BAND BANDPASS FILTERS USING EQUAL-LENGTH COUPLED-SERIAL-SHUNTED LINES AND

-TRANSFORM TECHNIQUE

1117

[2] G. Xiao, K. Yashiro, N. Guan, and S. Ohkawa, “An effective method for designing nonuniformly coupled transmission-line filters,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1027–1031, June 2001. [3] D.-C. Chang and C.-W. Hsue, “Design and implementation of filters domain,” IEEE Trans. Microwave using transfer functions in the Theory Tech., vol. 49, pp. 979–985, May 2001. , “Wide-band equal-ripple filters in nonuniform transmission [4] lines,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 1114–1119, Apr. 2002. [5] L. B. Minakova and L. A. Rud, “Waveguide bandstop filters based on partially filled -plane stubs,” presented at the 14th Int. Microwaves, Radar, and Wireless Communications Conf., vol. 1, 2002. [6] J.-S. Park, J.-S. Yun, and D. Ahn, “A design of the novel coupled-line bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 2037–2043, Sept. 2002. [7] A. B. Dalby, “From microwave filter to digital filter and back again,” in Proc 19th Eur. Microwave Conf., London, U.K., 1989, pp. 892–897. [8] C.-M. Tsai, S.-Y. Lee, and H.-M. Lee, “Transmission-line filters with capacitively loaded coupled lines,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 1517–1524, May 2003. [9] S. B. Cohn, “Parallel transmission-line-resonator filters,” IRE Trans. Microwave Theory Tech., vol. MTT-6, pp. 223–231, Apr. 1958. [10] S. Akhtarzad, T. R. Rowbotham, and P. B. Johns, “The design of coupled microstrip lines,” IEEE Trans. Microwave Theory Tech., vol. MTT-23, pp. 486–492, June 1975. [11] H.-W. Yao, A. E. Abdelmonem, J.-F. Liang, X.-P. Liang, K. A. Zaki, and A. Martin, “Wide-band waveguide and ridge waveguide T-junctions for diplexer applications,” IEEE Trans. Microwave Theory Tech., vol. 41, pp. 2166–2173, Dec. 1993. [12] A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Processing. Englewood Cliffs, NJ: Prentice-Hall, 1998. [13] R. S. Elliott, An Introduction to Guided Waves and Microwave Circuits. Englewood Cliffs, NJ: Prentice-Hall, 1993. [14] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [15] R. E. Collin, Foundation for Microwave Engineer. New York: McGraw-Hall, 1993. [16] D. Turner, R. Wilhelm, and W. Lemberg, Line Calc. Palo Alto, CA: Agilent Technol., 2000.

Z

Fig. 12.

Fabricated dual-band bandpass filter.

Fig. 13. Measured in Fig. 12.

S ,S

and ideal

S ,S

H

of the dual-band filter shown

bandpass filter and a bandstop filter and its transfer function and . can be approximated as the multiplication of is not met, and a bandpass Of course, if filter and bandstop filter are cascaded, the overall filter should be re-optimized as a unit. Fig. 12 shows the photograph of a dual-band filter, which is a cascade connection of the wide-band bandpass filter and a bandstop filter. The total length of the filter excluding 50- reference lines on both sides is 124.5 mm. Fig. 13 shows the measured results, as well as the ideal values of the dual-band filter. The bandwidth of both passbands is approximately 1 GHz. Note that, if the stopband of the bandstop filter is moved away from 3.8 GHz, we may obtain two passbands having different bandwidths. The bandwidth of each passband is controllable by changing both the bandwidth of the bandpass filter and the central frequency of the bandstop filter. V. CONCLUSION A dual-band bandpass filter has been developed by using a cascade connection of a wide-band bandpass filter and a bandstop filter. In particular, the -domain representations of transmission-line elements facilitated the applications of DSP techniques to filter design in the microwave frequency. The close agreement between theoretical values and experimental results has illustrated the validity of this new method. REFERENCES [1] M. L. Roy, A. Perennec, S. Toutain, and L. C. Calvez, “The continuously varying transmission-line technique—Application to filter design,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 1680–1687, Sept. 1999.

Lin-Chuan Tsai was born in Taipei, Taiwan, R.O.C., in 1968. He received the M.S. degree in electronic engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 1998, and is currently working toward the Ph.D. degree in electronic engineering at the National Taiwan University of Science and Technology. He is currently a Project Engineer with the Mobile Business Group, Chunghwa Telecom, Taipei, Taiwan, R.O.C., where he is involved with the wide-band code division multiple access (WCDMA) network planning. His current interests are discrete time signal processing, wireless communications, and microwave planar filter design and passive circuit design.

Ching-Wen Hsue (S’85–M’85–SM’91) was born in Tainan, Taiwan, R.O.C. He received the B.S. and M.S. degrees in electrophysics and electronic from the National Chiao-Tung University, Hsin-Chu, Taiwan, R.O.C., in 1973 and 1975, respectively, and the Ph.D. degree from the Polytechnic University (formerly the Polytechnic Institute of Brooklyn), Brooklyn, NY, in 1985. From 1975 to 1980, he was a Research Engineer with the Telecommunication Laboratories, Ministry of Communication, Taiwan, R.O.C. From 1985 to 1993, he was with Bell Laboratories, Princeton, NJ, as a Member of Technical Staff. In 1993, he joined the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., as a Professor, and from August 1997 to July 1999, he was the Department Chairman. His current interests are in pulse-signal propagation in lossless and lossy transmission media, wave interactions between nonlinear elements and transmission lines, photonics, high-power amplifiers, and electromagnetic inverse scattering.

1118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Multiharmonic Source–Pull/Load–Pull Active Setup Based on Six-Port Reflectometers: Influence of the Second Harmonic Source Impedance on RF Performances of Power Transistors Eric Bergeault, Member, IEEE, Olivier Gibrat, Souheil Bensmida, and Bernard Huyart, Member, IEEE

Abstract—An original measurement system for nonlinear RF power-transistor characterization is presented. This new setup enables the measurement and optimization of output power and/or power-added efficiency (PAE) using active harmonic tuning and six-port reflectometers as vector network analyzers. Two active loops are inserted at both ports of transistors in order to independently control the source and load impedances at the fundamental and at the second harmonic frequency. To the authors’ knowledge, this is the only active technique that allows a complete automated multiharmonic load–pull/source–pull measurement system. Experimental results are shown for a commercial GaAs MESFET power transistor at 2 GHz. Index Terms—Load–pull, MESFET, multiharmonic, nonlinear, power amplifiers, six-port, source–pull.

I. INTRODUCTION

O

NE important requirement of present and future wireless communications systems is to increase the power amplifiers’ efficiency since they are the most critical components responsible for the major fraction of the system’s power consumption. In order to obtain high-efficiency amplifiers, the design study consists in optimizing load impedances for the fundamental and harmonic frequencies [1]. The aim is to minimize dissipated dc power by appropriately shaping the output current and voltage waveforms as for the tuned class-B or class-F operation modes [2]. In this case, the transistor is biased at class B and harmonics are controlled so that the drain voltages become rectangular and the drain current half-sinusoidal. Moreover, the same philosophy can be applied to the input of the transistor by controlling the input voltage waveform. In 1993, Toyoda [3] showed that the power-added efficiency (PAE) can be increased if a quasi-square wave is applied to the field-effect transistor (FET) gate instead of a purely sinusoidal one. Ingruber et al. [4], [5] reported amplifier concepts where a half-sinusoidal or a quasi-rectangular input signal is used to drive a class-F and an inverse class-F power-amplifier stage, respectively. However, it is difficult to apply this techniques to smallsized amplifiers because the input signal must be synthesized outside the power amplifier. Another solution consists of an appropriate tuning of the source harmonic impedances gener-

Manuscript received June 16, 2003; revised December 30, 2003. The authors are with the Département Communications et Electronique, Ecole Nationale Supérieure des Télécommunications, 75634 Paris, France. Digital Object Identifier 10.1109/TMTT.2004.825713

ated by the nonlinearities of the device-under-test (DUT). Some researchers have theoretically investigated the relationship between the second harmonic source impedance control and the PAE and the power gain [6]. Others have found that a sinusoidal voltage wave put into an FET gate is transformed into a quasi-square wave by optimally terminating this harmonic impedance [7] and they have realized hybrid power amplifiers matching the second source impedance with an approximated short circuit. Moreover, the optimization of the second harmonic source impedance allows designers to find a tradeoff between high efficiency and low spectral regrowth [8] or else can also be used to optimize the conversion gain of a frequency doubler [9]. All these different operating modes can be simulated and optimized in a straightforward manner on any commercially available computer-aided design (CAD) tool. In the same way, experimental characterization of microwave power transistors is essential for verification of models for nonlinear simulation or for optimization of output performances (power, PAE) when no accurate models are available. The principle of large-signal characterization, namely, the “source–pull/load–pull” technique [10], [11], [25], is to present adjustable impedances at both ports of the transistor. The variation of the impedances seen by the DUT can be passively carried out by means of a tuner or actively carried out by injecting a power wave toward the transistor and, thus, forcing the desired wave ratio. This paper mainly deals with power amplifiers [12]–[16] design, but typical applications of the techniques described can be applied to low-noise amplifier design [17], matching networks for mixers, and oscillators [18], [19]. Generally, the existing solutions for power transistor characterization consist only in load–pull measurements. Attempts have been made to realize a variable source impedance using the active loop technique [10] or a mechanical tuner [19]. However, the measurements do not provide simultaneous measurement of both the input reflection coefficient of the DUT and the reflection coefficient of the synthesized source with variable impedance. That is the reason why some authors [20]–[22] proposed original solutions to overcome these difficulties, but these systems do not allow simultaneous multiharmonic source–pull and multiharmonic load–pull measurements. In this paper, we present an original large-signal setup based on the measurement technique described by the Berghoff et al. [22]. It enables a complete multiharmonic source–pull and load–pull transistor characterization at the fundamental freand the second harmonic frequency . Load quency

0018-9480/04$20.00 © 2004 IEEE

BERGEAULT et al.: MULTIHARMONIC SOURCE–PULL/LOAD–PULL ACTIVE SETUP BASED ON SIX-PORT REFLECTOMETERS

Fig. 1.

1119

Simplified structure of the measurement system.

variations at the fundamental and second harmonic are realized by two symmetrical and independent active loops at both ports of the transistor, each of which is equipped with a six-port reflectometer for reflection coefficient and absolute power measurements. These four active loops can synthesize highly reflective loads compared to the use of mechanical tuners or solid-state tuners, for which inherent losses impose a limitation in reflection-coefficient magnitude. Moreover, tuning of source or load impedances at fundamental and second harmonic frequency is independent. Finally, the input structure allows simultaneous measurements of both the input reflection coefficient of the DUT and the source reflection coefficient at and . A description of the multiharmonic source–pull/load–pull system is explained in Section II. Experimental results per-

TABLE I OPTIMUM REFLECTION COEFFICIENTS FOR INPUT POWER 12 dBm. CLASS A

P out.

formed at 2 GHz on a power MESFET transistor are reported in Section III. II. DESCRIPTION OF THE MEASUREMENT SYSTEM Fig. 1 shows the simplified structure of the measurement system realized with two symmetrical active loops connected

1120

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

(a) Power gain, (b) PAE, and (c) dissipated power as a function of the input power. +: 0

0 (2f ): : optimization of 0 (2f ).

at each port of the DUT (some filters and isolators are left out for better comprehension and clarity). The fundamental frequency and the second harmonic frequency contained in the signal generated by the device itself are separated at each port of the DUT via a filter/circulator network providing frequency separation. Each loop is equipped with a variable attenuator, an amplifier, and a phase shifter in order to change the synthesized impedances seen by the transistor. Due to the active nature of the system, oscillations can arise if the magnitude of the reflection coefficient becomes greater than unity. Consequently, the and and bandpass maximum value is limited to 0.95 at filters are inserted into each loop in order to avoid oscillations at other frequencies. The method can be extended to include independent tuning of higher harmonics, but only the second [15], [18], [22] and third harmonics [9], [14], [23] are generally considered because the control of additional harmonic terminations becomes very complicated and expensive for negligible effects as the operating frequency increases. Moreover, the

= 0 = 0: r: optimization of 0 (f ):

: optimization of

design and implementation of the input and output matching networks for the realization of power amplifiers are not feasible if too many harmonics are taken into account. Consequently, in our system, the third harmonic components are terminated in 50 by means of appropriate filters and isolators. Each branch is equipped with a six-port reflectometer used as the network analyzer for independent absolute power and reflection-coefficient measurements at each frequency of interest. Six-port operation is based on scalar power measurements at four of the six-ports of a passive interferometric junction [24]. Our setup is composed of two double six-port network analyzers (DSPNA): six-ports 1 and 2 form the DSPNA operating at the fundamental frequency, while six-ports 4 and 3 . This solution is very attractive form a DSPNA operating at because very low-cost six-port reflectometers, centered at and , are realized in microstrip technology. This new symmetrical structure, compared to the previous one [22], improves the rapidity and accuracy of the calibration procedure because

BERGEAULT et al.: MULTIHARMONIC SOURCE–PULL/LOAD–PULL ACTIVE SETUP BASED ON SIX-PORT REFLECTOMETERS

the calibration constants at and can be obtained without disconnecting the standard being measured. Moreover, this measurement system does not require a frequency converter (heterodyne network analyzers) or variable filter elements (switched filters or tunable yttrium–iron–garnet (YIG) filters used in broad-band six-ports) so that it does not suffer from repeatability problems, low measurement speed, or costly equipment. The special calibration procedure that enables the system to carry out vector-corrected measurements of wave ratios and absolute-power values in on-wafer or in-fixture environments has already been published [24]. It is based on the thru-reflect-line (TRL) method, which, by principle, always simultaneously calibrate the input and output port establishing well-defined reference planes at the DUT. The method directly takes into account the nonideality of the different elements, and the accuracy obtained with six-port reflectometers is comparable with the accuracy of heterodyne systems [26]. For the calibration at the fundamental frequency, switches 1, 6, 7, 4, and 2 are in position 2, and the signal generated by the is fed to the input (via coupler 3) and the output source at ports of the DUT. Consequently, and this is a key point of the measurement system, the signal never goes into the input active loop, which synthesizes the variable source impedance (branch 1) during the calibration process. As the calibration constants do not depend on the source impedance, and depending on the position of switch 4, six-port 1 measures at either the input reflection coefficient of the DUT (position 2) or the reflec(position 1) presented to its input by the tion coefficient synthesized source with variable impedance [22]. For the calibration at the second harmonic frequency, switches 1, 6, and 7 are in position 1, while switches 3 and 5 is are in position 2. The signal generated by the source at fed to the input (via coupler 2) and the output ports of the DUT. Again, the signal never goes into the input active loop that (branch 4), synthesizes the variable source impedance which can now be measured by six-port 4 when switch 5 is in position 1. During power transistor characterization, switches 1, 6, and 7 are in position 2, while switches 2 and 3 are in position 1 (active load loop mode) and the source delivers a signal at the fundamental frequency. Due to nonlinearities, the transistor generates harmonics at both the input and output ports. It should be noted obtained from the input port of that the power wave the DUT allows six-port 4 to measure the reflection coefficient presented by the active loop at . After the whole calibration procedure, the measurement system is able to measure the following quantities at the DUT reference planes. • Using reflectometer 1 at — input reflection coefficient of the DUT and input power at (switch 4 in position 1)



source reflection coefficient at position 2).

(switch 4 in

TABLE II OPTIMUM REFLECTION COEFFICIENTS FOR INPUT POWER 10 dBm. CLASS AB

Fig. 3. 2f .

(a) Output power at

f

1121

P out.

. (b) PAE. (c) DC power. (d) Output power at

• Using reflectometer 2 at — output reflection coefficient of the DUT and output power at

• Using reflectometer 3 at — output reflection coefficient of the DUT and output power at

• Using reflectometer 4 at — source reflection coefficient at . From these measurements, one can easily deduce the power gain and the PAE (if , dissipated dc power, is known). An estimation of the measurement uncertainty is highly difficult for nonlinear characterization. The accuracy can mainly be limited when measuring on-wafer highly mismatched devices for which relative uncertainties less than 20% can be achieved [27]. Moreover, comparison of measurement results performed with active setups using

1122

Fig. 4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

(a) Power gain, (b) PAE, and (c) dissipated power as a function of the input power. : 0 .

0:9 180

six-port reflectometers, heterodyne network analyzers, and passive load–pull shows small deviations and gives reliable results [28]. As a large amount of measurements are generated, an automation of the whole system is required. Some routines control all the devices that are connected through an IEEE-488 general-purpose interface bus (GPIB) interface (attenuators, phase shifters, switch setting, RF generator, etc.). The program automatically searches for the optimum source–load impedances at fundamental and second harmonic frequency while keeping constant the delivered input power at the DUT. Our algorithm, as described in [22], avoids a statistical approach and reduces the number of points to be measured, and also reduced is the risk of destroying the device. During the optimization procedure, the system can measure a number of points on both sides of the gradient path found by automatic search in order to extract the source–pull and load–pull contours.

(2f ) = 0: : optimum 0 (2f )0:78 13

:

: 0 (2f ) =

III. MEASUREMENT RESULTS The full optimization of a commercial power MESFET (Fujitsu FLL101ME) mounted into a test fixture (Inter-Continental Microwave, Santa Clara, CA) illustrates the new feature of our multiharmonic source–pull/load–pull system. Measurements were carried out at a frequency of 2 GHz with a source impedance at the fundamental frequency of 50 . A. Class-A Operation The transistor was biased, first in class A ( V, V, mA) for an input power level equal to 12 dBm. Table I summarizes the main results for each successive optimization (the bold characters show the reflection coefficient that has been optimized). Previous measurements have been taken for both matched source and load, i.e., when the fundamental and harmonic im-

BERGEAULT et al.: MULTIHARMONIC SOURCE–PULL/LOAD–PULL ACTIVE SETUP BASED ON SIX-PORT REFLECTOMETERS

pedances are 50 ( ). The optimization of the increases output power from 28.3 to fundamental load 29.5 dBm and the optimization of the second harmonic load increases it to 30.1 dBm. The measurements show that has an important second harmonic source optimization effect, as it gives further improvements of output power and PAE by 0.3 dB and 6.8%, respectively. Concerning the PAE, it apis as pears that the optimization of the source impedance at even though the tranimportant as the optimization of sistor is biased for the linear class-A operation. Fig. 2 shows the gain, PAE and dissipated power versus the input power level for the different load and source impedances previously described. It can be seen that all successive optimizations improve output power and reduce the dissipated power in the linear and saturation regions. Consequently, the PAE is also increased over the whole input power range. B. Class-AB Operation The transistor was then biased in class AB ( V, V, mA) for an input power level equal to 10 dBm because, for higher values, drain-to-gate breakdown occurs. Table II summarizes the measurement results obtained when each impedance is optimized. As expected for this class-AB operation, the influence of the harmonics generated by nonlinearities is more important than that observed for a class-A operation. The measurements gives show that the effects of harmonic load tuning improvement of the PAE of 8.6% to 69.8% (optimum loads at and ). At the same time, the output power is increased from 27.9 to 28.8 dBm. The optimization of the second haris also significant because it monic source impedance increases the output power and the PAE by 0.4 dB and 4.3%, respectively. Under the condition of optimized terminations, of 29.2 dBm and an an excellent PAE of 74.1% with a associated gain of 19.2 dB are achieved. To confirm the importance of second source harmonic tuning, the transistor has been measured with optimized load impedand variable source impedance at . The ances at and magnitude is fixed at 0.9 and what is varied is the phase of (Fig. 3). One observes a distinct minimum of all quantities near the second harmonic short. In this case, and compared to optimal values, the gain and PAE are dramatically decreased by 2.8 dB and 25%, respectively. When the input second harmonic is short circuited, the resulting sinusoidal input voltage waveform leads to a half-rectified drain current. Major improvement of transistor performances can be obtained by means of harmonic manipulation. Depending on the harmonic drain current components phase relationships, proper shapings of current–voltage waveforms can be achieved in order to reduce the dissipated power while increasing output power and efficiency. Fig. 4 presents measured values of output power, power gain, and PAE versus input power for the optimized load impedances and (see Table II) connected at the output port, but at for different source terminations at : a 50- impedance ( ), ( ), the optimum reflection coefficient and a short circuit ( : worst case). By optimally controlling , we can observe a gain expansion over a wide input

1123

power range from 2 to 10 dBm. This gain expansion is maxdBm and is equal to 1.9 dB compared to imum for the measured value when the second-source harmonic is set to 50 , leading to an output power equal to 27.25 dBm. On the other hand, for the same output power when a short circuit is synthesized, the power gain and PAE are significantly decreased from 21.3 to 16.6 dB and from 60% to 51%, respectively. The gain expansion associated with a reduced power consumption leads to high improvement of the PAE, which becomes greater than 50% over a wide dynamic range of 5–12 dBm. This result is very interesting for power amplifiers operating with substantial backoff in order to improve linearity. IV. CONCLUSION An original active multiharmonic source–pull/load–pull setup combined with six-port reflectometers has been presented. The measurement system allows independent optimization of the source and load impedances at the fundamental and second harmonic. It is mainly devoted to the design of high-efficiency power amplifiers, but it can also be helpful for frequency multipliers and oscillator design. The tuning of is of prime importance and has the source impedance at been illustrated by measurements performed on a MESFET power transistor. The main future investigations will concern the characterization of transistors under complex modulated carriers. REFERENCES [1] S. R. Mazumber, A. Azizi, and F. E. Gardiol, “Improvement of a class C transistor power amplifier by second–harmonic tuning,” IEEE Trans. Microwave Theory Tech., vol. MTT-27, pp. 430–433, May 1979. [2] C. Duvanaud, S. Dietsche, G. Pataut, and J. Obregon, “High efficient class F GaAs FET amplifiers operating with very low bias voltages for use in mobile telephones at 1.75 GHz,” IEEE Microwave Guided Wave Lett., vol. 3, pp. 268–270, Aug. 1993. [3] S. Toyoda, “High efficiency single and push–pull power amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., 1993, pp. 277–280. [4] B. Ingruber, W. Pritzl, and G. Magerl, “High efficiency harmonic control amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., San Francisco, CA, 1996, pp. 859–862. [5] B. Ingruber, J. Baumgartner, D. Smely, M. Wachutka, G. Magerl, and F. A. Petz, “Rectangularly driven class-A harmonic-control amplifier,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 1667–1672, Nov. 1998. [6] F. Blache, “Etude et conception d’un systeme de caracterization fonctionnelle multiharmonique des transistors de puissance RF et microondes: Application à la mise en œuvre expérimentale de classe de fonctionnement à haut rendement,” Ph.D. dissertation, Dept. Phys., Univ. Limoges, Limoges, France, 1995. [7] M. Maeda et al., “Source second-harmonic control for high efficiency power amplifiers,” IEEE Trans. Microwave Theory Tech., vol. 43, pp. 2952–2958, Dec. 1995. [8] C. C. Yang, C. S. Yu, W. S. Chan, and W. Le Chan, “Design compromise between the efficiency and spectral re-growth of power amplifiers using second harmonic source/load impedance,” in Asia–Pacific Microwave Conf. Dig., vol. 3, 1999, pp. 630–633. [9] D. Barataud et al., “Measurements of time-domain voltage/current waveforms at RF and microwave frequencies based on the use of a vector network analyzer for the characterization of nonlinear devices-application to high-efficiency power amplifiers and frequency-multipliers optimization,” IEEE Trans. Instrum. Meas., vol. 47, pp. 1259–1263, Oct. 1998. [10] G. P. Bava, U. Pisani, and V. Pozzolo, “Source–pull technique at microwave frequencies,” Electron. Lett., vol. 20, p. 4, Feb. 1984. [11] Y. Takayama, “A new load–pull characterization method for microwave power transistors,” in IEEE MTT-S Int. Microwave Symp. Dig., June 1976, pp. 218–220.

1124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[12] C. Tsironis, “A novel design method of wideband power amplifier,” Microwave J., pp. 303–304, May 1992. [13] F. Blache, J. M. Nebus, P. Bouysse, and J. P. Villotte, “A novel computerized multiharmonic active load–pull system for the optimization of high efficiency operating classes in power transistors,” in IEEE MTT-S Int. Microwave Symp. Dig., Orlando, FL, May 1995, pp. 1037–1040. [14] F. M. Ghannouchi, R. Larose, and R. Bosisio, “A new multiharmonic loading method for large-signal microwave and millimeter-wave transistor characterization,” IEEE Trans. Microwave Theory Tech., vol. 39, pp. 986–992, June 1991. [15] A. Ferrero and U. Pisani, “A generalized harmonic load–pull system,” in Proc. 3rd Asia–Pacific Microwave Conf., 1990, pp. 449–452. [16] F. Deshours, E. Bergeault, F. Blache, J. P. Villotte, and B. Villeforceix, “Experimental comparison of load–pull measurement systems for nonlinear power transistor characterization,” IEEE Trans. Instrum. Meas., vol. 46, pp. 1251–1255, Dec. 1997. [17] B. Hughes and P. Tasker, “Improvements to on-wafer noise parameters measurements,” in 36th ARFTG Conf. Dig., Monterey, CA, Nov. 1990, pp. 16–25. [18] P. Berini, M. Desgagné, F. M. Ghannouchi, and R. G. Bosisio, “An experimental study of the effects of harmonic loading on microwave MESFET oscillators and amplifiers,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 943–950, June 1994. [19] D. L. Lê and F. M. Ghannouchi, “Source–pull measurements using six-port reflectometers with application to MESFET mixer design,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 1589–1595, Sept. 1994. [20] G. Madonna, M. Pirola, A. Ferrero, and U. Pisani, “Testing microwave devices under different source impedances: A novel technique for on-line measurement of source and device reflection coefficients,” IEEE Trans. Instrum. Meas., vol. 49, pp. 285–289, Apr. 2000. [21] G. Madonna and A. Ferrero, “Simple technique for measuring source reflection coefficient while characterizing active devices,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 564–569, Feb. 2002. [22] G. Berghoff, E. Bergeault, B. Huyart, and L. Jallet, “Automated characterization of HF power transistor by source–pull and multiharmonic load–pull measurements based on six-port techniques,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 2068–2073, Dec. 1998. [23] J. Benedikt, R. Gaddi, P. J. Tasker, and M. Goss, “High-power time-domain measurement system with active harmonic load–pull for high efficiency base-station amplifier design,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 2617–2624, Dec. 2000. [24] G. Berghoff, E. Bergeault, B. Huyart, and L. Jallet, “On-wafer calibration of a double six-port reflectometer including constants for absolute power measurements,” IEEE Trans. Instrum. Meas., vol. 46, pp. 1111–1114, Oct. 1997. [25] R. B. Stancliff and D. D. Poulin, “Harmonic load–pull,” in IEEE MTT-S Int. Microwave Symp. Dig., 1979, pp. 185–187. [26] E. Bergeault, J. Achkar, M. Valon, and B. Huyart, “A national intercomparison between automatic network analyzers,” IEEE Trans. Instrum. Meas., vol. 42, pp. 511–515, Apr. 1993. [27] A. F. Ferrero, V. Teppati, and A. Carullo, “Accuracy evaluation of on-wafer load–pull measurements,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 39–43, Jan. 2001. [28] F. Deshours et al., “Experimental comparison of load–pull measurement systems for nonlinear power transistor characterization,” IEEE Trans. Instrum. Meas., vol. 46, pp. 1251–1255, Dec. 1997.

Eric Bergeault (A’96–M’00) was born in Limoges, France, in 1963. He received the Diplôme d’Etudes Approfondies (DEA) degree from the University of Limoges, Limoges, France, in 1987, the Ph.D. degree in electronics and communications from the National Superior Institute of Telecommunications (ENST), Paris, France, in 1991, and the accreditation to supervise research from the University of Limoges, Limoges, France, in 1998. From 1987 to 1990, he was a Research Engineer with the Laboratoire Central des Industries Elecriques (LCIE), Fontenay aux roses, France. In 1991, he joined ENST, where he is currently a Full Professor. His research interest is in the field of microwave instrumentation. He is mainly involved with the six-port network analyzer, characterization of nonlinear devices, and modelization and applications to the optimization of power amplifiers.

Olivier Gibrat, photograph and biography not available at time of publication.

Souheil Bensmida was born in Tunis, Tunisia, in 1977. He received the Diplôme d’Etudes Approfondies (DEA) degree in electronics and instrumentation from the Université Pierre and Marie Curie Paris 6, Paris, France, in 2000, and is currently working toward the Ph.D. degree in communications and electronics from the Nationale Supérieure des Télécommunication (ENST), Paris, France. Since October 2000, he has been with the with the Département Communications et Electronique (COMELEC), ENST. His research interest is the nonlinear characterization of power amplifiers for mobile applications.

Bernard Huyart (M’92) was born in Lille, France, in 1964. He received the Doctoral degree in physics from the National Superior Institute of Telecommunications (ENST), Paris, France, in 1986, and the accreditation to supervise research from the University of Limoges, Limoges, France, in 1995. In 1978, he joined ENST, where he is currently a Full Professor and Head of the Radio-Frequencies and Microwaves Group, which is associated with the Centre National de la Recherche Scientifique (CNRS) (URA 820), Paris, France. His current research interests include microwave instrumentation, the design of active circulators and six-port reflectometers in monolithic microwave integrated circuit (MMIC) or hybrid technology, noise and nonlinear devices measurement and modelization, and the application of six-port reflectometers in telecommunications

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1125

An Efficient Integration of GPS and WCDMA Radio Front-Ends Solon J. Spiegel, Senior Member, IEEE, and Imre I. G. Kovacs, Member, IEEE

Abstract—An efficient integration of global positioning system (GPS) and universal mobile telecommunication system (UMTS) radio front-end units is presented. The combined front-end unit includes the transmitter and receiver filters, duplex filter, power amplifier, buck regulator, and power detector for the UMTS wireless code-division multiple-access system. The GPS building blocks of the radio front-end unit consist of the low-noise amplifier and RF filter. The inter-system isolation was experimentally quantified and the compared against the minimum inter-system isolation requirements, defined according to desensitization and intermodulation tests in the GPS receiver. The characterized isolation of the antenna array provided 6 dB of margin over the minimum inter-system isolation requirements for 3-dB degradation of the carrier-to-noise ratio in GPS receivers. The UMTS portion of the radio front-end unit was verified against the Third-Generation Partnership Project standard. Index Terms—Antenna, front-ends, global positioning system (GPS), isolation, transmitter/receiver (TX/RX) unit, universal mobile telecommunication system (UMTS), wireless code-division multiple-access (WCDMA).

I. INTRODUCTION

T

HE possibility of offering location services is driving the rapid development of positioning techniques for the mobile wireless communication systems (WCSs). Among the wireless network positioning techniques are the triangulation methods, which are based on either angle-of-arrival (AOA), time-of-arrival (TOA), or time-difference-of-arrival (TDOA), and the global navigation system using a global positioning system (GPS). Despite the wide coverage capability, the integration of a GPS with a WCS must compete against the above solutions, in terms of power consumption, cost, and size of the integration and accuracy of the position fix. In the field of wireless communication receivers, the possibility of providing location services have motivated the development of GPS-enabled receivers based on direct-conversion receivers with GPS, as described in [1] and [2]. The degradation of the carrier-to-noise ratio (CNR) impacts directly on the power consumption and accuracy of the position fix. The first is related with the fact that the GPS must be powered during longer integration times to successfully acquire and

Manuscript received June 22, 2003; revised November 18, 2003 and January 2, 2004. S. J. Spiegel was with u-blox AG, CH-8800 Thalwil, Switzerland. He is now with R.I.O. System, CH-8008 Zürich, Switzerland (e-mail: [email protected]). I. I. G. Kovacs was with u-blox AG, CH-8800 Thalwil, Switzerland. He is now with the Ecole Polytechnique Fédérale de Lausanne, CH-1015 Lausanne, Switzerland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825665

Fig. 1. Schematic diagram of a GPS receiver in the proximity of a wireless communication system. The intra-system, isolations 1–3, and inter-system isolations are indicated.

track the satellite signal, while the second is a function of the noise level at the range observables. GPS receivers must, therefore, introduce minimum possible degradation on the CNR. Few works have been published to quantify the performance degradation of the GPS at the radio front-end in the presence of wireless communication signals. Reference [3] describes the performance degradation mechanisms encountered when integrating GPS receivers into a hosting environment due to limited inter-system and intra-system isolations. While the intrasystem isolation defines the level of the interferences at the GPS antenna generated internally in the GPS receiver, the intersystem isolation represents the interference level from the wireless system into GPS. In Fig. 1, several coupling paths to the GPS antenna are illustrated. Isolation paths 1 to 3 are the individual contributions to the overall intra-system isolation. The levels of intra-system isolation must be kept sufficiently high, on the one hand, to meet the linearity requirements of the GPS receiver and, on the other hand, to minimize the equivalent noise level at the lowest possible power consumption. The power consumption and footprint are premium factors in portable mobile devices. The power consumption of a GPS receiver is directly correlated to the linearity requirements of the RF front-end, which depends on the inter-system interference levels. Single-feed multiband antenna and dual-feed multiband antenna configurations are available for GPS integrated wireless communication applications. The single-feed multiband GPS/WCS antenna configuration reduces the overall footprint in detriment of the GPS system performance and power consumption (longer integration time is required to acquire and track weak GPS signals). The inter-system isolation is determined primary by the multiplex filter characteristics used to combine multiple frequency bands into a single-feed multiband antenna structure. In contrast, the separated antenna structures, multifeed multiband antennas, offer higher GPS CNR, leading to lower power consumption, but a larger footprint than the

0018-9480/04$20.00 © 2004 IEEE

1126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 2. Block diagram of the combined GPS and UMTS radio front-end unit as a function of the applied field.

single-feed multiband GPS/WCS antennas. The inter-system isolation is mainly determined by the separation between the antennas and antenna polarization. This paper presents a combined WCDMA and GPS RF radio front-end unit using separated antenna structures, as shown in Fig. 1. The reason for choosing separated antennas rather than a single-feed antenna was the excessive degradation of the CNR and the poorer satellite coverage observed with single-feed multiband antennas. In order to determine whether the minimum inter-system isolation meets the system integration requirements, extensive characterization and modeling of the inter-system isolation were carried out. The GPS antenna structure was the Sarantel dielectric-loaded quadrifilar antenna [4]. The minimum isolation of the antenna array was then compared against the minimum isolation requirements obtained from the intermodulation and desensitization tests in GPS receivers. It is demonstrated that the minimum inter-system isolation characterization result provides 6 and 10.5 dB of margin to the minimum required isolation for 3-dB degradation of the CNR, according to the intermodulation and desensitization tests, respectively [5], [6]. To the authors’ knowledge, this is the first time that the universal mobile telecommunication system (UMTS) WCDMA and GPS radio front-end integration has been successfully demonstrated. II. RADIO FRONT-END UNIT Fig. 2 shows the block diagram of the combined GPS and WCDMA radio front-end unit. The WCDMA building blocks of the combined radio front-end unit include the power amplifier, WCDMA low-noise amplifiers, duplex filter, transmitter (TX) and receiver (RX) filters, dc–dc converter, and power detector. The radio front-end unit offers flexible dynamic bias adaptation capability for power-efficiency enhancement, having the possibility of interfacing with either analog or digitally generated bias control signals. The filter and duplex-filter specifications of the combined radio front-end were defined not only to meet the selectivity requirements of each of the systems at minimum

insertion loss, but also to allow the interoperability of the navigation and communication systems. The WCDMA power amplifier was the RF Micro Device, part number RF5176. The GPS receiver path comprises of the GPS low-noise amplifier with improved jamming immunity and the differential RX filter. The major design considerations of the combined UMTS and GPS radio front-end are outlined, as follows: • minimum insertion loss between the PA output and antenna; • low level of IM3 products in the RX band originated from the transmitter cross-modulation effects; • high isolation between the WCS and GPS antennas; • power-efficiency enhancement of the power amplifier versus adjacent-channel power-ratio requirements; • very low noise figure at UMTS WCDMA and GPS paths. The design methodology of the radio front-end was implemented in the following four main steps. Step 1) Determination of the system specifications and requirements. Step 2) Characterization of the inter-system isolation. Step 3) Simulation. Step 4) Design evaluation and verification. Characterization of the inter-system isolation is of primary importance for derivation of the correct specifications of the radio system. Overestimated specifications result in either higher power consumption or lower sensitivity, while underestimated specifications result in failure to comply with the requirements. In order to assure the interoperability of GPS and WCDMA systems, the measured inter-system isolation in the frequency range occupied by the WCS is compared against the minimum isolation requirements, derived at conditions of maximum transmitter output power and 3-dB degradation of the CNR. For the intemodulation test, an out-of-band continuous wave (CW) interference at 44 dBm was additionally defined, producing the third-order product (IM3) in the GPS frequency band. The simulation of the WCDMA and GPS radio front-end unit was carried out with an Agilent HpADS system simulator to validate the overall requirements and specifications of radio front-end building blocks. The experimental results of the combined WCDMA and GPS radio front-end unit are presented and summarized in Section IV. III. CHARACTERIZATION OF THE INTER-SYSTEM ISOLATION Here, we present the modeling and characterization results of the inter-system isolation. The dependence of the inter-system isolation on the geometric position and on the spacing between the GPS and UMTS antennas is determined. The WCS and GPS antenna structures used in this investigation are a commercially available wireless stubby antenna and the quadrifilar dielectricloaded Sarantel antenna, respectively. The proximity between the antenna structures and rotation angle of the GPS antenna in relation with the WCS antenna were optimized for maximum inter-system isolation. The inter-system isolation in the frequency range occupied by the GPS and WCS transmitter are of interest. Characterizing the isolation at the GPS frequency is relevant for determining whether the out-of-band noise emissions originated from the

SPIEGEL AND KOVACS: EFFICIENT INTEGRATION OF GPS AND WCDMA RADIO FRONT-ENDS

1127

the isolation varies from approximately 9 to 23 dB in the lower frequency range. In the upper frequency range, isolation values ranging from 14 to 25 dB were measured. In order to reduce the influence of the WCS interference on the GPS receiver, the proper orientation of the GPS antenna in relation to the WCS antenna is required. The maximum isolation was obtained at position 2, as shown in Fig. 4(b) and (c). An empirical isolation model is expressed as (the derivation is described in the Appendix) Fig. 3. Experimental setup for characterization of the antenna isolation combined GPS and UMTS radio front-end unit.

wireless communication transmitter might degrade the performance of the GPS receiver. In the WCS transmitter frequency range, the inter-system isolation defines the amount of CNR degradation of GPS receivers owing to the in-band intermodulation products (intermodulation test) and to the receiver desensitization by the strong transmitter signal (desensitization test). Fig. 3 shows the schematic drawing of the antenna isolation characterization setup. The vector network analyzer was connected to the array to measure the isolation between the WCS and GPS antennas. The WCS and GPS antennas are linear and circular polarized, respectively. To characterize the dependence of the isolation on the spacing between the antennas ( ), several antenna arrays with different spacing values were measured at , 90 , 180 , and 270 ) of four different rotation angles ( the GPS helix antenna. The isolation between antennas depends on the contribution of the air-bridge and cross-polarization isolations. The first varies according to the distance between the antennas ( ), whereas the second with the angle of rotation ( ) of the GPS antenna. The experimental results are shown in Fig. 4. Positions 1–4 (i.e., Pos1–Pos4) represents the different rotation angles (0 , 90 , 180 , and 270 ) of the GPS antenna in relation to the WCS antenna. Several antenna fixtures were built with different center-to-center distances to characterize the air-bridge isolation between the antennas. Antenna fixtures with limited ground plane were realized to model real antenna integration scenarios. The center-to-center distances between the WCS and GPS antennas ( ) were chosen at 13, 18, 23, 28, 33, and 38 mm. The minimum distance of 13 mm was defined by the physical dimen, sions of the antenna structures, i.e., where and are the diameter of the GPS and WCS antennas, respectively. Fig. 4(a) shows the measured antenna isolation results at GPS frequency as function of the distance for different angles of rotation of the GPS antenna. At GPS frequency, the antenna isolation shows weak and strong dependences on the rotation angle and distance, respectively. The saturation of the isolation at distances above 23 mm is probably due to the leakage currents circulating at the ground plane of the antenna fixture. The minimum isolation of 11 dB at the GPS frequency was obtained at the minimum distance of 13 mm. Fig. 4(b) and (c) shows the isolation in the lower (900-MHz range) and upper (1800-MHz range) wireless communication frequency bands, respectively. The isolation is strongly dependent on the distance between the antennas and rotation angle of the GPS helix antenna. At a minimum distance of 13 mm,

(1) (2) (3) The fitting coefficients of (1) are outlined in Table I. The are the distance and angle of rotation of the terms and GPS helix antenna, respectively. The solid lines (Pos1S-Pos4S) in Fig. 4(b) and (c) indicate the simulated isolation model and the points (Pos1M-Pos4M) are measured data. A good agreement between the isolation model and experimental data was obtained in the lower and upper frequency ranges. To summarize, the maximum value of isolation at the minimum distance of 13 mm are as follows: • at GPS frequency, the antenna isolation is equal to 11 dB; • in the lower frequency range, the antenna isolation is equal to 23 dB, obtained at position 2; • in the upper frequency range, the antenna isolation is equal to 25 dB, obtained at position 2. IV. EVALUATION OF THE GPS/UMTS RADIO FRONT-END Section III presented the isolation characterization results of the WCS and GPS antenna array. It has not yet been demonstrated whether the characterized antenna isolation provides sufficient margin to accommodate the GPS integration without significantly compromising its performance. The next step is to determine whether or not the minimum antenna isolation, obtained mm, meets the minimum isolation requirements, acat cording to the desensitization and intermodulation tests. The methodology used to quantify the influence of the WCDMA system on the performance of GPS receivers is defined as follows. 1) Define the antenna array configuration. The selection of the antenna configuration depends on allowed tradeoff between the footprint and system performance. 2) Characterization of the inter-system isolation. Once the antenna configuration is defined, the characterization of the isolation between antennas is performed, as described in Section III. 3) Determination of the minimum inter-system isolation requirements. The minimum inter-system isolation requirement is defined according the desensitization and intermodulation test conditions at 3-dB degradation of the CNR. To establish the minimum inter-system isolation requirement, the attenuation between the GPS and WCS

1128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 4. Measured and simulated antenna isolation. (a) Measured isolation results at GPS frequency. (b) Measured and simulated isolation results in the lower frequency band and (c) in the upper frequency band of the WCS. The lines (Pos1S-Pos4S) and data points (Pos1M-Pos4M) are the simulated and measured data, respectively. Positions 1–4 represent the rotation angles of the GPS antenna ( ) relative to the WCS antenna equal to 0 , 90 , 180 , and 270 , respectively.

2

TABLE I FITTING COEFFICIENTS FOR THE ISOLATION MODEL

ports varies at each of the predefined testing conditions until the CNR degrades 3 dB. 4) Comparison of the isolation values obtained in (2) and (3). The antenna array will meet the minimum inter-system isolation requirements, providing that isolation in (2) is higher than the most stringent inter-system isolation requirements obtained in (3), derived from the desensitization and intermodulation testing conditions. A. Desensitization Test The GPS receiver desensitization test condition assumes that the maximum transmitted power level at the wireless communication antenna is equal to 24 dBm at the center frequency of

the WCDMA transmission band, i.e., 1950 MHz. The measurement setup is illustrated in Fig. 5. The variable attenuator enables the change of the incident transmission power level at the GPS antenna, emulating a variable inter-system isolation. The GPS signal generator, followed by an isolator, was connected to the isolated port of the hybrid ring structure, resulting in high isolation between the wireless antenna port and GPS signal generator. An external GPS engine, without the low-noise and RF filter stages (these stages are present in the radio front-end unit in Fig. 2), was coupled to the differential GPS receiver output terminals. Finally, the GPS signal strength was adjusted to provide CNR values in the range from 40 to 50 dB Hz. Fig. 6 shows the dependence of the GPS CNR on the overall isolation between the GPS and WCS antenna ports. At the maximum inter-system isolation, the CNR is equal to 42 dB Hz. The same value was obtained when the power amplifier was powered off, which indicates that the on-board interference and noise emissions coupling into the GPS receiver have negligible impact on the GPS performance. The 3-dB degradation of the CNR according to the desensitization test was obtained for 14.5-dB inter-system isolation. The characterized inter-system isolation of 25 dB, as determined in Section III, provides 10.5 dB of margin over the minimum isolation requirement, i.e., 14.5 dB, in the upper transmitter frequency range.

SPIEGEL AND KOVACS: EFFICIENT INTEGRATION OF GPS AND WCDMA RADIO FRONT-ENDS

1129

Fig. 5. Block diagram of the measurement setup.

TABLE II KEY PERFORMANCE PARAMETERS OF THE COMBINED GPS UMTS RADIO FRONT-END

AND

Fig. 6. CNR dependence on the antenna isolation according to the desensitization and intermodulation tests.

B. Intermodulation Test The GPS receiver intermodulation-test condition assumes that the maximum transmitted power level at the wireless communication antenna is equal to 24 dBm at the center frequency of the transmission band, i.e., 1950 MHz, and the CW blocking signal of 44 dBm at 1762.5 MHz. The resulting third-order intermodulation product, i.e., IM3, appears at the GPS frequency. The measurement setup is similar to the setup of Fig. 5, but with an additional power combined placed between the variable attenuator and the GPS antenna port, having one of its port connected to a CW signal generator. The variable attenuator allows the control of the transmitted power level incident at the GPS antenna port. The level of the CW signal at the GPS antenna port remained constant at 44 dBm. A GPS engine was connected to the device-under-test (DUT) and the CNR measured as function of the inter-system isolation, as described in the desensitization test.

The 3-dB degradation of the CNR according to the intermodulation test was obtained for inter-system isolation value equal to 19 dB, as shown in Fig. 6. The characterized antenna isolation, 25 dB at position 2 with minimum distance between the antennas (Section III), provides 6 dB of margin over the 19-dB

1130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

minimum inter-system isolation requirement in the upper frequency range of the WCS. Comparing the results obtained following the intermodulation and desensitization tests, the most stringent minimum intersystem isolation requirement is determined from the intermodulation test. Consequently, the GPS integrated WCDMA radio front-end unit, based on the defined dual-feed multiband antenna array configuration, meets the minimum inter-system isolation requirement of 19 dB derived from the intermoduation test. The key performance parameters of the combined UMTS WCDMA and GPS radio front-end unit are summarized in Table II. The performance parameters are described for the WCDMA transmitter, WCDMA receiver, and GPS chains. The power-added efficiency of 20% at the maximum output power was measured at the WCS antenna port, including the losses in the directional coupler, isolator, and duplex filter stages. The dynamic bias adaptation of the power amplifier caused the reduction of 77 mA in the average current consumption of the combined WCDMA and GPS radio front-end unit operating at 3.6 V, while meeting the standard specifications [7] for the adjacent and alternate channel’s power ratio. The average current consumption of the combined GPS and WCDMA radio front-end unit based on a class-A power amplifier is 133 mA.

2

Fig. 7. Measured and fitted isolation (A.6) as a function of the angle . UB and LB denote the lower and upper frequency ranges of the WCS antenna, respectively.

A. Dependence of the Angle ( ) of the GPS Antenna The real and the imaginary terms of the measured isolation at the lower and upper frequency bands were fitted to (A.1) (A.2) (A.3)

V. CONCLUSIONS A combined WCDMA and GPS radio front-end unit has been presented. The transmitter chain of WCDMA radio front-end supports dynamic bias adaptation for power-efficiency enhancement. In the transmission chain, the adjacent and alternate channel’s power ratio and the maximum output power specifications comply with the standard, while in the receiver chain, the out-of-band blocking level and transmitter cross-modulation products were substantially attenuated below the specified levels. The noise figures of the radio front-end (equal to 4.5 and 2.5 dB) were obtained by the WCDMA system and GPS, respectively. The inter-system isolation was extensively characterized and the results compared against the minimum inter-system isolation requirements, determined from the worse case condition between the desensitization and intermodulation tests. It was demonstrated that the minimum inter-system isolation requirements for 3-dB degradation of the CNR in the GPS receiver was 6 dB below the characterized GPS and WCDMA isolation of the antenna array.

APPENDIX Equation (1) was derived from the dependence of the isolation of the antenna array as a function of the center-to-center distance between the antennas ( ) and the orientation ( ) of the circular polarized GPS antenna, as shown in Fig. 3.

(A.4) where (A.5) From (A.1)–(A.4), the magnitude of the isolation in the lower ( and ) and upper ( and ) bands, respectively, is expressed as shown in (A.6) at the top of the following page. Fig. 7 shows the excellent agreement between the measurement and fitted results using (A.6) in the lower band (LB) and upper band (UB) as function of the angle . The antenna isolation was determined at the lower and upper frequencies of resonance of the WCS antenna. B. Dependence of the of the Isolation on the Distance ( ) Between the Antennas The dependence of the isolation, expressed in decibels, on the distance between the antennas, given that the angle remains constant, was modeled according to (A.7) where , , and are fitting coefficients. The comparison between the fitted and measured results was shown in Fig. 4. Combining (A.6) and (A.7) and expressing (A.6) in decibels, the de-

SPIEGEL AND KOVACS: EFFICIENT INTEGRATION OF GPS AND WCDMA RADIO FRONT-ENDS

1131

(A.6)

pendence of the isolation (1) on the angle and the center-tocenter distance between the antennas is given as

[7] UE Radio Transmission and Reception (FDD), Tech. Specification Group, Third Generation Partnership Project (3GPP), 1999.

(A.8)

Solon J. Spiegel (SM’02) was born in Rio de Janeiro, Brazil, in 1966. He received the B.Sc. degree from the University Gama Filho, Rio de Janeiro, Brazil, in 1989, and the M.Sc. and Ph.D. degrees from the Technion, Israeli Institute of Technology, Haifa, Israel, in 1995 and 2003, respectively. Since September 2003, he has been the Managing Director of R.I.O. System, Zürich, Switzerland. Prior to joining R.I.O. System, he was the Head of radio system engineering at u-blox AG, Thalwil, Switzerland. His responsibilities included the radio research and development activities in the areas of GPS and communication systems. Prior to joining u-blox AG in February 2001, he was a Senior Research Member with DSPC/Intel, where he lead projects in the area of multistandard wireless transceivers. From 1995 and 1999, he was a Research Member with RAFAEL, The Israel Armament Development Authority, where he was involved in several projects in the areas of microwave and millimeter-wave system design, monolithic microwave integrated circuit (MMIC) and packaging design, and RF modules. He has authored over 20 publications in refereed journals and conferences. He holds four patents. His areas of specialization include radio architecture, wireless transceivers, communication standards, GPS, RF power amplifiers, RF and microwave integrated circuits, and semiconductor device technology.

(A.9) (A.10)

REFERENCES [1] M.-Y. Wang, R. R.-B. Sheen, O. T.-C. Chen, and R. Y. J. Tsen, “A dual-band RF front-end for WCDMA and GPS applications,” in IEEE Int. Circuits and Systems Symp., vol. 4, 2002, pp. 113–116. [2] V. Aparin et al., “A highly-integrated tri-band/quad-mode SiGe BICMOS RF-to-baseband receiver for wireless CDMA/WCDMA/AMPS applications with GPS capability,” in IEEE Int. Circuits and Systems Symp., vol. 1, San Francisco, CA, 2002, pp. 234–235. [3] S. J. Spiegel, A. Thiel, S. Nussbaumer, I. Kovacs, and M. Durler, “Improving the isolation of GPS receivers for integration with wireless communication systems,” in IEEE Radio Frequency Integrated Circuits Symp. Dig., June 2003, pp. 563–566. [4] O. Leisten, J. C. Vardaxoglou, P. McEvoy, R. Seager, and A. Wingfield, “Miniaturised dielectric-loaded quadrifilar antenna for global positioning system (GPS),” Electron. Lett., vol. 37, no. 22, pp. 1321–1322, Oct. 2001. [5] S. J. Spiegel, “GPS-RF system requirements for handset applications,” presented at the IEEE MTT-S Int. Microwave Symp. Workshop, June 2002. [6] S. J. Spiegel, A. Thiel, S. Nussbaumer, and I. Kovacs, “Characterization of GPS receivers for mobile systems,” in Proc. Institute of Navigation, Sept. 2002, Session C4, Paper 1.

Imre I. G. Kovacs (M’02) was born in St. Gallen, Switzerland, in 1973. He received the Master’s degree in electrical engineering from the Eidgenössische Technische Hochschule Zürich (ETHZ), Zürich, Switzerland, in 2001, and is currently working toward the Ph.D. degree at the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. His doctoral disseration concerns the design of low-voltage and low-power voltage-controlled oscillators, reference oscillators, and phase-locked loops in advanced CMOS technologies. In May 2001, he joined u-blox AG, Thalwil, Switzerland, where he was involved with GPS and communications systems front-ends. He has coauthored two publications. He holds one patent.

1132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Joint Optimization of the Power-Added Efficiency and the Error-Vector Measurement of 20-GHz pHEMT Amplifier Through a New Dynamic Bias-Control Method Stéphane Forestier, Philippe Bouysse, Raymond Quere, Senior Member, IEEE, Alain Mallet, Jean-Michel Nebus, and Luc Lapierre

Abstract—This paper presents a method for the optimization of the power-added efficiency (PAE), as well as the error-vector measurement (EVM) of a 20-GHz power amplifier (PA) applied in quadrature and amplitude modulations. A first this case to the key point lies in that both input and output biasing voltages of the solid-state power amplifiers (SSPAs) are dynamically controlled according to the RF power level associated with the symbol to be transmitted. The leading idea is that the dynamic biasing control is designed and implemented to keep fixed amplitude (AM/AM) and phase (AM/PM) conversion values, while the RF input power level changes. The power gain of the PAs can then be dynamically tuned to a fixed power gain corresponding to the compression gain behavior for which the PAE is optimum at low-, medium-, and high-input RF power levels. As a main consequence, PAE performances can be drastically improved as compared to classical backoff solutions and optimized while keeping a very good EVM. A -band hybrid amplifier has been realized using an 8 75 m power pseudomorphic high electron-mobility transistor. The proposed linearization technique is validated by comparisons between measured PAE and EVM on the SSPA when a fixed and controlled bias are used. Index Terms—AM/AM conversion, AM/PM conversion, dynamic biasing control, error-vector measurement (EVM), quadrature and amplitude modulation ( -QAM), power amplifier (PA), smart power.

I. INTRODUCTION

T

HE GROWING development of telecommunications results in a crucial need for spectrally efficient moduquadrature and amplitude modulation lation techniques. ( -QAM) modulations then appear useful for satellite communication systems. However, the main critical point lies in that the nonconstant envelope characteristic of -QAM signals classically requires an output power backoff of the power amplifiers (PAs), which reduces the power-added efficiency (PAE) performances. As a consequence, these types of modulations require an elaborate management of the RF power resources to meet the linearity specifications while saving dc consumption. Manuscript received July 18, 2003; revised November 25, 2003. S. Forestier, P. Bouysse, R. Quere, and J.-M. Nebus are with the Institut de Recherche en Communications Optiques et Microondes, Centre National de la Recherche Scientifique, University of Limoges, 19100 Brive, France. A. Mallet and L. Lapierre are with the Centre National d’Etudes Spatiales, 31055 Toulouse, France. Digital Object Identifier 10.1109/TMTT.2004.825745

Numerous techniques have been developed in order to increase the efficiency of the PA stage while keeping the linearity up [1]. One of them is the envelope elimination and restoration method (EER), which consists of detecting, eliminating, and regenerating the envelope by controlling the bias level of a saturated amplifier [2]. In this way, the PAE of the amplifier is significantly increased even with low-input power levels. The study developed at the University of California, San Diego, shows that it is possible to improve the consumption of the amplifier, as well as the linearity of the system, compared to a system with fixed bias and by controlling the drain voltage of a metal semiconductor field-effect transistor (MESFET). The method suggested takes as a starting point the EER method, i.e., controlling the drain voltage according to the level of the signal’s envelope. The difference with the EER method is that the envelope is not separated from the signal for amplification. The control of the drain voltage is realized using a dc–dc converter [3]–[5]. However, the design of such a high-speed dc–dc converter for broad-band communication systems still remains a difficult task. On the contrary, the linearization technique proposed in this paper is based on the discrete control of the dc bias levels of the amplifier with fast low-loss switches. The bias levels of the SSPA are controlled according to the power level associated with the symbol to be transmitted. The goal is to keep the amplifier at a fixed power gain close to gain compression for each of the three power levels of the 16-QAM modulation. Thus, the PAE can be kept optimum for each symbol of the constellation. To obtain the best linearity (i.e., no distortion of the constellation), the power gain and phase shift of the amplifier must stay identical for the three power levels. This can be achieved thanks to the use of three bias points corresponding to the three power levels. The biasing control board and provides three adjustable gate–source bias voltages . The three three adjustable drain–source bias voltages are switched at the symbol rate of the bias levels 16-QAM modulation. During the design and the tests of our system, the EVM criterion is used to evaluate the linearity. We have designed and built a 19.8-GHz hybrid solid-state power amplifier (SSPA) with an 8 75 m power pseudomorphic high electron-mobility transistor (P-pHEMT). Comparisons

0018-9480/04$20.00 © 2004 IEEE

FORESTIER et al.: JOINT OPTIMIZATION OF PAE AND EVM OF 20-GHz pHEMT AMPLIFIER

1133

Fig. 2. Representation of the EVM: gain and phase decomposition.

The BER criterion quantifies the effect on the binary digit of the nonlinearities generating some distortions on the signal and, thus, errors on the bits. However, the origins of these nonlinearities cannot be known and the source of signal degradation cannot be identified. Thus, we have to use another criterion, which is able to give an insight in the physical origin of the distortion. This distortion can be characterized and represented by the EVM [10], [11] as follows: Fig. 1. in (b).

I -Q constellation for a 16-QAM modulation in (a). “I ” is the waveform

(2) between measured PAE and error-vector measurement (EVM) on the SSPA with a fixed and controlled biasing technique validate the proposed linearization technique. This paper is organized as follows. First, the nonlinearity effects of an amplifier are described and quantified. The result is a definition of the linearity criterion used, i.e., the EVM. The linearization method, as well as the system used, are then described and explained. Finally, several measurements with a dedicated test bench validate the linearization technique described in this paper for filtered and nonfiltered signals. II. LINEARITY CRITERION FOR AN

-QAM MODULATION

Generated from quaternary in-phase and quadrature modulated signals - , the 16-QAM modulation allows a baud rate four times greater than a binary modulation without an increase of the frequency spectrum bandwidth. This modulation, having 16 symbols [see Fig. 1(a)] [7]–[9], produces three amplitude levels and 12 phase shifts. A 16-QAM’s waveform [6] can be expressed with (1), where is the intermediate frequency, and and belongs to a as follows: cardinal alphabet (1) During the amplification, the useful signal is distorted when the amplifier runs in its compression area. This signal’s distortion usually comes with several linear combinations of the input frequencies. In the case of a digital modulation and after demodulation, quick and qualitative characterizations of the importance of the intersymbol interference can be made by observing the - eye diagram. It is then possible to explore this result with the mathematical expression and to infer the bit error rate (BER).

where ideal symbol of the constellation associated with the symbol “ ”; real symbol associated with ; number of the symbols for the - constellation. Moreover, the EVM is strongly related to the BER [12]. The EVM (Fig. 2), expressed in percentage (%), is usually used to characterize the added noise resulting from the transmission. However, for the concerned application, this criterion can be used to include the symbol’s variations caused by both the gain changes and phase fluctuations of the amplifier [10]. Usually, the EVM introduced by a nonlinear device is simulated with the help of the transient envelope simulation technique as it is done for the adjacent channel power ratio (ACPR). However, this kind of simulation is very time consuming. Thus, making a quasistatic assumption, we will derive a method to obtain the EVM from simple one-tone harmonic-balance (HB) simulations.1 For that purpose, consider the vector decomposition shown in Fig. 2. The error vector reads (3) where represents the in-phase gain variation vector and is the quadrature phase-variation vector. A straightforward inspection of the Fig. 2 leads to the expresvalue as sion of the and (4) 1It can be noticed that such a technique could also be applied to evaluated the impact of a nonlinear amplifier on a communication link from one-tone AM/AM and AM/PM load–pull measurements.

1134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 3. I -Q constellation in the ideal and real cases after amplification and correlation between the amplifiers’ power performances, criterion ', G, and EVM criteria.

1 1

The same method applied to

and

Fig. 4. Adaptation of the amplifier’s work while adjusting its bias for the various levels of power generated by 16-QAM modulation. The goal is to obtain, for each level of power, the same power gain and phase for the amplifier.

leads to (5)

Inserting (4) into (5) gives

Fig. 5.

(6) We define variations on parameters

and

as (7)

Self-adaptation of the amplifier’s intrinsic load line.

input power level. A second solution consists of keeping the amplifier, working in its compression zone, in the same nonlinear state (same power gain and phase) for each input power level. This second solution is that which is retained and is described as follows. III. LINEARIZATION METHOD

Hence,

(8)

As expected, the conditions and lead to . The minimization of and results in the minimization of the EVM factor. These conditions characterize an ideal behavior of an amplifier to maintain a constant gain and phase variation for any input level. These conditions are expressed, for a 16-QAM modulation, by an amplification of the whole symbols with the same power gain and phase shift. As Fig. 3 shows, a 16-QAM constellation is amplified without distortion when the intersymbol distance remains unchanged for all the symbols. An amplification with and the same power gain and phase implies that due to and . As shown in Fig. 3, an amplifier behaves as linear if it permanently amplifies with the same power gain and phase. The first solution consists of taking a sufficient backoff to keep the amplifier permanently in its linear zone, whatever the

The 16-QAM modulation has three levels of power, which we , , and . call Thus, and following the EVM criterion and for the three power levels of the modulation, the amplifier always remains in the same nonlinear state. For each one of the three levels of power and whatever the nonlinear regime work chosen, the amplifier must amplify the signal with the same gain and phase not to distort the constellation and, thus, to preserve the linearity [6]. It is, thus, necessary to adapt the work according to the input power level in order to obtain the gain and phase, which are fixed, as shown in Fig. 4. The control of the amplifier’s bias regulates its work and, thus, allows to adjust the selected performances. This can be related to the self-adaptation of the amplifier’s intrinsic load line according to the level of the applied input power, as shown in Fig. 5. Indeed, according to the power level applied at the input, the bias current can be adjusted and decreased by controlling the gate–source and drain–source voltages without any output power degradation, as shown in Fig. 6. Moreover, adding two degrees of freedom thanks to the active bias control allows to fulfill the required linearity criterion in terms of gain amplification and phase shift of all symbols of the - constellation.

FORESTIER et al.: JOINT OPTIMIZATION OF PAE AND EVM OF 20-GHz pHEMT AMPLIFIER

1135

TABLE I LOOKUP TABLE OF THE CONTROLLED BIAS SYSTEM

Fig. 8. V bias transition according to I and Q at the output of the controlled bias system in the baseband with a 25- load (I = 120 mA).

Fig. 6. Block scheme of the linearization system.

Fig. 7. Synoptic of the controlled bias system of V

and V

Fig. 9. V bias transition according to I and Q at the output of the controlled bias system. biases.

IV. DISCRETE BIAS-CONTROL DESCRIPTION The proposed method [13] allows to control directly and in a discrete way the bias of the amplifier (gate and drain for a pHEMT amplifier) according to the level of power applied at its , , and are power supplies input (Fig. 6). that will be adaptively changed according to the average power level of the constellation due to the application used [13]. With a logical interpretation of the quaternary signals [see Fig. (b)1], we can indicate what power circle is associated with the emitted symbol. As shown in Fig. 7, the voltage and , which can take and signals, values are compared to the binary values “1” and “0,” respectively. Thanks to that, the relation of the symbols with the various power circles can be expressed by the way of a logical equation (see Table I). Thanks to the quaternary signals, therefore being centred on the origin, we obtain the various binary levels by

Fig. 10.

V

squaring gives the

’s bias applied to the amplifier after filtering.

and and

signals and by adjusting their levels, which signals.

1136

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Validation of the transistor’s model at 25.5 GHz.

From these three binary digits , it is then possible to control the single-pole through analog switches (SPSTs) , ns max, ns max, and mA, which regulate the bias. Thanks to that, for an input power level, one bias point is applied to the amplifier. The principle is the same for the voltage at the gate and drain of the amplifier; only the resulting delay to generate this command must be taken into account regarding the modulated input values, the controlled signal. Thus, according to the and bias system provides the six adjustable levels of bias (three in and three in ), which are shown in Fig. 8. The change in states on and/or requires some minimum time to settle the level of the bias. This required period corresponds to the reaction time of the controlled bias system for detecting and controlling the state change and, thus, to the reaction time to establish the power of the bias level applied. It is evaluated at 400 ns maximum, as shown in Fig. 9. The switches can work up to 50 MHz. Taking into account the technology used for the manufacturing of the board, the system can work at a maximum symbol rate up to 2.5 Mbaud/s or 10 Mbit/s. An inductance is added in series in order to eliminate the overshoots due to the damping between the controlled bias system and the amplifier (Fig. 10). With the technology used and as Fig. 10 demonstrates, it is indeed possible to control the bias of an amplifier in the range V in V and mA and a Mbaud/s or a binary rate symbol rate of the signal Mbit/s. Those limitations are inherent to the technology used for the practical validation of the method. However, the design method used can be easily extended to an integrated switch design, thus increasing the achievable modulation bandwidth. V. AMPLIFIER DESIGN The control of the bias levels induces no significant changes in the design steps of the PA compared to a conventional design,

TABLE II POWER PERFORMANCES OF THE PA AT 1.2-dB COMPRESSION POINT

except for a specific constraint on the linear input/output phase shift. The PA must be allowed to work at a frequency in the -band. Its use is for amplifying a QAM modulated signal having a narrow frequency band: 2 MHz for our study. The PAE and the output power ( ) must be as high as possible, and the phase conversion must be as small as possible 5 . Taking into account the application chosen—control of the amplifier’s bias according to the input power level—the amplifier must be steady-state stability with small and high signals for all bias points. However, a new optimization criterion concerning the amplifier’s phase shift in the small-signal regime must be taken into account. This phase shift must remain constant for all the amplifier’s bias points. This constraint, with the active bias control, makes it possible for the gain and phase to remain identical for each power level. A 0.15- m gate-length P-pHEMT transistor with a double (GaAs–InGaAs–AlGaAs) heterojunction is used to validate the method. A nonlinear model of an 8 75 m and total gatewidth device has been extracted from pulsed measurements in the 2-40-GHz bandwidth [14]. With this aim, active load–pull measurements have been performed on this device at the working frequency of 25.5 GHz [15]. The load . The quiescent bias impedance value is V and V. These values point is set to correspond to an AB operating class with a quiescent drain curmA (Fig. 11). rent The hybrid PA is made of one stage only, which is optimized for power performances. The load impedance is optimized in

FORESTIER et al.: JOINT OPTIMIZATION OF PAE AND EVM OF 20-GHz pHEMT AMPLIFIER

Fig. 12. Synoptic of the Telecom test bench used for the validation and the measurement of the system: amplifier modulation.

order to obtain the optimum of the PAE with the bias point corresponds to the maximum input power level. The results obtained with a 50- load impedance for a smallV, signal gain of 8.4 dB and a quiescent bias point ( V) at the working frequency 19.8 GHz are listed in Table II.

VI. VALIDATION OF THE LINEARIZATION METHOD A. Measurements Description Measurements are carried out using a Telecom test bench [16], where the 16-QAM modulation filtered or nonfiltered is generated in baseband with an arbitrary waveform generator (AWG) before being modulated and being transposed to GHz and then to the GHz (Fig. 12). For that, a pseudorandom binary stream (PRBS) is generated, allowing to obtain 10 000 states making up a sequence of 1000 symbols for or . Another AWG with the same sequence and synchronized with the previous AWG also generates the same nonfiltered and signals (Fig. 12). These two signals are then processed in order to obtain the desired controlled bias. Such a method allows to dissociate the amplifier’s input signal from the signal being useful for the control bias system, as shown in Fig. 12. Thanks to that, the amplitude and offset of two and signals can be adjusted to minimize local oscillator local (OL) escape, in addition to the rejection loop (Fig. 12) and to generate a signal to the - modulator with sufficiently low levels to work in its linear regime. The filtering of two and signals is carried out directly during the generation of the two sequences. This filtering, carried out in software, is a Nyquist filter with a raised cosine. The

1137

+ control bias system for a numerical 16-QAM

delay generated by the filter is taken into account and is compensated before the generation of the sequences. The symbol rate chosen, for the following measurements, is 1 Mbaud/s or 4 Mbit/s. The technology used for the design of the device system of the controlled bias does not make it possible to work beyond 2.5 Mbaud/s. The demodulation is carried out in the same way, namely, initially brought to 3.4 GHz before being transposed in baseband. In the downward chain, a generic demodulator carries out the GHz (Fig. 12). measurement of the EVM criterion at This measurement of the EVM is referenced to an ideal constellation generated by the generic demodulator. The representation and measurement of the constellation at the end of the chain are paths made with a digital oscilloscope by observing and after demodulation. Measurements are made with a fixed bias of the amplifier for various levels of the average power. These measurements are then renewed by activating the controlled bias system of the amplifier. B. Comparison With a Nonfiltered 16-QAM Signal The control bias system allows to adjust, for each power level, the symbols in order to respect the intersymbol distance, thus preserving the linearity. This control operates on the power gain as well as on the phase of the amplifier, thus amplifying each symbol with the same gain and phase. Indeed, for the same average constellation power, the possible adjustment of the bias allows to form [see Fig. 13(b)], power level by power level, the constellation initially distorted with a fixed bias point [see Fig. 13(a)]. Thus, the linearity of the signal is improved. The contribution of this degree, obtained with the independent bias control, is allowed by reforming the - constellation

1138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 14. (a) Representation of the EVM criterion and (b) the PAE of the the control bias system according to the average input power amplifier level with or without the control system. Fixed bias point corresponds to an operation of the amplifier in AB class. V : V and V : V.

+

= 00 4

Fig. 13. I -Q constellation with: (a) fixed bias V and (b) with the active bias control.

= 00 4 V, :

V

=29V :

TABLE III COMPARISON WITH AND WITHOUT BIAS CONTROL

to improve the linearity by catching up the deformations caused by the amplifier. The results of the previous measurements show that, in addition to improving the linearity of the transmission/reception system, it is also possible to improve the PAE for all symbols of the constellation. Indeed, the EVM with the control bias system is better than that obtained with a backoff value of over 10 dB. Its value changes from 0.9% for a linear work of the amplifier to 0.1% (Table III), whereas the amplifier is in its compression zone: nonlinear regime. However, if we compare in Table III the PAE without taking into account the linearity for the same input power level, its value is 9% for a fixed bias point in the AB class

=29

and is 26.5% with the control bias system, which represents an improvement of 17.5 points. As Fig. 14 shows, the improvement in terms of the EVM linearity and PAE is possible for the whole of the input power levels compared to a work in the AB class. It allows to main0.1 for tain the amplifier with the same state of linearity all of the AM/AM conversion [see Fig. 14(a)] and to decrease the consumption of the amplifier, thus improving the PAE [see Fig. 14(b)]. Thanks to the measurements, the method allowing to improve not only the PAE, but also the linearity of an amplifier working in a nonlinear regime for a 16-QAM numerical modulation is validated. However, the consumption of all of the system is to be taken into account. Other measurements must confirm that all the energy recovered for the amplifier is not lost in the conswitches trol bias system. For this study, the value of the is known and evaluated at 0.4 . By knowing the average current of each switch, it is possible to calculate the average power dissipated by the control bias according to the following: (9) For the input power level chosen in Table III, the consumption of the control bias system is evaluated at 3.3 mW for mA and V. If this consumption is included with that of the amplifier, it allows to calculate the PAE of the linearization system: amplifier control bias system, the value changes from 26.5% for the amplifier alone to 26%, as shown in Table III, whereas the system allows for the same power level, an improvement of 17.5 points for the PAE, the loss generated by the addition of the control bias system is lower than one point.

FORESTIER et al.: JOINT OPTIMIZATION OF PAE AND EVM OF 20-GHz pHEMT AMPLIFIER

1139

Fig. 15. EVM and ACPR measures and comparisons with the controlled bias system and with AB class: V = 0:4 V and V = 2:9 V.

0

Thus, this brings back the improvement of the PAE to 17 points for this input power level compared to work in the AB class by taking into account at the same time of the consumption of all, as shown in Fig. 14(b), whereas it would have been necessary to take a sufficiently large backoff to obtain the desired level of the linearity, bringing the PAE to less than 1%, the control bias system allows to work at a nonlinear regime and, thus, with a higher output power level. This method makes it possible to use the amplifier in an optimal work in term of power performances. C. Comparison With a Filtered 16-QAM Signal While the output power does not depend on the filtering of the input signal, the PAE and EVM do. In the case of the low average input powers (for a gain compression below 1 dB), the PAE with filtered input is lower than for the unfiltered case. For the higher average input powers, it appears that reducing the EVM is more difficult than in the case of unfiltered inputs. The application of adequate bias is more difficult to apply for each state of or filtered signals, there is not one given power level, but a countless levels for the same state or symbol. However, the performances are still improved compared to a fixed bias, thus allowing to work with higher power without degrading the linearity. The ACPR is defined over a bandwidth of 1 MHz with a step : rolloff factor, frequency equal to MHz: symbol rate , i.e., 1.35 MHz. This shift, representing another user, corresponds to a junction of the principal lobes of the two spectra (user1 and user2) at 20 dB of the maximum of the useful signal. As shown in Fig. 15, thanks to the bias control, the performances of the amplified signal are improved both in terms of PAE and linearity (EVM and ACPR) compared to a fixed bias with or without backoff. The measurements (Fig. 15) also show that it is possible to improve the ACPR as well as the EVM up to a certain point. The controlled bias system, by maintaining the amplifier in the same state of nonlinearity, allows to reconstitute, power level by power level, the - constellation. The intersymbol distance is thus respected, decreasing the probability of the error between bits. The controlled bias system improves, according to its optimal value, the position of each symbol. Initially, the positions of the symbols, as well as their paths are improved, which results in an improvement of both EVM and ACPR criteria (Fig. 15).

Fig. 16. Spectral representation of the output signal after amplification with the control bias system either for the input signal nonfiltered [on (a)] or for an input signal filtered [on (b)]. These two draws make it possible to see no spurious phenomenon appear in both cases.

For a strongly nonlinear regime of the amplifier, the correlation between the ACPR and EVM no longer holds. Indeed, as shown in Fig. 15, it is possible to improve the EVM, whereas the ACPR degrades. This phenomenon induces a widening of the frequency spectrum (degradation of the ACPR), whereas the EVM continues to improve. D. Spurious Phenomenon The spurious phenomenon caused by the dynamically controlled bias remain insignificant, as compared to the modulation signal. Contrary to dc–dc converters, where the spurious phenomenon are observed at the switching frequency, this system generates the spurious at the symbol rate frequency (Fig. 16), i.e., between the main and secondary lobes. Moreover, as is shown Fig. 16, the low level of spurious phenomenon cannot be observed on the spectral representation. VII. CONCLUSION This paper has demonstrated that the applied bias levels can accurately control the nonlinear working state of a pHEMT amplifier. In the case of a 16-QAM modulation, three discrete bias

1140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

levels are necessary to keep the amplifier in the same nonlinear state for any power level of the constellation. Performances, in terms of PAE and linearity, are significantly improved. These kinds of modulation, combined with this linearization technique, can be used for broad-band satellite communication systems without any backoff on the power stage. The performances obtained become more significant since the amplifier has a higher gain and higher output power. The consumption of the bias-controlled system will be even more insignificant, as compared to the amplifier’s consumption. Moreover, the current fixed at 300 mA in maximum can be increased while placing several switches in parallel. Using a digital signal processor (DSP) to control the switches would allow a symbol rate definitely higher (maximum 50 Mbauds/s) with the same consumption of the controlled bias circuit as that obtained for 1 Mbauds/s. Contrary to dc–dc converters, this shows that the discrete bias control for an -QAM modulation allows to obtain the same performances for any rate within the limits of the controlled system either for low or high input powers. The improvement of the control of the amplifier’s bias in gate and drain is 15.6 points for the PAE of all the system—amplifier controlled bias system—as compared to a work in the AB class with the same low EVM. Such a method allows to obtain an efficiency of drain equal to 35.6% for the amplifier alone and equal to 35% when the consumption of the controlled bias device is taken into account. The logical approach for the controlled bias system is an alternative of the dc–dc converter with biggest performances in terms of symbol rate, PAE and spurious phenomenon. Any kind of linearization method becomes interesting as long as the output power level and symbol rate are high [18]. Future studies will focus on these points, i.e., obtaining control ) with a higher binary with low power consumption (low or symbol rate. This can be done with a monolithic-microwave integratedcircuit (MMIC) design where both the amplifier and controlled bias system with the same technology will be integrated, i.e., GHz . P-pHEMT ACKNOWLEDGMENT The authors are thankful to T. Robert and F. Gizard, both of the Centre National d’Etudes Spatiales (CNES), Toulouse, France, for their technical advice. The authors would also like to thank P. Fellon, United Monolithic Semiconductors (UMS), Orsay, France, for his part in this study.

[5] G. Hannigton et al., “Microwave power amplifier efficiency improvement with a 10 MHz HBT DC–DC converter,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, 1998, pp. 589–592. [6] M. Tummla et al., “Volterra series based modeling and compensation of nonlinearities in high power amplifier,” in IEEE Int. Acoustics, Speech, Signal Processing Conf., vol. 3, 1997, pp. 2417–2420. [7] K. Yang et al., “High efficiency class a power amplifiers with a dual bias control scheme,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 1426–1432, Aug. 1999. [8] D. Smely et al., “Improvement of efficiency and linearity of a harmonic control amplifier by envelope controlled bias voltage,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, June 1998, pp. 1667–1670. [9] G. Hanington et al., “High-efficiency power amplifier using dynamic power-supply voltage for CDMA applications,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 1471–1476, Aug. 1999. [10] R. Hassun et al., “Effective evaluation of link quality using error vector magnitude techniques,” in IEEE Wireless Communications Conf., 1997, pp. 89–94. [11] H. S. Yap et al., “Designing to digital wireless specifications using circuit envelope simulation,” in IEEE Asia–Pacific Microwave Conf., 1997, pp. 173–176. [12] R. Hassun et al., “Effective evaluation of link quality using error vector magnitude techniques,” in Wireless Communications Conf., Aug. 1997, pp. 89–94. [13] L. Lapierre, “Emetteur de signaux radioélectriques modulés à polarization d’amplification auto-adaptée,” CNES, Toulouse, France, Brevet.11943. [14] B. Mallet-Guy et al., “Direct extraction of a distributed nonlinear FET model from pulsed I –V /pulsed S -parameter measurements,” IEEE Microwave Guided Wave Lett., vol. 8, pp. 102–104, Feb. 1997. [15] D. Barataud et al., “Measurements and control of I=V waveforms of microwave transistors using an harmonic load–pull system for the optimum design of high efficiency power amplifiers,” IEEE Trans. Instrum. Meas., vol. 48, pp. 835–842, Aug. 1999. [16] A. Mallet et al., “A new satellite repeater amplifier characterization system for large bandwidth NPR and modulated signals measurements,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Seattle, June 2002, pp. 2245–2248. [17] M. Bussmann et al., “PRBS generation and error detection above 10 Gb/s using a monolithic Si bipolar IC,” J. Lightwave Technol., vol. 12, pp. 353–360, Feb. 1994. [18] K. Fujita et al., “A 5 GHz high efficiency and low distortion InGaP/GaAs HBT power amplifier MMIC,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, 2003, pp. 871–874.

Stéphane Forestier was born in Paris, France, on December 19, 1975. He received the Electrical Master’s degree from the University of Nantes, Nantes, France, in 2003, and Electrical Engineering degree from the Ecole Polytechnique de l’Université de Nantes (EPUN), Nantes, France, in 2000, and the Ph.D. degree in microwave communications from the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges, Brive, France, in 2003. His research interests are millimeter-wave SSPA design and linearizer systems.

REFERENCES [1] F. H. Raab et al., “Power amplifiers and transmitter for RF and microwave,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 814–826, Mar. 2002. [2] M. D. Weiss et al., “Linearity of X -band class F power amplifiers in high efficiency transmitters,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1174–1179, June 2001. [3] M. Ranjan et al., “Microwave power amplifier with digitally-controlled power supply voltage for high efficiency and high linearity,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 2000, pp. 493–496. [4] P. M. Asbeck et al., “Synergistic design of DSP and power amplifiers for wireless communications,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 2163–2169, Nov. 2001.

Philippe Bouysse was born in Aurillac, France, on September 18, 1965. He received the Ph.D. degree in communication engineering from the University of Limoges, Brive, France, in 1992. Since 1992, he has been with the University of Limoges, as a Teacher and Researcher with the Institut de Recherche en Communications Optiques et Microondes (IRCOM). His main research interests are the modeling of microwave transistors and the design and optimization of microwave PAs.

FORESTIER et al.: JOINT OPTIMIZATION OF PAE AND EVM OF 20-GHz pHEMT AMPLIFIER

Raymond Quere (M’88–SM’99) received the Electrical Engineering degree and French agrégation degree in physics from ENSEEIHT-Toulouse, Toulouse, France, in 1976 and 1978, respectively, and the Ph.D. degree in electrical engineering from the University of Limoges, Brive, France, in 1989. In 1992, he became a Full Professor with the University of Limoges, where he currently heads the research group on high-frequency nonlinear circuits and systems with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), Centre National de la Recherche Scientifique (CNRS), Toulouse, France. He is mainly involved in nonlinear modeling and design of microwave devices and circuits. He has authored or coauthored numerous papers and communications and coauthored a book on nonlinear stability analysis of microwave circuits. Dr. Quere is member of the Technical Program Committee (TPC) for several conferences such as the European GaAs Conference. He is the chairman of European Microwave Week, Paris, France, 2005. He is a reviewer for numerous IEEE TRANSACTIONS.

Alain Mallet was born in Limoges, France, on November 24, 1971. He received the Ph.D. degree in microwave communications from the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges, Brive, France, in 1996. In 1993, he joined IRCOM. He was involved with high-efficiency amplifiers design methods. In 1999, he joined the Centre National d’Etudes Spatiales (CNES), Toulouse, France, where he is currently a Research Engineer involved in topics related to active components such as characterization, modelization, simulation, and design.

1141

Jean-Michel Nebus was born in Bourganeuf, France, on April 13, 1963. He received the Ph.D. degree in communication engineering from the University of Limoges, Brive, France, in 1988. From 1988 to 1990, he was an Engineer with ALCATEL ESPACE, Toulouse, France, where he was involved with TELECOM 2 satellite payload development. In 1990, he rejoined the University of Limoges, where he is currently a Teacher and Researcher involved with microwave circuits.

Luc Lapierre was born in Clermont-Ferrand, France, in 1957. He received the Dipl-Ing. degree from the Ecole Superieure d’Electricite (ESE), Paris, France, in 1980. In December 1981, he joined the Centre National d’Etudes Spatiales (CNES), Toulouse, France, where he was involved in microwave research activities mainly oriented on solid-state components. He is also a microwave expert in the development of equipments for satellites. Since July 1998, he has been the Head of the Microwave Department, CNES.

1142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Arbitrary Dual-Band Components Using Composite Right/Left-Handed Transmission Lines I-Hsiang Lin, Student Member, IEEE, Marc DeVincentis, Member, IEEE, Christophe Caloz, Member, IEEE, and Tatsuo Itoh, Fellow, IEEE

Abstract—Arbitrary dual-band microstrip components using composite right/left-handed (CRLH) transmission lines (TLs) are presented. Theory, synthesis procedure, and implementation of the dual-band quarter-wave ( 4) CRLH TL are presented. Arbitrary dual-band operation is achieved by the frequency offset and the phase slope of the CRLH TL. The frequency ratio of the two operating frequencies can be a noninteger. The dual-band 4 open/short-circuit stub, dual-band branch-line coupler (BLC), and dual-band rat-race coupler (RRC) are also demonstrated. The performances of these dual-band components are demonstrated by both simulated and measured results. Insertion loss is larger than 23 dB for the shunt 4 CRLH TL open-circuit stub and less 4 CRLH TL short-circuit stub at than 0.25 dB for the shunt each passband. The dual-band BLC exhibits 21 and 31 larger than 4.034 dB, return losses larger than 17 dB, isolations larger than 13 dB, phase differences 90 1.5 , and gain imbalance less than 0.5 dB at each passband. The dual-band RRC exhibits 21 and 31 larger than 4.126 dB, return losses larger than 12 dB, isolations larger than 30 dB, phase difference 180 4 , and gain imbalance less than 0.2 dB at each passband. Index Terms—Baluns, directional couplers, left-handed (LH), transmission lines (TLs).

dual-band,

I. INTRODUCTION

R

ECENTLY, left-handed (LH) materials have gained significant attention in the microwave community [1]–[4]. LH materials are so termed because of the LH triad formed by the electric field, magnetic field, and wave vector, causing the phase and group velocities to be antiparallel [5]. The first experimental realizations of LH materials were demonstrated in [1] and [2]. A theoretical model and practical implementation of an artificial LH transmission line (TL) using interdigital capacitors and short-circuit stub inductors were proposed in [3]. A two-dimensional LH structure implemented by surface-mount-technology (SMT) lumped elements (LEs) was presented in [4]. In this paper, LH TLs are shown to be useful in the design of arbitrary dual-band microwave components. Dual-band components are beneficial to reduce the number of circuit components in modern wireless communication systems having two frequency bands. In wireless front-end circuits, branch-line couManuscript received July 15, 2003; revised January 8, 2004. This work was supported by the Multiuniversity Research Initiative under the Scalable and Reconfigurable Electromagnetic Metamaterials and Devices program, by the Department of Defense under Contract N00014-01-1-0803, and monitored by the U.S. Navy/Office of Naval Research. I.-H. Lin, C. Caloz, and T. Itoh are with the Electrical Engineering Department and Microwave Electronics Laboratory, University of California at Los Angeles, Los Angeles, CA 90095 USA (e-mail: [email protected]). M. DeVincentis is with the Luxim Cooperation, Los Angeles, CA 90405 USA. Digital Object Identifier 10.1109/TMTT.2004.825747

Fig. 1. T-type unit cells of artificial RH and LH TLs, respectively. (a) RH TL. (b) LH TL.

plers (BLCs) and rat-race couplers (RRCs) are widely used for dividing an input signal into two signals having 90 and 180 phase difference, respectively. The conventional BLC and conconventional TLs, which are ventional RRC consisting of referred to as right-handed (RH) TLs, only operate at a funand at its odd harmonics [6]–[8]. The damental frequency second operating frequency of a conventional microwave direc. Thus, RH tional coupler is usually the first odd harmonic or TLs are not practical in dual-band configurations since current wireless standards do not employ operating frequencies separated by a factor of three. This limitation can be overcome by implementing components consisting of composite right/lefthanded (CRLH) TLs. The dual-band components presented in this paper have a first operating frequency at the fundamental frequency , and a variable second operating frequency, which . is not necessarily The CRLH TL, which is the combination of an LH TL and a RH TL, is proposed in [3] and [9]. The equivalent LE model of the LH TL exhibits positive phase response (phase lead). On the other hand, the RH TL has negative phase response (phase lag). TL, These attributes are applied to the design of a dual-band in which the phase response of the CRLH TL is manipulated to yield electrical lengths of 90 at two arbitrary frequencies. In the phase response of a CRLH TL, the zero phase frequency and phase slope can be adjusted arbitrarily. This provides the additional degree of freedom necessary to realize an arbitrary TL is a fundamental dual-band frequency response. The building block of microwave resonant circuits such as the BLC and RRC. Therefore, the second operating frequencies of the short/open-circuit stub, BLC, and RRC can be arbitrarily designed by replacing their RH TLs with CRLH TLs. II. COMPOSITE RH/LH TLs A. LH TL and RH TL Here, artificial TLs are implemented by LEs. The unit cells of the artificial LE implementation of the RH TLs and the LH TLs are shown in Fig. 1 [10]. and are inductance and

0018-9480/04$20.00 © 2004 IEEE

LIN et al.: ARBITRARY DUAL-BAND COMPONENTS USING CRLH TLs

1143

capacitance, respectively, in the unit cells of the equivalent LE and are inductance and capacimodel of the RH TL. tance, respectively, in the unit cells in the equivalent LE model of the LH TL. The artificial TLs are obtained by cascading unit cells, provided that the phase shift induced by a unit cell is much smaller , keeping with the infinitesimal approximation assumpthan tion. is larger than the minimum integer for ladder approximation, as calculated in [10]. The LH TL is the electrical dual of the RH TL, such that the positions of the inductors and capacitors have been interchanged. The total inductance and capacitance of each unit cell are the same. The phase response of the two unit cells of the artificial RH and LH TL in Fig. 1 are

(1a)

Fig. 2. Typical phase responses of an ideal RH TL, LH TL, and CRLH TL.

Fig. 3. Phase responses of the RH TL and CRLH TL, which both have electrical lengths of 90 at f .

(5)

The phase response of an ideal CRLH TL is shown in Fig. 2, which is the superposition of phase responses of an ideal LH TL and an ideal RH TL. At low frequencies, the CRLH TL phase curve approaches to the LH TL phase curve because the immitand are negligible, which, according to (1b), cortances responds to the positive phase response (phase lead). At high frequencies, the CRLH TL phase curve approaches to the RH and are negTL phase curve because the immittances ligible, which, according to (1a), corresponds to the negative phase response (phase lag). As a consequence, the CRLH TL phase curve crosses the zero-phase axis (zero electrical length) with an offset at a transition frequency between the LH and RH ranges. The offset from zero frequency provides an additional degree of freedom with respect to the RH TL, in which only the phase slope can be controlled. Therefore, the CRLH TL phase curve can intercept a desired pair of phases at any arbitrary pair of frequencies for dual-band operation. An LE-implemented CRLH TL is the series combination of an LE-implemented LH TL and an LE-implemented RH TL. Unlike the ideal case, a LE-implemented CRLH TL has innate LH and RH cutoff frequencies as [10]

(6)

(8)

The RH TL has a negative phase response (phase lag) pro, whereas the LH TL has a positive phase portional to [10]. response (phase lead) proportional to

(9)

(1b) where the subscripts and refer to RH and LH, respectively, and are the characteristic impedances defined as and (2) The phase responses of the artificial TL are (3) (4) and are much smaller than , the LE If approach will approximate the infinitesimal model. Thus, the phase response of the artificial TLs can be further simplified as [10]

respectively. Nevertheless, the infinitesimal model in the ideal case provides a first-order approximation of the phase response.

B. CRLH TL Unit cell lengths of ideal TLs are infinitesimal. An ideal CRLH TL [3], [11] is the series combination of an ideal LH TL and an ideal RH TL, both of which do not have cutoff frequencies, resulting in the phase response (7) where the index

denotes CRLH.

III. DUAL-BAND A.

CRLH TL

CRLH TL

RH TL phase curve in Fig. 3 is a straight line Since the (assuming zero dispersion), the opfrom zero frequency to erating frequency at 90 dictates the next usable frequency at 270 . On the other hand, a CRLH TL has an electrical length of and at two arbitrary operating frequen-

1144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

to satisfy the approximation for the LE Step 2) Choose , i.e., from approach (4). product from Step 3) Use and to determine the (16). and with the product and the Step 4) Solve for in (2) to obtain chosen characteristic impedance Fig. 4. Photograph and schematic of the CRLH TL used for the proposed design.

cies since the frequency offset and phase slope are freely adjustable, as will be explained in Section II-B. B. Arbitrary Dual-Band Design and If the operating frequencies are chosen to be shown in Fig. 3, the phase response will be 90 at 270 at . The phase response of the CRLH TL at can be written as

, as and and

(19) or to obtain the electrical length of the Step 5) Use by using RH TL and, hence, its physical length standard microstrip line formulas. from (8). If , the design is Step 6) Calculate in Step 2) complete. Otherwise, choose a larger and repeat Steps 3)–6). In practice, the self-resonant frequency (SRF) of SMT chip components and parasitic effects of soldering and vias set a high-frequency limit to the design. However, the effect of SRF is the dominant one in this type of design.

(10) IV. (11) with (12) where is not necessarily an integer. From (5)–(7), (10) and (11) can be written as the following simpler approximate expressions: (13) (14) (15) (16) C. Implementation A photograph and schematic of the CRLH TL are shown in Fig. 4. The LH TL section consists of two T-type unit cells with and shunt inductors of value , series capacitors of value which are implemented by SMT chip components. Here, the RH TL sections are simply two microstrip lines on each side of the LH section. For a more compact design, the RH TL sections could also be implemented with SMT components. The synthesis procedure for an arbitrary pair of frequencies and is as follows. Step 1) For given and , solve for and in (13) and (14) to obtain (17)

(18)

CRLH OPEN/SHORT-CIRCUIT STUB

The open- and short-circuit stubs are widely used as harmonic terminations. In the conventional case, the RH TL open-circuit stub terminates signals with frequencies of , and the RH TL short-circuit stub terminates signals with frequencies of , where is an integer. CRLH TL is designed so that the phase response is A 90 at and 270 ( 90 ) at , where is not necesCRLH open-circuit stub sarily an integer multiple of . A terminates signals at frequencies of , , and other higher freCRLH short-circuit stub has high impedances quencies. A at frequencies of , , and other higher frequencies. Higher because of the nonfrequencies are not integer multiples of CRLH linear phase response of the LH TL. Therefore, the TL provides more flexibility for terminating harmonics. CRLH TLs are simulated With a view to higher accuracy, with thru-reflect-line (TRL)-calibrated measured -parameters for the LH TL sections and microstrip-line mathematical model for RH TL sections. All circuits are fabricated on Duroid6510 substrates with a dielectric constant of 10.2 and a thickness of 1.27 mm. All RH TLs with 50- characteristic impedance are implemented with microstrip lines with a width of 1.2 mm on this substrate. In this paper, the sizes of all SMT chip components, provided by the Murata Manufacturing Company Ltd., Kyoto, Japan, are 1.6 mm 0.8 mm (0603). The design procedure discussed in Section III-C was used to , , and , where and are chosen generate values of as 880 and 1670 MHz, respectively. The frequency ratio is 1.9. However, there are limited available values of inductance/capacitance of SMT chip components, and parasitic effects of the SMT chip components have to be considered. Therefore, the and in the fabricated circuit are slightly difvalues of ferent from the design values in (19). The designed and availand in the CRLH TL open/short-cirable values of cuit stubs are compared in Table I. The comparison in Table I indicates the synthesis procedure gives close estimated values of

LIN et al.: ARBITRARY DUAL-BAND COMPONENTS USING CRLH TLs

1145

TABLE I DESIGNED VALUES FROM (19) AND AVAILABLE VALUES OF L AND C IN THE =4 CRLH OPEN/SHORT-CIRCUIT STUB

TABLE II INFORMATION

ON THE CHIP COMPONENTS IN THE OPEN/SHORT-CIRCUIT STUB

=4 CRLH

Fig. 7. Simulated and measured S -parameters of the =4 CRLH open stub in Fig. 5.

Fig. 5. Photograph and schematic of the fabricated test circuit for the CRLH open-circuit stub.

=4

Fig. 8. Simulated and measured S -parameters of the =4 CRLH short stub in Fig. 6.

Fig. 9. Conceptual schematics of the dual-band BLC: (a) at f and (b) at f . The characteristic impedance Z is 50 . Fig. 6. Photograph and schematic of the fabricated test circuit for the CRLH short-circuit stub.

=4

and . Parasitic inductances of the vias are also expected in the CRLH short-circuit stub. Deto result in shorter tails of the SMT chip components used for the proposed design are listed in Table II. The test circuits are fabricated and measured. In the test cirCRLH open/short-circuit stubs are shunt connected cuit, the to a microstrip line. Photographs of the circuits are shown in Figs. 5 and 6. The simulated and measured results are shown CRLH in Figs. 7 and 8. The measurement shows that the open-circuit stub creates two stopbands at 890 and 1670 MHz, CRLH short-circuit stub creates two passbands whereas the

at 870 and 670 MHz. The two stopbands in Fig. 7 and the two passbands in Fig. 8 correspond to and very well. V. DUAL-BAND BLC A. Principle of Dual-Band BLC A dual-band BLC is obtained by replacing the RH TLs CRLH TLs, which have phase in a conventional BLC with responses, as shown in Fig. 3, working at designated frequencies and [12]. The conceptual schematics of the dual-band BLC are shown in Fig. 9. At , the dual-band BLC works in the same way as the conventional BLC. At , the phase response of each branch line becomes 270 . From the even–odd-mode analysis of the structure in Fig. 9(b) [8], the only difference between two

1146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 11. Simulated S -parameters of the BLC of Fig. 10.

Fig. 10. Photograph of the new BLC and schematics of the implemented LH TL sections. The characteristic impedance Z is 50 . TABLE III INFORMATION ON THE CHIP COMPONENTS IN THE DUAL-BAND BLC

Fig. 12. Measured S -parameters of the BLC of Fig. 10.

circuits in Fig. 9(a) and (b) is the sign of the phase difference between the output signals of ports 2 and 3.

TABLE IV PERFORMANCES OF THE BLC IN THE FIRST PASSBAND

B. Implementation A photograph of the implemented circuit with dimension of 81.8 mm 71.3 mm is shown in Fig. 10 with port orientation indicated as well. The two operating frequencies are chosen as MHz and MHz. These two frequencies are chosen so that the first frequency is in the global system for mobile communication (GSM) 900 base station transmit frequency band, and the second frequency is the GSM 1800 mobile phone transmit frequency band. The ratio between these two frequencies is 1.9. The reactances of the chip inductors and susceptances of the chip capacitors vary with frequency, causing variations in the characteristic impedance of the LH TL that result in an amplitude imbalance between two output ports. In order to compensate for these effects, a tuning stub is added to the ( 35 ) CRLH TLs and is included in the simulation. The manufacturer’s part numbers for the chip components are list in Table III. The tolerance is 0.3 nH and 0.25 pF of a chip inductor and chip capacitor, respectively. The RH TLs are implemented with miwith characteristic impedance crostrip lines with a width of 2.3 mm. C. Simulation and Measurement The four-port BLC is measured with an Agilent 8510C network analyzer. Neither time averaging, nor time gating is

TABLE V PERFORMANCES OF THE BLC IN THE SECOND PASSBAND

used in the measurement. The simulated and measured results are shown in Figs. 11 and 12, respectively. The performances in both passbands are summarized in Tables IV and V. In the is shifted to 920 MHz, and is shifted to measurement,

LIN et al.: ARBITRARY DUAL-BAND COMPONENTS USING CRLH TLs

1147

Fig. 15. Photograph of the new RRC and the schematic of the implemented LH TL sections. The characteristic impedance Z is 50 . Fig. 13. Phase difference between S

and S

of the BLC of Fig. 10.

TABLE VI INFORMATION ON THE CHIP COMPONENTS IN THE RRC

Fig. 14. Conceptual schematics of the dual-band RRC: (a) at f and (b) at f . The characteristic impedance Z is 50 .

1740 MHz. In both simulation and measurement, the phase and are 90 at and , as differences between shown in Fig. 13. Quadrature phase differences are obtained with errors less than 1.5 at and . The amplitude imbalance and is less than 0.5 dB in both passbands, between which means that the incident power is evenly divided between is larger than ports 2 and 3. The 1-dB bandwidth 3.4%, where it is defined as the frequency range in which the and are larger amplitude imbalance is less than 1 dB, than 5 dB, isolation/return loss is larger than 10 dB, and quadrature phase error is less than 11.1%. VI. DUAL-BAND RRC A. Principle of Dual-Band RRC RH TLs A dual-band RRC is obtained by replacing the in a conventional RRC with CRLH TLs working at desand . The conceptual schematics ignated frequencies of of the dual-band RRC are shown in Fig. 14. The RRC can be TL considered as a loop consisting of six segments of a with characteristic impedances of . At , the dual-band RRC works in the same way as the conventional RRC. At , the phase responses of each one-sixth become 270 . From the even-odd mode analysis in [8], the structure in Fig. 14(b) can be determined to work in the same way as the structure in Fig. 14(a). B. Implementation A photograph of the implemented circuit with a dimension of 110.2 mm 100.9 mm is shown in Fig. 15 with port orientation

Fig. 16.

Simulated S -parameters of the RRC of Fig. 15.

indicated as well. The two operating frequencies are chosen as MHz and MHz. These two frequencies are chosen to have a ratio of 2.0 for use in this demonstration. The manufacturer’s part numbers for the chip components are listed in Table VI. The tolerance is 0.3 nH and 0.6 pF for a chip inductor and chip capacitor, respectively. C. Simulation and Measurement The measurement setup is the same as it is in Section V-C. The simulated and measured results are shown in Figs. 16 and 17, respectively. The performances in both passbands are summarized in Tables VII and VIII. In the measurement, is shifted is shifted to 3043 MHz. In both simulato 1544 MHz, and and tion and measurement, the phase differences between are 180 at and , as shown in Fig. 18. Half-wave phase difference is obtained with errors less than 4 . The amand is less than 0.29 dB in plitude imbalance between is same as the BLC both passbands. The definition of in Section V-C, where phase error is less than 10 out of 180 in the RRC. In both passbands, is larger than 5%.

1148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

VII. CONCLUSION

Fig. 17.

Measured S -parameters of the RRC of Fig. 15. TABLE VII PERFORMANCES OF THE RRC IN THE FIRST PASSBAND

In this paper, novel arbitrary dual-band microwave compoCRLH TLs have been presented. Both the nents based on theory and implementation of these components have been developed. Arbitrary dual-band operation is possible because the phase response of the CRLH TL can be adjusted by choosing both the frequency offset and phase slope. CRLH open-circuit stub terminates signals The shunt CRLH at two arbitrary frequencies, whereas the shunt short-circuit stub creates two arbitrary passbands. Thus, more flexibility in harmonic termination techniques is acquired. Novel arbitrary dual-band BLC and RRC were proposed by RH TLs with CRLH TLs. The simply replacing the size of these circuits may be reduced by replacing the microstrip lines with lumped-distributed elements [13]. A method to develop one single hybrid having two operating frequencies CRLH TL can be utilized in has been proposed. The TLs. Thus, many many microwave components that have applications may be candidates for dual-band operation. The operating frequencies of the prototypes were mainly limited by the SRF of the SMT chip components. Monolithic-microwave integrated-circuit (MMIC) implementations of the proposed BLC/RRC may be useful in many dual-band applications of modern mobile communication and wireless local area network (WLAN) standards since MMIC passive components typically have much higher self-resonant frequencies ACKNOWLEDGMENT

TABLE VIII PERFORMANCES OF THE RRC IN THE SECOND PASSBAND

The authors would like to thank T. Kaneko, Murata Manufacturing, Kyoto, Japan, for offering chip components. REFERENCES

Fig. 18.

Phase difference between S

and S

of the RRC of Fig. 15.

[1] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nassser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [2] R. A. Shelby, D. R. Smith, and S. Schultz, “Experimental verification of a negative index refraction,” Science, vol. 292, pp. 77–79, Apr. 2001. [3] Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of meta-materials,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 2003, pp. 195–198. [4] K. Iyer and G. V. Eleftheriades, “Negative refractive-index metamaterials supporting 2-D waves,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Seattle, WA, June 2002, pp. 1067–1070. [5] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, pp. 509–514, Jan.–Feb. 1968. [6] J. Reed and G. Wheeler, “A method of analysis of symmetrical four-port networks,” IRE Trans. Microwave Theory Tech., vol. MTT-4, pp. 246–252, Oct. 1956. [7] L.-H. Lu, S. Mohammadi, G. E. Ponchak, P. Bhattacharya, and L. P. B. Katehi, “Design and implementation of micromachined lumped quadrature (90 ) hybrids,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, 2001, pp. 1285–1288. [8] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 7. [9] C. Caloz and T. Itoh, “Transmission line approach of left-handed (LH) structures and microstrip realization of a low-loss broadband LH filter,” IEEE Trans. Antennas Propagat., submitted for publication. [10] , “Application of the transmission line theory of left-handed (LH) materials to the realization of a microstrip LH transmission line,” in IEEE AP-S Int. Symp. Dig., vol. 2, 2002, pp. 412–415. [11] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microwave Wireless Comp. Lett., vol. 14, pp. 68–70, Feb. 2004.

LIN et al.: ARBITRARY DUAL-BAND COMPONENTS USING CRLH TLs

[12] I.-H. Lin, C. Caloz, and T. Itoh, “A branch line coupler with two arbitrary operating frequencies using left-handed transmission lines,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 2003, pp. 325–328. [13] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC application,” IEEE Trans. Microwave Theory Tech., vol. 40, pp. 253–262, Feb. 1992.

I-Hsiang Lin (S’01) received the B.S. degree in electrical engineering from the National Taiwan University, Taipei, Taiwan, R.O.C., in 2001, the M.S. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 2003, and is currently working toward the Ph.D. degree in electrical engineering at UCLA. His research interests include LH metamaterials and microwave/millimeter-wave circuit designs.

Marc DeVincentis (S’99–M’03) was born in Rahway, NJ, in 1977. He received the B.S. degree in electrical engineering from the University of Virginia, Charlottesville, in 1999, and the M.S. and Doctor of Philosophy degrees from the University of California at Los Angeles, in 2002 and 2003, respectively. He is currently with the Luxim Corporation, Los Angeles, CA. He has authored or coauthored over ten refereed journal and conference papers. His research activities include microwave power amplifiers, high-power oscillators, and microwave–plasma interactions. His research on microwave and millimeter-wave electronics has been supported by the Virginia Space Grant Consortium and the Department of Defense through the National Defense Science and Engineering Graduate Fellowship.

Christophe Caloz (S’99–M’03) was born in Sierre, Switzerland, in 1969. He received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. He was with the EPFL, where he developed new numerical approaches of photonic crystals by combining solid state physics and phased arrays principles. He is currently a Research Engineer with the University of California at Los Angeles (UCLA). His recent research includes multilayer and anisotropic photonic bandgap (PBG) structures, components and circuits based on novel CRLH concepts, and metamaterials for microwave circuits and antennas applications. He has authored more than 70 papers in refereed journals and international conferences.

1149

Tatsuo Itoh (S’69–M’69–SM’74–F’82) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. From September 1966 to April 1976, he was with the Electrical Engineering Department, University of Illinois at Urbana-Champaign. From April 1976 to August 1977, he was a Senior Research Engineer with the Radio Physics Laboratory, SRI International, Menlo Park, CA. From August 1977 to June 1978, he was an Associate Professor with the University of Kentucky, Lexington. In July 1978, he joined the faculty at The University of Texas at Austin, where he became a Professor of Electrical Engineering in 1981 and Director of the Electrical Engineering Research Laboratory in 1984. During the summer of 1979, he was a Guest Researcher with AEG-Telefunken, Ulm, Germany. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at The University of Texas at Austin. In September 1984, he was appointed Associate Chairman for Research and Planning of the Electrical and Computer Engineering Department, The University of Texas at Austin. In January 1991, he joined the University of California at Los Angeles (UCLA) as Professor of Electrical Engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics. He was an Honorary Visiting Professor with the Nanjing Institute of Technology, Nanjing, China, and at the Japan Defense Academy. In April 1994, he was appointed an Adjunct Research Officer with the Communications Research Laboratory, Ministry of Post and Telecommunication, Japan. He currently holds a Visiting Professorship with The University of Leeds, Leeds, U.K. He has authored or coauthored 310 journal publications, 640 refereed conference presentations, and has written 30 books/book chapters in the area of microwaves, millimeter waves, antennas, and numerical electromagnetics. He has generated 60 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers of Japan, and Commissions B and D of USNC/URSI. He served as the editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He serves on the Administrative Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was vice president of the IEEE MTT-S in 1989 and president in 1990. He was the editor-in-chief of IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was elected an Honorary Life Member of the IEEE MTT-S in 1994. He was elected a member of the National Academy of Engineering in 2003. He was the chairman of the USNC/URSI Commission D (1988–1990) and chairman of Commission D of the International URSI (1993–1996). He is chair of the Long Range Planning Committee of the URSI. He serves on advisory boards and committees of a number of organizations. He has been the recipient of numerous awards including the 1998 Shida Award presented by the Japanese Ministry of Post and Telecommunications, the 1998 Japan Microwave Prize, the 2000 IEEE Third Millennium Medal, and the 2000 IEEE MTT-S Distinguished Educator Award.

1150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Coplanar Quarter-Wavelength Quasi-Elliptic Filters Without Bond-Wire Bridges Jiafeng Zhou, Michael J. Lancaster, Member, IEEE, and Frederick Huang

Abstract—This paper presents the design and experimental results of two coplanar quarter-wavelength microwave filters operating at a frequency of approximately 3 GHz. Coplanar designs are of particular interest because they are less sensitive to the thickness of the dielectric substrate than other transmission-line types such as a microstrip. One of the designs has a quasi-elliptic frequency response. In addition, the design also eliminates problems with unbalancing of the ground planes normally present in coplanar structures. Bond wires between the ground planes are not required. This paper describes in detail the structure of the resonators and how they are coupled together to form a filter. Fig. 1. Layout of a traditional CPW filter with stubs added between resonators.

Index Terms—Bandpass filter, bonding, coplanar, microwave, quarter-wavelength, quasi-elliptic.

I. INTRODUCTION

C

OPLANAR waveguide (CPW) structures have been proposed as alternatives to microstrip for planar filter designs. The predominant advantages of coplanar structures are that they simplify the fabrication process by using a conductor on only one side of the substrate and also by eliminating via-holes. They are insensitive to the substrate thickness, therefore, offering the possibility of filters with less or no post-fabrication tuning. In addition, having all conductors on the same side, the CPW structure is very suitable for quarter-wavelength-resonator filter design because grounding is easy and requires no vias. Traditional coplanar half-wavelength resonator and filter designs are described in [1]. In modern communication applications, it is necessary to have filters with very sharp skirts. Quasi-elliptic filters, with a pair of or more attenuation poles close to the passband, can greatly improve the response close to the cutoff. Although there are many such filters reported in microstrip topology, it is very interesting to notice that there are not many such reports in coplanar technology. It should be pointed out that there is a two-pole coplanar filter with additional attenuation poles reported in [2]. However, the attenuation poles are realized mainly by adjusting the “tapping” position of the two resonators. It will not be easy to design a quasi-elliptic filter using more than two resonators with this technique. Another interesting four-pole filter with attenuation poles is reported in [3], where the attenuation poles are provided by the distances Manuscript received July 22, 2003; revised November 29, 2003. This work was supported by the U.K. Defence Evaluation Research Agency and by the U.K. Engineering and Physical Sciences Research Council. The authors are with the Department of Electronic, Electrical, and Computer Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825706

between the first and third resonators, and between the second and fourth resonators. In coplanar filter design, it is also necessary to hold ground planes at the same potential to suppress unwanted modes such as the slot-line mode. Bond-wire bridges are usually used to connect ground planes for this purpose [1]. The parasitic reactance of the bond wires may have significant impact on the filter performance, which is often undesirable in both the design and fabrication procedure [4], [5], and will introduce extra loss. It is possible to add extra-grounded stubs between resonators to replace the bond wires, as shown in Fig. 1. These stubs connect the ground planes and help hold the potential on both sides at the same level and, therefore, remove the need of bond-wire bridges. The couplings between resonators, and especially the external couplings, are weakened, which is an advantage for narrow bandwidth passband filter design. Recently, two new types of quarter-wavelength resonators have been reported to design coplanar filters [6], [7]. Filters composed of cascaded quarter-wavelength uniform transmission-line resonators are demonstrated in [6]. Design and experiment of a four-pole filter using such resonators are reported in [6]. Another CPW filter using quarter-wavelength steppedimpedance resonators (SIRs) is discussed in [7], which can reduce the circuit size. The theoretical design of a few Chebyshev filters, and the experimental results of a two-pole filter, are given in [7]. In these filters, the resonators are usually aligned in a straight line. Some other interesting coplanar filters are also reported in [8]–[11]. However, it is doubtful if any of these topologies can be used to design quasi-elliptic filters without bond-wire bridges. In this paper, design and experiment of two coplanar filters using quarter-wavelength SIRs are presented. An extra stub and two patches are added into the traditional quarter-wavelength resonator. Compared to a traditional one, the resonator is not only more compact, but also has a higher quality factor [12]. Bond-wire bridges are not needed any more in the filter design.

0018-9480/04$20.00 © 2004 IEEE

ZHOU et al.: COPLANAR QUARTER-WAVELENGTH QUASI-ELLIPTIC FILTERS WITHOUT BOND-WIRE BRIDGES

1151

For the ideal case when the ground planes of the resonator are very wide and the dielectric substrate is very thick, the effective dielectric constant for the CPW line is given by [15] (4) is the dielectric constant of the substrate. Hence, the where and of the two transmission lines, respecphase constant tively, at resonant frequency , are given by [15] (5) where is the velocity of light in free space. From (3) and (5), the length of the resonator can by described by

Fig. 2. Layout of the proposed: (a) CPW resonator and (b) CPW filter with stubs added between the open ends and patches added near the short-circuited ends of resonators (not to scale).

Most of all, the design of coplanar quasi-elliptic filters is enabled.

(6) It is evident from (6) that the length of the resonator is shortest has a minwhen the phase length of the resonator , , it can be found from imum value. When , which corresponds to a uni(3) that form impedance resonator. In the resonator shown in Fig. 2(a), , has a minimum value when the differentiation of (6) by is equal to zero, which results in

II. GENERAL THEORY The resonator and filter topology of this paper are shown in Fig. 2. Each resonator consists of two joined transmission lines with different widths, as shown in Fig. 2(a). The narrower end of the resonator, with a characteristic impedance of , is short circuited to ground planes symmetrically, and the other wider end, with a characteristic impedance of , is open circuited. The resonator can be regarded as two cascaded uniform transmatrix of the resonator can be mission lines, and the expressed as [13] (1a) (1b) (1c) (1d) is the impedance ratio, and and are the where phase length of each transmission line, respectively. Since the narrower end is short circuited to ground, the load impedance . The admittance of the resonator at the narrower end is from the open end is given as [13] (2) The condition for the fundamental resonance is [14], , which can be expressed and it can be found from (2) that by (3)

(7) It is obvious from (7) that the greater the impedance ratio , the shorter the whole length of the resonator, and for a fixed , the length of the resonator is minimum when the two transmission lines have a same phase length. In the filter design, as shown in Fig. 2(b), grounded stubs are added near the open end of the resonators. These stubs will enable the design of quasi-elliptic filters, as demonstrated in Section IV. The size of the resonator and filter are more compact than that of [6] and [7] because of the capacitance between the stub and open end of the resonator, and that the capacitively coupled resonators are much closer as the added stubs weaken the coupling so that the gap between resonators can be smaller. At the same time, bond-wire bridges, which introduce extra loss, are replaced by the stubs and will not be needed in the structure [1]. A pair of patches are added around the short-circuited end of the resonator to weaken the inductive coupling. Due to the presence of the grounded stubs and the patches and the finite matrix of the resonator thickness of the substrate, the is slightly changed. The proportion of the narrower line, relative to the wider line, is optimized by simulation [16] so as to produce the smallest size resonator. Another advantage of the topology shown in Fig. 2(b) is that the relatively strong external coupling is realized by meander lines symmetrically connecting the ground planes. The slot-line mode can be easily excited near the ports if the ground planes near the ports are not well balanced. The coupling between resonators in this topology can be mainly electrical or magnetic.

1152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 3. Electrical coupling coefficient against distance of resonators with a fixed 0.75-mm-wide stub in between and without the stub.

III. COUPLING AND FILTER DESIGN A six-pole bond-wire-free Chebyshev coplanar filter, sketched in Fig. 2(b), was fabricated and tested. The dimensions of the filter were determined by electromagnetic (EM) simulation, as discussed below. The filter was designed to have a center frequency of 2.86 GHz and a fractional 3-dB bandwidth of 7%. Due to the novel coupling structures used in the design, the size reduction can be more than a quarter of traditional quarter-wavelength filters. The general design procedure is described in [13] and [17]. Some further details are given below. A. External Coupling As shown in Fig. 2(b), the external coupling is realized by meander lines connected to ground planes. If straight lines are used, the linewidth will be too small for fabrication or the ground planes will be too far apart. The shape and length of the meander lines are always kept the same on both sides of the terminal resonators to balance the two ground planes. The external associated with external coupling is, therefore, a function of the overall inductance of the meander lines. The equivalent circuit of the structure is analyzed in [9], where an expression to calculate inductance of the meander lines can be found. In this design, the value of the external- is extracted from the full-wave EM simulator [16]. The resonant frequency of the terminal resonators also changes when the meander lines are added. The size of each terminal resonator is altered accordingly to have a correct resonant frequency. The resonant frequency of these resonators does not change significantly when the length of the meander lines are slightly adjusted. In the design, the size of the terminal resonators and the length of the meander lines are adjusted simultaneously so that both the resonant frequency and the external- are at a desired value. B. Capacitive Coupling Fig. 3 depicts the electrical coupling structure and coupling coefficients against resonator distances with or without a fixed 0.75-mm-wide stub between resonators. The data are extracted by simulating a coupling structure, as shown in Fig. 3, with very low external loading [16]. Two separated resonant frequencies will be obtained and the coupling coefficient can be expressed

Fig. 4. Magnetic coupling coefficient and center frequency against patch width of resonators with a fixed 1.5-mm-wide grounded stub at the narrow transmission-line ends.

by the difference of the two frequencies divided by the mean of the two separated frequencies [13], [17]. The added stub also reduces the size of the circuit in two ways compared to the conventional structure without it. Firstly, capacitance exists between the stub and open end of the resonator, which helps decrease the resonant frequency. Secondly, the stub weakens the coupling between these resonators considerably, as seen in Fig. 3. The resonators will be much closer for the same coupling coefficient. For example, the required coupling coefficient between the third and fourth resonators of this filter is 0.0432, as shown in Section III-D. With a 0.75-mm-wide stub, the distance of resonators needed is 2.8 mm, obtained from Fig. 3, and it will be 4.8 mm without the stub. In the filter design, to have a minimum size, the gaps between the resonators and stubs are kept at a minimum width, subject to the requirements of printed-circuit-board (PCB) fabrication. C. Inductive Coupling The magnetic coupling structure, consisting of mainly inductive lines connected to ground planes between adjacent resonators, is shown in Fig. 4. This structure is similar to that proposed in [6] and [7], except that two pairs of patches are added around the grounded stub. These patches help weaken the coupling between resonators. This can be shown in Fig. 4. The coupling coefficient changes from 0.088 to 0.028 when the width of the patch changes from 0 to 2.5 mm. It will reduce the required width of the grounded stub in filter design and reduce the filter size accordingly. However, it is noticed that the center frequency will go up slightly when the area of the patches is increased. Hence, there is a tradeoff with the size of the patches. A very accurate way to obtain the effective inductance of the grounded stubs is to construct a single resonator with such stubs and then measure its loaded quality factor, as detailed in [9]. Once again, in the filter design, the width of the patches and the inductive stub are adjusted by simulation [16] in order to keep the size of this coupling structure at minimum, subject to the requirements of PCB fabrication. The procedure is to change the patch width from the minimum value to the maximum. To have a desired coupling coefficient at the wanted center frequency, the required length of the resonators is getting larger and the width of the stub is smaller. The structure with a minimum overall size is selected

ZHOU et al.: COPLANAR QUARTER-WAVELENGTH QUASI-ELLIPTIC FILTERS WITHOUT BOND-WIRE BRIDGES

1153

for the filter. The relationships of the center frequency and coupling coefficient against patch width , with a fixed stub width of 1.5 mm, are shown in Fig. 4. D. Filter Design and Experiment The following parameters were calculated to construct the Chebyshev filter [13], [17]:

where the ’s are coupling coefficients between resonators and and are external quality factors associated with external couplings between the terminal resonators and input/output ports. The circuit dimensions were obtained from simulation to achieve these values and to keep the size of the circuit at a minimum. The filter was fabricated on a 52 mm 23.5 mm RT/Duroid 6010 substrate with a relative dielectric constant of 10.2 and a thickness of 2.54 mm. The size of the central circuit, without the ground planes and the 50feed lines, is 42 mm 5.5 mm. The resonator has a dimension of 5.5 mm 4.5 mm. The minimum linewidth of the filter is 0.5 mm. The circuit is fixed into brass box, and is connected to an HP 8722ES network analyzer by -connectors. The enclosure has a dimension of 52 mm 23.5 mm 10 mm. The simulated and measured responses of the filter are shown in Fig. 5. Losses are added in the simulation with a value of the conductivity of copper of 5.8 10 . The measured responses after slight tuning are shown in Fig. 5(a), with an insertion loss of approximately 2.8 dB and a return loss of better than 14 dB in the passband. The measured center frequency is 125 MHz (4.4%) lower than simulation, and they are shifted up accordingly in Fig. 5 for easier comparison. The fortuitous attenuation poles found near both sides of the passband, in both the simulation and measurement, are not from deliberate design and are not accurately controlled in the design procedure. It is of interest to note that these attenuation poles are also observed in similar positions of the simulated response of the two center resonators taken from the filter. It appears that the attenuation poles are associated with the coupling structure between resonators. The return loss is better than 8.5 dB in the passband in the initial measurement without any tuning, which is not shown here. Fig. 5(b) shows the wide range response. It can be seen that the measured response agrees very well with simulation up to a spurious response at around 5.0 GHz. The overall band rejection is approximately 53 dB near the passband and better than 47 dB up to where the spurious harmonic begins. The unloaded- of the resonator is also measured and the value is approximately 210. It should be pointed out that the first spurious harmonic of a uniform quarter-wavelength resonator should be the third harmonic, which has a resonant frequency three times that of the fundamental one. Quarter-wavelength SIRs normally have a higher third harmonic. The “harmonics” observed in the filter, as shown in Fig. 5, are not as a result of the resonators, but from the ground planes. Fig. 6(a) shows the lossless simulated

Fig. 5. Simulated and measured responses: (a) near passband and (b) in a wide range of the six-pole Chebyshev filter. The measured responses are shifted up 125 MHz for easier comparison.

Fig. 6. (a) Simulation result of the ground planes without resonators compared with the filter response. (b) Current distribution on the ground planes at 4.97 GHz. The brighter the color, the stronger the current is, except that the gray area in the middle and black lines on the border are only for illustrating the substrate and boundary of the circuit, respectively.

1154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 7. Layout of a four-pole quasi-elliptic quarter-wavelength filter (not to scale).

response of the ground planes without resonators and stubs compared with the lossless simulated response of the whole filter. Both responses in Fig. 6(a) have peaks at around 4.97 and 5.84 GHz. The current distribution of the ground planes at 4.97 GHz is illustrated in Fig. 6(b), which resembles a transverse quarter-wavelength resonator short circuited to the box wall. The current distribution of the ground planes at 5.84 GHz is similar to Fig. 6(b), except that there are two periods along the horizontal direction. It is obvious that the ground planes are responsible for these spurious harmonics. Therefore, the harmonics of the filter could be moved up by using narrower ground planes. It is also found that the stubs connecting the ground planes have little effects on these spurious modes. IV. QUASI-ELLIPTIC FILTER As indicated in the previous sections, the most important advantage of the structure proposed is to enable the design of coplanar quasi-elliptic filters. The layout of a bond-wire-free quasi-elliptic filter is shown in Fig. 7. The filter has a fractional 3-dB bandwidth of 10% at 1.38 GHz, and attenuation poles at , where is the frequency variable normalized to the passband cutoff frequency. The following parameters were calculated to construct the quasi-elliptic filter [17], [18]:

where the ’s are the coupling coefficients between resonators and and are external quality factors. and are of opposite signs so that a pair of attenuation poles can be generated [17], [18]. The dimension of the filter is determined by the above-mentioned method. The circuit was fabricated on an RT/Duroid substrate with a thickness of a 2.54 mm. The central circuit is 12 mm 31 mm. The circuit is fixed into brass box, and connected to an HP 8722ES network analyzer by -connectors. The enclosure has a dimension of 52 mm 52 mm 10 mm. As can be seen in Fig. 7, the coupling between the first and fourth resonators is mainly electrical and that of the second and third resonators is mainly magnetic. This satisfies the require-

Fig. 8. (a) Passband and (b) wide range of the measured and simulated responses of the four-pole quasi-elliptic filter. The measured responses are shifted up 65 MHz. There has been no tuning for this measurement.

ment of out-of-phase cross-coupling in quasi-elliptic filter design. It is quite clear that adding the grounded stub between the first and fourth resonators is very important to the filter structure. This stub, together with the opposite one between the second and third resonators, makes the ground planes very well balanced. The simulated and measured responses are shown in Fig. 8. There has been no tuning for this filter. The measured response is shifted up 65 MHz (4.7%) for better evaluation. This compares to the previous Chebyshev one, which is shifted up 4.4%. The small discrepancy of the frequency shifts in percentage could be improved by the fabrication procedure. Fig. 8(a) exhibits details of the passband, which has a minimum measured insertion loss of 1.5 dB and a return loss of nearly 15 dB. The two attenuation poles, one at each side of the passband, are typical elliptic function response and can be easily observed. The second attenuation pole to the right of the passband is not from design. It is noticed that the locations of the designed poles are not totally symmetrical in the measured responses, but are consistent with the simulated results. The reason is mainly because of the unwanted coupling existing between the first and third resonators, together with the second and fourth resonators, which are not considered in the design. This is, however, not a unique problem of coplanar filters, and many microstrip filters have similar phenomenon [19], [20]. This can be either investigated by taking those ignored couplings into consideration, or corrected by post-fabrication tuning [20]. The wide range of response is shown in Fig. 8(b). Some spurious

ZHOU et al.: COPLANAR QUARTER-WAVELENGTH QUASI-ELLIPTIC FILTERS WITHOUT BOND-WIRE BRIDGES

peaks appear from 2.75 GHz because of box resonance. The band rejection in the upper stopband is several decibels worse than simulation due to incomplete packing of the circuit. It is well known that coplanar filters are insensitive to substrate thickness compared to microstrip structures because fields are mainly distributed near the surface. Similar filters using 2.54- and 2.64-mm-thick substrates were simulated. Variation of substrate thickness at this level, which is more than the tolerance of most commercially provided substrates, hardly deteriorated the filter response, except that the center frequency has shifted down 3.0 MHz (0.21%). The insertion loss, return loss, and passband width are not influenced significantly. It should be made clear that this does not suggest that the central frequency shift that happened in both filters is due to error of substrate thickness. The fabrication error, accuracy of substrate dielectric constant, and simulator precision are all nonnegligible factors. However, it can be expected that the untuned filter responses of CPW filters are much more likely to be consistent with simulations than microstrip circuits, as substrate thickness accuracy is one of the major problems in the fabrication procedure. In fact, the untuned responses of the CPW filters measured in this paper, especially that of the second one, agree very well with simulations. V. CONCLUSIONS Two CPW filters using quarter-wavelength resonators have been designed and measured, including a CPW quasi-elliptic filter. No bond-wire bridges are needed in these filters. Novel external and internal coupling structures have been proposed and the techniques have been applied in the filter design, which make the size of the filters more compact and the couplings more controllable. The experimental responses agree very well with the theoretical results. The idea used to design CPW quasielliptic filters can play an important role in general coplanar circuit design in the future. REFERENCES [1] D. F. Williams and S. E. Schwarz, “Design and performances of coplanar waveguide bandpass filters,” IEEE Trans. Microwave Theory Tech., vol. MTT-31, pp. 558–566, July 1983. [2] K. Wada and I. Awai, “Heuristic models of half-wavelength resonator bandpass filter with attenuation poles,” Electron. Lett., vol. 35, no. 5, pp. 401–402, Mar. 1999. [3] T. Tsujiguchi, H. Matsumoto, and T. Nishikawa, “A miniaturized endcoupled bandpass filter using l/4 hair pin coplanar resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., June 1998, pp. 829–832. [4] R. H. Caverly, “Characteristic impedance of integrated circuit bond wires,” IEEE Trans. Microwave Theory Tech., vol. MTT-34, pp. 982–985, Sept. 1986. [5] T. Krems, W. Haydl, H. Massler, and J. Rudiger, “Millimeter-wave performance of chip interconnections using wire bonding and flip chip,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, San Francisco, CA, June 1996, pp. 247–250. [6] Z. Ma, H. Suzuki, Y. Kobayashi, K. Satoh, S. Narahashi, and T. Nojima, “A low-loss 5 GHz bandpass filter using HTS coplanar waveguide quarter-wavelength resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 1967–1970. [7] A. Sanada, H. Takehara, T. Yamamoto, and I. Awai, “=4 stepped-impedance resonator bandpass filters fabricated on coplanar waveguide,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 385–388. [8] J. Zhou, D. Hung, M. J. Lancaster, H. T. Su, and X. Xiong, “A novel superconducting CPW slow-wave bandpass filter,” Microwave Opt. Technol. Lett., vol. 34, no. 4, pp. 255–259, Aug. 2002.

1155

[9] J. K. A. Everard and K. K. M. Cheng, “High performance direct coupled bandpass filters on coplanar waveguide,” IEEE Trans. Microwave Theory Tech., vol. 41, pp. 1568–1573, Sept. 1993. [10] J. Zhou, M. J. Lancaster, and F. Huang, “Compact superconducting coplanar meander line filters,” Electron. Lett., vol. 39, no. 8, pp. 665–667, Apr. 2003. [11] E. Rius, G. Prigent, H. Happy, G. Dambrine, S. Boret, and A. Cappy, “Wide- and narrow-band bandpass coplanar filters in the w -frequency band,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 784–790, Mar. 2003. [12] X. Wu, I. Awai, Z. Yan, K. Wada, and T. Moriyoshi, “Quality factors of coplanar waveguide resonators,” in Proc. China–Japan Joint Microwave Meeting, Apr. 2000, pp. 1–4. [13] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks and Coupling Structure. Norwood, MA: Artech House, 1980. [14] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microwave Theory Tech., vol. MTT-28, pp. 1413–1417, Dec. 1980. [15] R. E. Collin, Foundation for Microwave Engineering. New York: McGraw-Hill, 1992. [16] EM User’s Manual, 8 ed., Sonnet Software, Liverpool, NY, 2002. [17] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. , “Design of highly selective microstrip bandpass filters with a [18] single pair of attenuation poles at finite frequencies,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1098–1107, July 2000. [19] H. T. Su, F. Huang, and M. J. Lancaster, “Highly miniature HTS microwave filters,” IEEE Trans. Appl. Superconduct., vol. 11, pp. 349–352, Mar. 2001. [20] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microwave Theory Tech., vol. 45, pp. 2358–2365, Dec. 1997.

Jiafeng Zhou was born in Jiangsu, China, in 1976. He received the B.S. degree in radio physics from the Nanjing University, Nanjing, China, in 1997, and is currently working toward the Ph.D. degree at the University of Birmingham, Edgbaston, Birmingham, U.K. For two and a half years, he was with the National Meteorological Satellite Centre of China, Beijing, China, where he was mainly involved with communications of the ground station and Chinese geostationary meteorological satellites. His current research interests with the University of Birmingham include microwave and RF devices for communications, such as novel resonators and filters, and microwave applications of high-temperature superconductors.

Michael J. Lancaster (M’91) received the Physics and Ph.D. degrees from Bath University, Bath, U.K., in 1980 and 1984, respectively. His doctoral research concerned nonlinear underwater acoustics. Upon leaving Bath University, he joined the Surface Acoustic Wave (SAW) Group, Department of Engineering Science, Oxford University, as a Research Fellow. His research concerned the design of new novel SAW devices including filters and filter banks. These devices worked in the 10-MHz–1-GHz frequency range. In 1987, he became a Lecturer of EM theory and microwave engineering with the School of Electronic and Electrical Engineering, University of Birmingham, Edgbaston, Birmingham, U.K. Shortly upon joining the School of Electronic and Electrical Engineering, he began the study of the science and applications of high-temperature superconductors, involved mainly with microwave frequencies. He currently heads the Emerging Device Technology Research Centre. His current personal research interests include microwave filters and antennas, as well as the high-frequency properties and applications of a number of novel and diverse materials.

1156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Frederick Huang was born in Singapore, in 1955. He received the B.A. degree in engineering science and D.Phil. degree from the University of Oxford, Oxford, U.K., in 1980 and 1984, respectively. His doctoral research concerned surface acoustic wave (SAW) devices, mainly dot-array pulse compressors. He spent two years with Racal Research Ltd., where he was involved with the processing of speech signals, including analog voice scramblers. At the end of 1985, he joined Thorn EMI, and was seconded to Oxford University, where he studied the use of Langmuir–Blodgett films in SAW devices. Since 1989, he has been a Lecturer with the University of Birmingham, Edgbaston, Birmingham, U.K., where he is currently with the Electronic, Electrical, and Computer Engineering Department, School of Engineering. He has been involved with superconducting delay-line filters including linear phase and chirp devices. His minor interests are microstrip and waveguide discontinuities. His more recent research areas include superconducting switched filters, slow-wave structures, quasi-lumped element filters, and spiral bandpass filters.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1157

Lumped-Element Impedance-Transforming Uniplanar Transitions and Their Antenna Applications Yo-Shen Lin, Member, IEEE, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Novel impedance-transforming coplanar waveguide (CPW)-to-coplanar stripline transitions and CPW-to-slotline transitions are proposed, using planar lumped elements to realize the -section impedance-matching network in the transition structures. Simple equivalent-circuit models based on closed-form expressions are also established, from which various lumped-element impedance-transforming transitions are investigated. Examples for using the proposed transitions in the design of antenna feeding structures are also demonstrated, and successful size reduction has been achieved. Index Terms—Antenna transforming transition.

feeding

structure,

impedance-

I. INTRODUCTION

C

OPLANAR waveguide (CPW), coplanar stripline (CPS), and slotline (SL) are widely used as the building blocks for uniplanar monolithic microwave integrated circuits (MMICs) [1]. Many circuit components based on these uniplanar lines such as filters, couplers, mixers, and antenna feeding structures have also been proposed in recent years. To fully utilize the distinct features of CPWs, CPSs, and SLs, effective transitions or interconnections between them is of practical importance. Various CPW-to-CPS and CPW-to-SL transition structures were proposed and investigated [2]–[10]. Applications of these uniplanar transitions in balanced mixers, antenna feeding structures, frequency doublers, and CPW-to-waveguide transitions were also widely documented in the literature. Most of the previous studies on uniplanar transition design were focused on bandwidth improvement [2]–[5] or size reduction [4], [7]–[10]. In certain applications, the transition output is connected to other circuit components like mixer diodes [11] or antennas [12], [13] with different impedance values so that additional output matching or impedance transformation is required. However, previous studies on the impedance transformation of uniplanar transitions are rather limited. Most of the conventional uniplanar transitions were designed with the same source and load impedances [2]–[10]. Simple impedance transformation was usually accomplished by adding ) transformer in front of or after a quarter-wavelength ( the transition [12], [13], therefore, the resulting bandwidth is Manuscript received July 24, 2003; revised December 30, 2003. This work was supported by the Ministry of Education and by the National Science Council of Taiwan under Grant 89-E-FA06-2-4 and Grant NSC 92-2213-E-002-041. The authors are with the Department of Electrical Engineering, Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825670

narrow. The printed third- and fourth-order Marchand baluns in [3] may provide wide-band impedance transformation through lines at one or both ends of the transition. the additional The impedance-transforming Marchand balun [14], [15] may also achieve wide-band impedance transformation by adjusting coupled-line sections. the coupling coefficients of two However, these transitions/baluns occupy a large circuit area and are limited to the transformation of real impedance values, lines. Thus, they may not a consequence of employing be suitable in MMIC design, especially for low-frequency applications. In [8], the planar lumped-element LC circuits were used to open or short stubs in conventional uniplanar replace the transitions to reduce their sizes. In [9] and [10], the planar lumped elements were adopted to implement compact uniplanar transitions with filter characteristics. However, these lumped-element uniplanar transitions are limited to applications with the same source and load impedance values. In this paper, novel impedance-transforming uniplanar transitions are proposed by using planar lumped elements to realize the -section matching network in the transition structure. The resulting transitions have very compact sizes and may provide impedance transformation to complex impedance values. For design purposes, simple equivalent-circuit models are also established. Applications of the proposed impedance-transforming transitions to the design of antenna feeding structures are also demonstrated. II. IMPEDANCE-TRANSFORMING CPW-TO-CPS TRANSITIONS A. Type-I Structures Probably the simplest type of matching network is the -section, which uses two reactive elements to match an arbitrary load impedance to a transmission line [16]. There are four possible configurations for this network, as shown in Fig. 1. If the noris inside the circle malized load impedance on the Smith chart, then the circuits of Fig. 1(a) and (b) should be used. On the other hand, if the normalized load impedance circle on the Smith chart, the circuits of is outside the Fig. 1(c) and (d) should be used. Shown in Fig. 2(a) is the proposed impedance-transforming CPW-to-CPS transition to realize the -section network in is realized by a Fig. 1(a). Specifically, the series inductor shorter metal strip and is series connected to the CPW center conductor. The shunt capacitor to ground is accomplished by the interdigital structure and is shunt connected to the left of

0018-9480/04$20.00 © 2004 IEEE

1158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

= 1+

Fig. 1. L-section matching networks. (a) and (b) Networks for z ( Z =Z ) jx circle. (c) and (d) Networks for z outside the inside the jx circle.

1+

Fig. 3. Type-I impedance-transforming CPW-to-CPS transition that realizes the L-section network in Fig. 1(b). (a) Layout. (b) Equivalent-circuit model.

Fig. 2. Type-I impedance-transforming CPW-to-CPS transition that realizes the L-section network in Fig. 1(a). (a) Layout. (b) Equivalent-circuit model.

the CPW–CPS cross-junction. This arrangement may reduce the transition size since the series element along the transmission line usually occupies a larger area. For suppressing the odd CPW mode excited at the CPW–CPS cross-junction, bondwires at suitable positions are included. The resulted transition structure is then an L-section matching network with one port in the CPW and the other port in the CPS. Let the load , impedance connected to the CPS port of the transition be to this transition structure is capable of transforming if the normalized load impedance is inside the circle on the Smith chart. Shown in Fig. 3(a) is another realization of the -section in which the first reactive element is a capacitor, as in Fig. 1(b). One may choose between the transition structures for Figs. 2(a) or 3(a) depending on the realizable range of the lumped elements and the requirement on dc blocking of the matching network.

Characterization of the metal-strip inductance may be accomplished by the partial-element equivalent-circuit method [17] based on quasi-static assumptions. The inductance is obtained once the strip width, length, and thickness are specified. For calculating the capacitance of the interdigital structure, the conformal mapping technique under quasi-static assumptions [18] is adopted. The per-unit-length capacitance is first obtained and is then multiplied by the finger length to give the total capacitance. In order to take into account the mode conversion effect at the CPW-CPS cross-junction, a six-port circuit model [19], as shown in Fig. 4, is adopted. Specifically, each CPW line is represented by two transmission lines that support the even and odd CPW modes, respectively. Bondwires are modeled as inductors with the inductance values estimated as a function of their lengths and diameters. Thus, the incomplete suppression of the odd CPW mode at the CPW–CPS junction due to the finite bondwire inductance may then be suitably modeled. By adopting the six-port cross-junction model, the resulted equivalent-circuit models for the proposed impedance-transforming transitions [see Figs. 2(a) and 3(a)] may then be constructed as shown in Figs. 2(b) and 3(b). Here, the input CPW line and se(or ) are connected to the even CPW mode ries reactance and of the six-port junction model, respecports tively, while the bondwire inductances are used to terminate the odd CPW mode ports and at their corresponding (or ) and the output CPS positions. The shunt reactance line are connected to the CPS mode ports and on the left- and right-hand sides, respectively. These transition models [see Figs. 2(b) and 3(b)] are based on three assumptions. First, the CPW and CPS sections are modeled as transmission lines. Second, the discontinuity effect of the CPW–CPS

LIN AND CHEN: LUMPED-ELEMENT IMPEDANCE-TRANSFORMING UNIPLANAR TRANSITIONS AND THEIR ANTENNA APPLICATIONS

1159

Fig. 5. Measured and simulated results of the type-I transition [see Fig. 2(a)] in back-to-back configuration with a 24-mm CPS line in between.

Fig. 4.

CPW–CPS cross-junction. (a) Layout. (b) Six-port circuit model.

cross-junction is neglected. Third, the parasitic effects of the lumped elements ( and ) are neglected. Note that all the elements in the transition equivalent-circuit model are characterized by closed-form expressions with element values directly related to geometrical parameters. Therefore, the model is scalable and the simulation time may be drastically reduced. The design of proposed impedance-transforming transition may be easily done through the basic knowledge on impedance transformation and the equivalent-circuit model. According to the given transition source and load impedances, the required and values are obtained through the Smith-chart calculations. The transition equivalent-circuit model may then be built in a circuit simulator, and simulation is performed to see whether the element values need to be fine tuned with the presence of the cross-junction model. After the element values are fixed, the geometrical parameters of the interdigital capacitor and metal strip inductor are obtained directly from the design formulas. The transition prototype may then be constructed. A Type-I impedance-transforming transition for Fig. 2(a) is , , and thickbuilt on an FR4 substrate ( ness mm) in a back-to-back configuration. This transition is designed to transform the 50- CPW to 100- CPS with a center frequency of 2 GHz. The CPW line has a strip width of 3 mm, slot width of 0.3 mm, and finite ground-plane width of 4 mm. The characteristic impedance of the CPW is 50 according to the closed-form formulas in [1]. The CPS line has a strip width of 4 mm and a slot width of 0.6 mm such that its characteristic impedance is 100 according to [1]. The CPS

line length between the two transitions in the back-to-back configuration is 24 mm, measured from the outer edges of CPW ground planes. The required and values are first obtained through the Smith chart calculations and are then fine tuned to nH and pF. The corresponding gegive ometrical parameters are obtained through the closed-form expressions. The shorter metal strip has a length of 4.8 mm and a width of 0.3 mm. The five-finger interdigital capacitor has a finger width of 0.5 mm, finger length of 1.7 mm, and gap width of 0.2 mm. The back-to-back transition is measured on the Agilent 8722 network analyzer with thru-reflect-line (TRL) calibration to the CPW–CPS junction. The measured and simulated results are shown in Fig. 5. The measured insertion loss is less than 1 dB from 1.74 to 2.34 GHz. The return loss is greater than 20 dB in the 1.93–2.14-GHz frequency range. The match between measured and simulated results is good around 2 GHz. The discrepancy between measured and simulated insertion losses at higher frequencies is due to that the losses are not taken into account in the equivalent-circuit model. In addition, the back-to-back connection introduces the unwanted parasitic resonance within the CPS line between the two transitions around 3.5 GHz, which causes additional losses that are not observed in a single transition. Although the transition bandwidth is not wide due to the nature of -section matching network, the total area occupied by and is only approximately , which is much smaller than those of the conventional impedance-transforming transitions based on line sections. Another type-I transition for Fig. 3(a) is also built on an FR4 substrate to transform the 50- CPW to 100- CPS. The line dimensions of the CPW and CPS are the same as pF, and those in Fig. 5. The calculated values are nH. Their corresponding geometrical parameters

1160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 7. Type-II impedance-transforming CPW-to-CPS transitions to realize the L-section networks in Fig. 1(a)–(d), respectively.

B. Type-II Structures

Fig. 6. Measured and simulated results of the type-I transition [see Fig. 3(a)] in a back-to-back configuration with a 24-mm CPS line in between.

are obtained through the closed-form expressions. The shorter metal strip has a total length of 7.4 mm and a width of 0.2 mm. The seven-finger interdigital capacitor has a finger width of 0.5 mm, a finger length of 4.3 mm, and a gap width of 0.2 mm. The measured and simulated results are shown in Fig. 6. The measured insertion loss is less than 1 dB from 2.2 to 2.5 GHz. The return loss is greater than 20 dB in the 2.28–2.44-GHz frequency range. The match between measured and simulated results is good around the center frequency despite the discrepancy in insertion loss. The larger discrepancy between measured and simulated results around 1.3 GHz is attributed to the power losses associated with the unwanted parasitic resonance in the back-to-back configuration that are not included in the equivalent-circuit model. This resonance occurs at a lower frequency compared to the transition for Fig. 5 because the CPS line between two transitions (Fig. 3) in the back-to-back configuration is now loaded by inductive reactances at its two ends. Anyway, the equivalent-circuit model has the advantage of very short calculation time since all the elements in the equivalent-circuit model are characterized by closed-form expressions. The total area occupied by and is only approximately for this transition structure. As mentioned above, the proposed impedance-transforming transition is not limited to the transformation of real impedance values, as in the case of the transformer. Within the realizable range of the lumped elements, the proposed impedancetransforming transitions [see Figs. 2(a) and 3(a)] may transform the complex load impedance to if the normalized load impedance is inside the circle on the Smith chart.

Shown in Fig. 7(a)–(d) are the alternative designs for the impedance-transforming CPW-to-CPS transitions. They are to realize the -section networks in Fig. 1(a)–(d), respectively. Here, the asymmetric CPW–CPS junction, instead of the CPW–CPS cross-junction, is adopted as the kernel of the transition, and the interdigital capacitors and metal strip inductors are used to realize the -section impedance-transforming network in the transition structures. The type-II transitions have the same orientation for CPW and CPS compared to the type-I structures, thus, may facilitate the circuit layout. Specifically, for the transition in Fig. 7(a) or (b), the CPW is first connected to the series inductor or capacitor formed on the center conductor of the CPW, respectively. The shunt capacitor or inductor is then connected to one slot of the CPW, while the other slot of the CPW is connected to the CPS. Here, the series inductor in Fig. 7(a) is realized by the folded SL structure formed on the center conductor of CPW, which is adopted from [20]. For the transition shown in Fig. 7(c) or (d), the CPW is first connected to the shunt interdigital capacitor or metal strip inductor, respectively. It is then connected to the CPS through the series metal strip inductor or interdigital capacitor. Their corresponding equivalent-circuit models can be constructed in a similar way as type-I transitions, with the CPW–CPS cross-junction model being replaced by the asymmetric-junction model [21]. Within the realizable range of lumped elements, these transitions may provide impedance transformation to any complex load impedance within the Smith chart. C. Antenna Applications The proposed impedance-transforming CPW-to-CPS transitions can be implemented in the design of compact feeding structures for balanced antennas. Fig. 8 shows the layout of a CPW-fed bow-tie antenna using the proposed CPW-to-CPS transition as the feeding structure. The antenna structure is adopted from [22], which is composed of a pair of equilateral triangular patches and a V-shaped dipole director to achieve an end-fire radiation pattern. In [22], a third-order microstrip-to-CPS Marchand balun was used to match the 50- microstrip line to the antenna input impedance. From the simulated results by HFSS, shown in Fig. 9, the antenna at 2 GHz. Here, in Fig. 8, input impedance is

LIN AND CHEN: LUMPED-ELEMENT IMPEDANCE-TRANSFORMING UNIPLANAR TRANSITIONS AND THEIR ANTENNA APPLICATIONS

1161

Fig. 10. Design steps for matching the CPW-fed bow-tie antenna shown in Fig. 8. The marker points are at 2 GHz.

Fig. 8. CPW-fed bow-tie antenna using the proposed impedance-transforming CPW-to-CPS transition [see Fig. 7(a)] as the feeding structure.

Fig. 11. Measured and simulated results for the CPW-fed bow-tie antenna (Fig. 8).

Fig. 9. Simulated input impedance of the bow-tie antenna by HFSS.

we use the impedance-transforming CPW-to-CPS transition in Fig. 7(a) instead to transform the 50- CPW to this antenna input impedance. Design of this impedance-transforming transition can then be simply done in three steps. The initial and are determined as nH and value of pF through the Smith-chart calculations. The antenna and transition with only is then simulated using the full-wave simulator, e.g., HFSS, and the capacitance is fine tuned until the input impedance around the resonant frequency circle on the Smith chart, as shown coincides with the in Fig. 10. After is fixed, the inductance is added to the full-wave simulation, and is fine tuned until a good matching is obtained. The design of impedance-transforming transition for the antenna is then completed.

A CPW-fed bow-tie antenna for Fig. 8 is fabricated on an FR4 substrate, with the designed center frequency at 2 GHz. The measured return loss and the simulated one by HFSS are shown in Fig. 11. Good agreement between them is observed. The antenna exhibits a minimum return loss of 36.2 dB at 1.97 GHz. The frequency band for 10 dB return loss is from 1.6 to 2.65 GHz, corresponding to a 49.4% bandwidth. The measured radiation patterns are shown in Fig. 12, and are end-fire in shape, as expected. The measured peak gain is 4.4 dBi. In comparison to the original design [22] that utilized a third-order microstrip-to-CPS Marchand balun for impedance matching, the CPW-fed one (Fig. 8) that adopts the proposed impedancetransforming transition demonstrates a larger impedance bandwidth (47.7% for [22]) with only a one-tenth smaller matching circuit area. Fig. 13 shows another example of using the proposed impedance-transforming transition in the design of a CPW-fed

1162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 14. (a) Simulated input admittance of the printed dipole antenna by HFSS. (b) Measured and simulated results for the CPW-fed printed dipole antenna (Fig. 13).

Fig. 12. Measured radiation patterns for the CPW-fed bow-tie antenna (Fig. 8) at 2 GHz. (a) E -plane. (b) H -plane.

Fig. 13. CPW-fed printed dipole antenna using the proposed impedance-transforming CPW-to-CPS transition [see Fig. 7(c)] as the feeding structure.

printed dipole antenna. The simulated dipole input admittance is shown in Fig. 14(a). The first resonance frequency of the dipole is at 2.1 GHz, with an input admittance of , which corresponds to an input

impedance of . The characteristic impedance of the CPW is designed to be 100 , therefore, the transition in Fig. 7(c) is adopted to transform the 100- CPW to the lower dipole input impedance. A CPW-fed printed dipole for Fig. 13 is fabricated on an FR4 substrate, with the corresponding geometrical parameters shown in the same figure. The center frequency is designed to be 2.1 GHz. The TRL calibration technique is adopted in measurement to change the reference impedance to the CPW characteristic impedance of 100 . The measured return loss and the simulated one by HFSS are shown in Fig. 14(b). Good agreement between them is observed. The antenna exhibits a minimum return loss of 31.2 dB at 2.14 GHz. The frequency band for 10-dB return loss is from 1.97 to 2.32 GHz, corresponding to a bandwidth of 16.3%. The total length of the impedance-transforming transition for long, making the proposed CPW-fed Fig. 13 is only dipole antenna one-third smaller than the conventional ones lines for impedance matching. In addition, the utilizing proposed transition can be easily adjusted to match the complex input impedance of antenna, such that a better impedance matching can be achieved. By adopting the proposed impedance-transforming CPW-to-CPS transitions in the antenna feeding structures, one may largely reduce the circuit area compared to those lines. of the conventional feeding structures based on Although the proposed impedance-transforming transitions have narrow bandwidths, the above examples demonstrate that their bandwidths are sufficient for most antenna applications.

LIN AND CHEN: LUMPED-ELEMENT IMPEDANCE-TRANSFORMING UNIPLANAR TRANSITIONS AND THEIR ANTENNA APPLICATIONS

1163

Fig. 16. CPW-fed LTSA using the proposed impedance-transforming CPW-to-SL transition [see Fig. 15(b)] as the feeding structure.

Fig. 15. Impedance-transforming CPW-to-SL transitions to realize the L-section network in Fig. 1(b). (a) Type I. (b) Type II.

III. IMPEDANCE-TRANSFORMING CPW-TO-SL TRANSITIONS A. Transition Structures Based on the same concept, the impedance-transforming CPW-to-SL transitions may also be built. Shown in Fig. 15 are the proposed type-I and type-II impedance-transforming CPW-to-SL transitions modified from their CPW-to-CPS counterparts [see Figs. 3(a) and 7(b)]. Let the load impedance , the proposed transitions [see connected to the SL port be to Fig. 15(a) and (b)] are capable of transforming for inside the circle on the Smith chart. Their corresponding equivalent-circuit models can be constructed in a similar way as their CPW-to-CPS counterparts. Since it is not possible to realize a shunt capacitor in the SL case due to the infinitely extended ground plane, the transitions with a first series inductor as shown in Figs. 2(a) and 7(a) are not realizable here for the CPW-to-SL transition. In addition, the transitions with a first shunt reactive element as shown in Fig. 7(c) and (d) are not realizable in the SL case either. B. Antenna Applications

Fig. 17. (a) Simulated input impedance of the LTSA by HFSS. (b) Measured and simulated results for the CPW-fed LTSA (Fig. 16).

Although the two impedance-transforming CPW-to-SL transitions [see Fig. 15(a) and (b)] are limited to the transinside the circle, they are sufficient formation of for most applications since the SL usually has a higher characteristic impedance. Here is an example using the proposed impedance-transforming CPW-to-SL transition [see Fig. 15(b)] in the design of a CPW-fed linearly tapered slot antenna (LTSA). Shown in Fig. 16 is the layout of this CPW-fed LTSA, together with its corresponding geometrical parameters. The antenna is built on an FR4 substrate, with the designed center frequency at 5.8 GHz. The impedance-transforming CPW-to-SL transition is designed to transform the 50- CPW

to the antenna input impedance whose simulated value is at 5.8 GHz [see Fig. 17(a)]. For the CPW-fed LTSA structure, the measured and simulated results are shown in Fig. 17(b). The measured return loss is less than 10 dB from 5.64 to 6.18 GHz, which is compliant to the industrial–scientific–medical (ISM) band regulations (5.725–5.85 GHz). The measured radiation patterns are shown in Fig. 18, with a peak gain of 7.1 dBi. The total length of the impedance-transforming long. transition for this CPW-fed LTSA (Fig. 16) is only Although the bandwidth is narrower compared to other reported LTSA with different feeding networks [23], [24], the

1164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

proposed transitions are very compact and not limited to the transformation of real impedance values, as the conventional lines. For impedance-transforming transitions based on design purpose, simple equivalent-circuit models based on closed-form expressions have also been established. The proposed transitions may then be easily designed through the basic knowledge on impedance transformation and equivalent-circuit models. Applications of the proposed transitions to the antenna feeding structures have also been demonstrated. The resulting antenna feeding structures are very compact, thus, they may reduce the complexity of the feeding network in antenna array applications. The proposed transitions provide a simple way to accomplish impedance transformation for narrow bandwidth, and may find applications in uniplanar MMICs such as antenna feeding structures and balanced mixers.

REFERENCES

Fig. 18. Measured radiation patterns for the CPW-fed LTSA (Fig. 16) at 5.8 GHz. (a) E -plane. (b) H -plane.

proposed CPW-fed LTSA utilizing the impedance-transforming CPW-to-SL transition has the advantages of very compact size such that it can facilitate circuit layout especially in LTSA array applications. In addition, it has the merit of versatile design capability for complex impedance matching. These merits make the proposed transitions attractive for narrow-band applications. All the transitions proposed in Sections II and III are based on the -section matching networks, therefore, their bandwidths depend on the impedance ratio between the source and load, and are in the order of 10%–50%. Compared to other conventional impedance-transforming transition/balun designs, the proposed transition has a bandwidth comparable to those transformer-type transitions/baluns. The bandwidth of the of proposed transition is less than those of the impedance transforming Marchand baluns in [3], [14], and [15], which use the third- or fourth-order structures and may achieve larger than 2 : 1 bandwidth in general. Regardless, the sizes of proposed transitions are much smaller and may provide impedance transformation between complex impedance values. IV. CONCLUSIONS In this paper, novel lumped-element impedance-transforming CPW-to-CPS and CPW-to-SL transitions have been proposed, using planar lumped elements to realize the L-section impedance-matching network in the transition structure. The

[1] K. C. Gupta, R. Garg, and I. J. Bahl, Microstirp Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996, ch. 5, 7. [2] C.-H. Ho, L. Fan, and K. Chang, “Experimental investigations of CPWslotline transitions for uniplanar microwave integrated circuits,” in IEEE MTT-S Int. Microwave Symp. Dig., 1993, pp. 877–880. [3] V. Trifunovic´ and B. Jokanovic′, “Review of printed Marchand and double Y baluns: Characteristics and application,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 1454–1462, Aug. 1994. [4] K. Hettak, N. Dib, A. Sheta, A. A. Omar, G. -Y. Delisle, M. Stubbs, and S. Toutain, “New miniature broad-band CPW-to-slotline transitions,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 138–146, Jan. 2000. [5] S.-G. Mao, C.-T. Hwang, R.-B. Wu, and C. H. Chen, “Analysis of coplanar waveguide-to-coplanar stripline transitions,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 23–29, Jan. 2000. [6] L. Zhu and K. Wu, “Hybrid FGCPW/CPS scheme in the building block design of low-cost uniplanar and multilayer circuit and antenna,” in IEEE MTT-S Int. Microwave Symp. Dig., 1999, pp. 867–870. [7] Y.-S. Lin and C. H. Chen, “Design and modeling of twin-spiral coplanar waveguide-to-slotline transitions,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 463–466, Mar. 2000. [8] , “Novel lumped-element uniplanar transitions,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 2322–2330, Dec. 2001. , “Novel lumped-element coplanar waveguide-to-coplanar stripline [9] transitions with low-pass and high-pass characteristics,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 2213–2216. [10] , “Coplanar waveguide-to-coplanar stripline transition-filter structures,” in Proc. Asia–Pacific Microwave Conf., 2002, pp. 1489–1492. [11] C.-H. Wang, Y.-S. Lin, H. Wang, and C. H. Chen, “A Q-band uniplanar MMIC diode mixer with lumped-element coplanar waveguide-to-slotline transition,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 103–106. [12] Y.-D. Lin and S.-N. Tsai, “Coplanar waveguide-fed uniplanar bow-tie antenna,” IEEE Trans. Antennas Propagat., vol. 45, pp. 305–306, Feb. 1997. [13] T.-H. Lin and R. B. Wu, “CPW to waveguide transition with tapered slotline probe,” IEEE Microwave Wireless Comp. Lett., vol. 11, pp. 314–316, July 2001. [14] K. S. Ang, I. D. Robertson, K. Elgaid, and I. G. Thayne, “40 to 90 GHz impedance-transforming CPW Marchand balun,” in IEEE MTT-S Int. Microwave Symp. Dig., 2000, pp. 1141–1144. [15] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 402–406, Feb. 2001. [16] D. M. Pozar, Microwave Engineering. Reading, MA: Addison-Wesley, 1993, ch. 6. [17] A. E. Ruehli, “Inductance calculations in a complex integrated circuit environment,” IBM J. Res. Develop., vol. 16, pp. 470–481, Sept. 1972. [18] S. S. Gevorgian, T. Martinsson, L. J. P. Linner, and E. L. Kollberg, “CAD models for multilayered substrate interdigital capacitors,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 896–904, June 1996. [19] M. Ribo and L. Pradell, “Circuit model for a coplanar-slotline cross,” IEEE Microwave Guided Wave Lett., vol. 10, pp. 511–513, Dec. 2000.

LIN AND CHEN: LUMPED-ELEMENT IMPEDANCE-TRANSFORMING UNIPLANAR TRANSITIONS AND THEIR ANTENNA APPLICATIONS

[20] K. Hettak, N. Dib, A.-F. Sheta, and S. Toutain, “A class of novel uniplanar series resonators and their implementation in original applications,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 1270–1276, Sept. 1998. [21] M. Ribo, J. de la Cruz, and L. Pradell, “Circuit model for slotline-tocoplanar waveguide asymmetrical transitions,” Electron. Lett., vol. 35, pp. 1153–1155, July 1999. [22] S.-G. Mao, C.-H. Chan, C.-I. G. Hsu, and D.-C. Chang, “A series-fed printed-bowtie antenna with broadband characteristics and end-fire radiation,” in Proc. Asia–Pacific Microwave Conf., 2001, pp. 926–929. [23] A. Nesic, “Endifire slotline antennas excited by a coplanar waveguide,” in IEEE AP-S Int. Symp. Dig., vol. 2, 1991, pp. 700–702. [24] R. N. Simons, R. Q. Lee, and T. D. Perl, “New techniques for exciting linearly tapered slot antennas with coplanar waveguide,” Electron. Lett., vol. 28, pp. 620–621, Mar. 1992.

Yo-Shen Lin (M’04) was born in Taipei, Taiwan, R.O.C., in 1973. He received the B.S. and M.S.E.E. degrees in electrical engineering and Ph.D. degree in communication engineering from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1996, 1998, and 2003, respectively. From 1998 to 2001, he was with Acer Communication and Multimedia Inc., Taipei, Taiwan, R.O.C., where he designed global system for mobile communications (GSM) mobile phones. In 2001, he joined Chi-Mei Communication System Inc., Taipei, Taiwan, R.O.C., where he was involved with the design of low-temperature co-fired ceramic (LTCC) RF transceiver module for GSM mobile applications. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of planar microwave circuits and RF transceiver module for wireless communication systems.

1165

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering at the same university. From August 1992 to July 1996, he was the Director of the University Computer Center. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuit analysis and computational electromagnetics.

1166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Enhanced PML Performance Using Higher Order Approximation Husain A. Jamid

Abstract—In this paper, the perfectly matched layer (PML) based on transformation of space into the complex domain is assessed in the method-of-lines framework. The method used in the assessment is based on field symmetry and has the advantage of eliminating numerical error due to discretization of space. The remaining error, which is due only to reflection from the PML, is used to quantify the PML performance. This assessment method is used to demonstrate that higher order approximation to the transverse second derivative operator results in substantial reduction in the unwanted numerical reflection from the PML, leading to enhanced PML efficiency. By using higher order approximation in tandem with a suitably graded PML loss profile, it is possible to obtain a very efficient PML implementation, making it possible to effectively absorb a beam with a large angular spread using only a few number of sample points in the PML. Index Terms—Finite-difference method, higher order approximation, method of lines (MOL), perfectly matched layer (PML).

I. INTRODUCTION

W

HEN simulating open-space problems using the finite-difference approach, truncation of the computational space requires the use of a suitable absorber to prevent spurious reflection from occurring at the electric (or magnetic) wall at the extreme end of the computational window. In the past years, a variety of techniques have been reported in the literature for this purpose. This includes methods based on the one-way operator [1], [2], the one-way operator combined with an artificial lossy layer [3], and the transparent boundary condition [4], [5]. A particularly important technique is the split-field approach. This well-known method, which was introduced by Berenger [6], is a non-Maxwellian approach, which relies on splitting the electromagnetic field in the time domain in order to achieve reflectionless absorption from the PML. The split-field approach has generated great interest, resulting in a number of variations and extensions [7]–[11]. Other types of absorbers rely on transformation of space (real space into real space) [12] and transformation of real space into complex space [13]–[18]. The split-field approach in the time domain has been shown to be equivalent to the complex-space approach in the frequency domain [13]–[17]. The perfectly matched layer (PML) based on transforming real space into the complex domain is a simple approach for

Manuscript received July 25, 2003; revised November 5, 2003. The author is with the Electrical Engineering Department, King Fahd University of Petroleum and Minerals, Dhahran 31261, Saudi Arabia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825643

terminating the computational window. It is applicable to finite-difference methods in the frequency domain. Throughout the remainder of this paper, the term PML will be used with reference to the complex-space PML. Upon the real-space to , the radiative complex-space transformation field in the -direction is transformed according . The radiative field to becomes exponentially decaying under this transformation. The , which controls the rate of exponential atloss parameter tenuation inside the PML is chosen to be sufficiently large so that the field becomes negligible before it reaches the electric wall of the computational window (outer wall of the PML). In discretized space, the PML region is characterized by the , which may be uniform complex mesh size (due to a uniform PML loss profile ) or nonuniform (due to a nonuniform or graded loss profile ). The mesh size outside the PML remains real since there. For a PML with a uniform loss profile, a discontinuity in the mesh size occurs only at the real-space/PML interface (at the inner wall of the PML). This type of discontinuity is known to cause numerical reflection at the inner wall of the PML [16], [18]. By employing a graded loss profile, such as the parabolic profile [19], [20], reflection from the PML can be substantially reduced. A graded loss profile has the effect of reducing the discontinuity in the mesh size, leading to reduced reflection from the PML. An alternative approach relies on imposing the boundary condition for reflectionless incidence in order to arrive at an approximate formula for the second derivative operator, which properly accounts for the discontinuity in the mesh size [18]. The effectiveness of this approach was demonstrated for a PML with a uniform loss profile by utilizing a simple three-point approximate expression for the second derivative operator in the transverse direction. It was pointed out in the same paper that the degree of reflection from the PML is related to the truncation error in the second derivative operator. Thus, by utilizing a higher order approximation of the second derivative operator, an improved PML performance is expected. This statement will be demonstrated in this paper in order to show that the use of higher order approximation is a possible alternative means for enhancing the PML performance. Comparison of the amount of numerical reflection from the PML when using a three-, five-, and seven-point approximation to the second derivative operator will be made. The above two approaches (namely, the use of a graded mesh size and the use of higher order approximation) will then be used in tandem to achieve a very efficient PML implementation, using only a few number of sample points in the PML. The PML will be applied to the method of lines (MOL) [21], [22], a well-known frequency-domain finite-difference-based

0018-9480/04$20.00 © 2004 IEEE

JAMID: ENHANCED PML PERFORMANCE USING HIGHER ORDER APPROXIMATION

1167

size there. In order to achieve reflectionless incidence from the PML, the electromagnetic field and all its higher derivatives (namely, , with respect to the transverse distance ) must be continuous across this interface [18]. This boundary condition can be used to derive a suitable finite-difference approximation to the transverse second . When the PML has a nonuniform loss derivative operator profile, numerical reflection may occur at any interface within the PML, including the vacuum/PML interface. For this reason, valid at the th interface we require an approximation to within a PML with a nonuniform loss profile or, equivalently, a nonuniform complex mesh size distribution (see Fig. 1). Using Taylor series expansion (1) Fig. 1. Discretized field mesh size.

in the PML region using an arbitrary nonuniform

numerical method. A brief outline of the MOL is given in Appendix A. An approach to quantify the performance of the PML in the MOL framework will also be presented. As will be seen later, this approach is based on spatial symmetry and has the advantage of eliminating the discretization error associated with the finite-difference method. The remaining error, which is due only to numerical reflection from the PML, will be used to quantify the PML performance.

(2) where the minus and plus signs in the subscript refer to field quantities immediately to the right- and left-hand sides of the th interface, respectively. By imposing the continuity of at the th interface, namely, , retaining terms up to , and eliminating from (1) and (2), the is obtained: following approximation to (3)

II. THEORY In this study, the radiative wave is assumed to be incident from vacuum onto the PML. Fig. 1 shows the PML region including the vacuum/PML interface. The PML is modeled using mesh points. In order to account for a PML with a total of an arbitrary nonuniform loss profile, the complex mesh size is assumed to vary from one PML sub-layer to the next. Let , where and are the real and imaginary parts of , respectively. As we cross from vacuum into the PML, the mesh size changes from being real to being complex. The mesh size in vacuum is , where is a real assumed to be uniform so that constant. Inside the PML, the real part of the mesh size is also for all values of . This assumed to be uniform with choice insures that is uniform throughout the computational window and any discontinuity in the mesh size is attributed only inside the PML to . The imaginary part of the mesh size will be varied in order to control the PML properties. Let us , where introduce the parameter . The choice of the constant is arbiis chosen so that . When trary. In this case, the PML has a uniform, linear, or parabolic loss profile, we let , , or , respectively, where is the PML strength parameter, a real and positive quantity. In general, when the PML loss profile follows the function , then . When a wave is incident from vacuum onto a PML with a uniform loss profile, the wave is partially reflected at the vacuum/PML interface due to the discontinuity of the mesh

Application of the above relation at the inner wall of a PML with a uniform profile was shown to be effective in reducing numerical reflection from the PML [18]. When deriving (3), however, is imposed only up to since higher the continuity of is inorder terms have been ignored. If the continuity of sured up to a higher value of , the reflectionless condition is better satisfied and numerical reflection from the PML should be reduced further. This is equivalent to the use of higher order and the imposition of the continuity conapproximation of , as deemed necessary. This approach can be imdition on plemented using a five-point or higher order approximation of . In the case of the five-point (or higher) implementation, is very tedious to dethe corresponding approximation of rive in closed form when the mesh size is nonuniform. However, may be it can be shown that a five-point approximation of obtained from the elements of the third row of the following 5 5 inverse matrix:

(4) so that

1168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

The matrices

and

are given, respectively, by (5)

(6)

A similar relation to (4) can be derived in the case of the sevenpoint or higher approximation. Appendix B contains detailed derivation of (4). III. METHOD OF PML ASSESSMENT The electromagnetic wave will be assumed to propagate in the . Extension to the three-dimentwo-dimensional space sional space is straightforward. The degree of reflection from the PML can be calculated by propagating a Gaussian beam using the MOL and comparing the calculated results with the analytically available results. Gaussian beam propagation in a -direction is analytically given by homogeneous space in the

Fig. 2. Equifield contours corresponding to Gaussian beam propagation in vacuum. The upper and lower PML regions are not shown. (a) Well-tuned PML. (b) Poorly tuned PML.

(7) where is the spot size of the Gaussian beam, is the free-space wavelength, is the refracis the initial Gaussian tive index of the medium, and . There are, however, beam spot size at the input plane two drawbacks associated with this approach. First, (7) is an exact solution of the parabolic wave equation and, thus, it represents an approximate analytical expression of the two-dimensional field based on the Helmholtz equation. It is our intention to quantify the PML performance for an incident beam with a reasonably large angular spread. By allowing the parameter to have a sufficiently small value, the Gaussian beam attains the required large angular spread. However, in this case, the parabolic approximation to the wave equation becomes inadequate and, as a consequence, (7) becomes inaccurate. Due to this weakness in this approach, a comparison between the calculated and analytical results will not be made. However, Gaussian beam propagation will still be used because of the ease of controlling the beam angular spread. is known to The use of higher order approximation of result in reduced discretization error. The overall error in this case may be attributed to both the discretization error and error due to reflection from the PML. In order to quantify the PML performance, these two sources of error must be separated. This shows the second drawback of the current approach because it does not distinguish between these two sources of error, giving only the over all error. As an alternative approach, a scheme based on field symmetry will be used. The scheme relies on the use of a symmetric input beam, which is asymmetrically positioned with respect to two identical PML layers. The beam is

Fig. 3. Variation of the asymmetry parameter  (z ) corresponding to the well-tuned and poorly tuned PML, and in the complete absence of the PML.

propagated in a homogeneous space using a uniform mesh size outside the PML layers. This method is best understood by referring to Fig. 2. This figure shows the calculated equifield contours due to Gaussian beam propagation in vacuum using the MOL. The center of the m. Two identical input Gaussian beam is placed at PML layers (not shown) exist immediately outside the vertical m). The input beam center is placed range of Fig. 2 ( asymmetrically with respect to the two PML layers, closer to the upper PML. Fig. 2(a) shows the calculated field contours when the PML is well tuned. The absence of any ripples in the field contours indicates the absence of standing-wave patterns, which means, in this case, that reflection from the PML is very small. However, when the PML is poorly tuned, a strong ripple pattern appears in the field contours, as seen in Fig. 2(b), suggesting that, in this case, the PML has high reflectance. The calculated field is no longer symmetric about the input beam center

JAMID: ENHANCED PML PERFORMANCE USING HIGHER ORDER APPROXIMATION

1169

Fig. 4. Variation of  as a function of  corresponding to a PML with a uniform loss profile using the: (a) three-, (b) five-, and (c) seven-point approximations. The bracketed quantities indicate the total number of mesh points M in each PML layer.

m (line of symmetry). This observation forms the basis of the PML assessment method that will be used in this study. By noting that the discretization error is necessarily symmetric with respect to the line of symmetry, it may be easily eliminated by subtracting the calculated fields above and below this line. The field within the PML is not included in the subtraction process. Thus, as a measure of the field asymmetry caused by reflection from the PML, the -dependent relative error term is introduced as follows:

(8)

in order to quantify the error due only to reflection from the above the line of symmetry PML. The calculated field m up to m and the extends from below the line of symmetry extends from calculated field

m down to . The parameter represents the total number of transverse data points used to represent the field in the upper or lower segments. When zero reflection occurs from the PML, the calculated field is perfectly symmetric . This with respect to the line of symmetry and, thus, conclusion is independent of the type of symmetric beam used as input and it is also independent of the level of discretization error. Note that, in (8), the difference in the complex fields, rather than the difference in the field magnitudes, is taken in order to enhance the sensitivity of the proposed error measure. corresponding to the field Fig. 3 shows the calculated contours shown in Fig. 2(a) and (b). The asymmetry parameter starts from zero at , and generally increases as a funcremains relatively tion of . When the PML is well tuned, low in the entire range of Fig. 3. This means that the PML reflectance remains low in this range. On the other hand, when the increases to relatively large values PML is poorly tuned, after a short propagation distance. This shows that the poorly tuned PML results in large reflection to occur in this case. The in the complete absence of the PML is also variation of

1170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 5. Variation of  as a function of  corresponding to a PML with a parabolic loss profile using the: (a) three-, (b) five-, and (c) seven-point approximations. The bracketed quantities indicate the total number of mesh points M in each PML layer.

shown in this same figure. In this particular case, eventually attains large values on the order of unity. As a simple mea, sure of the overall PML performance, the average value of , will be used, taken over a sufficiently long propnamely, agation distance. For the curves shown in Fig. 3 corresponding to the well-tuned and poorly tuned PML, and in the complete over absence of the PML, the calculated average values of the 10- m-long propagation distance are, respectively, given by , , and . The parameters that have been used to calculate the results (initial Gaussian beam shown in Figs. 2 and 3 are m (wavelength), and m (the spot size), mesh size in vacuum). Unless otherwise stated, these parameters will be fixed throughout this study. A PML with a nonuniform loss profile has been utilized to calculate the results shown in . Figs. 2 and 3 using the five-point approximation with in the case The PML strength parameter has been set to

of the well-tuned PML. The same parameter has been reduced , which results in the poorly tuned to the low value of PML response. IV. RESULTS IN TWO-DIMENSIONAL SPACE Using the MOL, the propagation of a Gaussian beam in . vacuum in two-dimensional space is used to calculate will be calculated based on In the forthcoming results, a total propagation distance of 20 m by sampling the field with every 0.20 m in the -direction. The variation of for a PML with a uniform loss profile is shown in Fig. 4. The curves shown in Fig. 4(a) were calculated using the three-point approximation based on (3). When is very small, the loss parameter becomes too low, and the radiative wave can reach the outer wall of the PML (electric wall), resulting in relatively strong reflection of the incident wave back into vacuum. On

JAMID: ENHANCED PML PERFORMANCE USING HIGHER ORDER APPROXIMATION

1171

Fig. 6. Equifield contours of a three-dimensional Gaussian beam propagating in vacuum at various values of z . (a)–(c) Calculated using the three-point approximation. (d)–(f) Calculated using the five-point approximation. All distances are in micrometers.

the other hand, when is large, the mesh size discontinuity at the inner wall of the PML becomes large, and a high level of numerical reflection from the inner wall of the PML occurs. Minimum reflection occurs somewhere in between these two is seen to limits, at an optimum value of . In Fig. 4(a), decrease with , which is attributed to the decrease in the mesh size discontinuity at the inner wall of the PML. However, in this case, it takes relatively large values of in order to reduce to acceptable levels. In particular, is required in order (namely, ) to reach the value for the minimum value of . The situation is substantially improved when the five-point approximation is used, as shown in Fig. 4(b). In is required for . The this case, only PML performance continues to improve when the seven-point approximation is used, as seen in Fig. 4(c). In this particular is required for . The reflectance case, of the PML becomes very low in this case, using a relatively small number of PML sample points . The results of Fig. 4 demonstrate that the PML performance and efficiency are substantially improved when higher order approximation is utilized, making this a viable approach for improving the PML performance. The use of a graded loss profile in tandem with the higher order approximation is expected to provide further improvement of the PML. Fig. 5 shows the calculated results when the PML loss profile is parabolic. The results shown in Fig. 5(a) using the three-point approximation show the expected improvement when a graded loss profile is utilized [compare Fig. 5(a) with Fig. 4(a)]. However, for relatively small values of , the use of the five-point approximation with a uniform loss profile gives better results than the use of the three-point approximation with the parabolically graded loss profile [compare Fig. 5(a) with Fig. 4(b)]. Fig. 5(b) and (c) shows the results corresponding the fiveand seven-point approximation, respectively, when combined with the parabolic loss profile. It is clear that, by combining the

Fig. 7. Variation of  as a function of  corresponding to a three-dimensional Gaussian beam propagation in vacuum using a PML with a parabolic loss profile. The bracketed quantities indicate the total number of mesh points M in each of the four PML layers.

two methods, substantial additional reduction in the PML reflectance is achieved. In particular, as shown in Fig. 5(c), by combining the seven-point approximation with the parabolic loss profile, only three points in the PML are now required to . In this case, extremely low reflection reach ), from the PML can be achieved (for instance, using only a moderate number of mesh points in the PML. It is possible to improve the PML performance further by combining the higher order approach with an optimized nonuniform loss profile, which has not been attempted here. V. RESULTS IN THREE-DIMENSIONAL SPACE Here, it will be demonstrated that the current approach is applicable as well to the three-dimensional case. Two examples

1172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 8. (a) Rib waveguide structure. (b)–(e) Equifield contours in the transverse plane at various values of z . All distances are in micrometers.

of Gaussian beam propagation in three-dimensional space are presented here. In both cases, the initial Gaussian spot size is at the input plane and the computational -plane) is surrounded on all window in the transverse plane ( four sides by identical PML layers having a parabolic loss profile. The second derivative operators in the - and -directions in the three-dimensional wave equation has been discretized independently using (4). The first example involves Gaussian beam propagation in vacuum. The mesh size in the 6 m 6 m real-space region m. The results are shown in Figs. 6 is fixed to and 7. Fig. 6 shows the calculated Gaussian beam cross section at various positions along the direction of propagation . When the three-point approximation is used with [see Fig. 6(a)–(c)], the beam cross section becomes distorted from the perfectly circular shape. An optimum value of the PML is used in this case. However, strength parameter as shown in Fig. 6(d)–(e), when the five-point approximation and , marked improveis used with ment in the calculated beam cross section is seen. The propagated beam maintains circular symmetry to a high degree in this case. The dotted diagonal line passing through the initial beam m, m), as shown in Fig. 6(a)–(f), center (at and is used as the line of symmetry for the calculation of . This choice is made in order to account for the reflectivity from all four PML layers simultaneously. Equation (8) has been extended to the three-dimensional space for this purpose. The field above and below the symmetry is used in order to calcu. The variation of with is shown in Fig. 7 for late the three-, five-, and seven-point approximation schemes. Apart , the results are, in genfrom a slight increase in the value of eral, similar to those corresponding to the two-dimensional case [see Fig. 5(a)–(c)]. In the second example, a Gaussian beam is used as excitation of a high-contrast rib waveguide structure, as shown in Fig. 8(a). The dimensions of the real-space window are 8.05 and 2.05 m in the - and -directions, respectively. The mesh size

m in the real-space window is reduced to in this particular case. The center of the initial Gaussian beam is positioned at the center of the rib waveguide in the horizontal direction, as shown in Fig. 8(b). Subsequent figures [see Fig. 8(c)–(e)] show the beam profile and its eventual development into the fundamental mode of the rib waveguide structure. Most of the radiative field in this case occurs toward the left-hand-side, right-hand-side, and bottom side of the computational widow and only a negligible part occurs in vacuum on the upper side of the computational window. The equifield contours shown in Fig. 8 have been calculated using the five-point and . Due to the lack of approximation with structural symmetry in the vertical direction in the case of the rib waveguide, only the performance of the PML layers on left- and right-hand sides of the computational window can be assessed using the current method of assessment. For this reason, the rib waveguide structure is positioned asymmetrically with respect to the PML layers on left- and right-hand sides of the computational window, closer to the PML layer on the right-hand side. , a vertical line of symmetry passing In order to calculate through the middle of the rib waveguide is used. The field on the left- and right-hand sides of the line symmetry is used in the . The number of points in each of the four calculation of . PML layers is fixed at with . This figure shows Fig. 9 shows the variation of improved PML performance due to the use of higher order apcorresponding proximation as well. However, the values of to Fig. 9 are generally smaller than those shown in Fig. 7. This effect is due to the presence of the rib waveguide structure, which tends to suppress the radiative field. Thus, causing to be reduced in value. In addition, the optimum values of corresponding to Fig. 9 are reduced in value compared to those seen in Fig. 7. In order to understand this other effect, it is ) based on noted that a given optimum value of (namely, m and (corresponding to vacuum) can be at other wavelengths used to estimate the optimum value and media using the following simple relationship, i.e.,

JAMID: ENHANCED PML PERFORMANCE USING HIGHER ORDER APPROXIMATION

1173

cretization in the transverse direction , (A1) may be expressed in the following matrix form: (A2) where

(A3)

Fig. 9. Variation of  as a function of  corresponding to Gaussian beam propagation through a rib waveguide using a PML with a parabolic loss profile with M = 8. The top, middle, and bottom curves, respectively, correspond to the three-, five-, and seven-point approximation schemes.

. The radiative fields incident on the PML layers on the left- and right-hand sides of the rib waveguide . propagate in a medium having a refractive index of Since the wavelength remains unchanged at m, we have , which roughly corresponds to the decrease in the optimum value of , as noted above. VI. CONCLUSION A method for assessing the PML performance in the MOL framework has been presented. This method utilizes spatial symmetry to quantify the numerical reflection from the PML. The method has been used to assess the PML performance is utilized. The results when higher order approximation of that show that the use of higher order approximation of incorporates the reflectionless boundary condition significantly improves the PML both when the PML has a uniform or a graded loss profile. In the latter case, the advantages of the higher order approximation and the graded loss profile combine and result in a very efficient PML implementation. The proposed method is applicable to the two-dimensional, as well three-dimensional space.

The first term on the right-hand side of (A3) approximates using the standard central-difference approximation formula and resulting in the tridiagonal matrix. The second matrix on the right-hand side of (A3) is . is the total diagonal, containing the discrete values of number of mesh points in the transverse direction. The discrete is represented by the column vector form of the field . Since (A2) is an ordinary second-order matrix differential equation, its formal solution is given by (A4) where and have the same dimension as . Assuming time–harmonic variation of the form , the square represent wave propagation in the -dimatrices rections, respectively. For unidirectional wave propagation in -direction, , the which gives in terms of the input field . APPENDIX B A derivation of the five-point expansion coefficients of in the case of nonuniform mesh size is given here. With reference to Fig. 1, using Taylor-series expansion of the field at the th interface, we have

(B1)

APPENDIX A A brief background about the basic MOL will be given here. The reader is referred to the references within this paper and the open literature for details. The two-dimensional wave equation is given by

(B2)

(B3)

(A1) represents the electric field for TE waves or where for TM waves, provided is locally the magnetic field is the free-space wavenumber. Upon disuniform.

(B4) The superscript in denotes the th derivative of with respect to . In the above relations, only terms up to the fourth

1174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

order are retained. The reflectionless boundary condition has also been assumed at the th interface. Using (B1),

is expressed in terms of

as follows:

(B5) (B6) (B7) (B8) The set of (B1) and (B5)–(B8) is written in the compact matrix form (B9) The 5 the 5

5 square matrix is defined by (6) in this paper and 1 vector is given by (B10)

In a similar manner, the following matrix relation can also be derived: (B11) The set of (B1)–(B4) are then written in compact form using matrix notation. With the aid of (B9) and (B11), the field at the neighboring layer interfaces can be expressed in terms of as follows: (B12) (B13) (B14) (B15) where the 1 5 row vector is defined by (5). Finally, (B12)–(B15) are assembled in the following matrix relation: (B16) where , , and is defined by (4). The identity relation has been used in so that (B16) represents a square the construction of , it matrix relation. From the relationship is easy to see that the required expansion coefficients of correspond to the elements of the third row of .

[3] K. Wu and X. Jiang, “The use of absorbing boundary conditions in the method of lines,” IEEE Microwave Guided Wave Lett., vol. 6, pp. 212–214, May 1996. [4] G. R. Hadely, “Transparent boundary conditions for the beam propagation method,” IEEE J. Quantum Electron., vol. 28, pp. 363–370, Jan. 1992. [5] G. R. Hadely and R. E. Smith, “Full-vector waveguide modeling using an iterative finite-difference method with transparent boundary conditions,” J. Lightwave Technol., vol. 13, pp. 465–469, Mar. 1995. [6] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, Oct. 1994. [7] Z. S. Sacks, D. M. Kingsland, R. Lee, and J. F. Lee, “A perfectly matched anistropic absorber for use as an absorbing boundary condition,” IEEE Trans. Antennas Propagat., vol. 43, pp. 1460–1563, Dec. 1995. [8] S. D. Gedney, “An anistropic perfectly matched layer-absorbing medium for the truncation of FDTD lattices,” IEEE Trans. Antennas Propagat., vol. 44, pp. 1630–1639, Dec. 1996. [9] B. Chen, D. G. Fang, and B. H. Zhou, “Modified Berenger PML absorbing boundary condition for FD-TD meshes,” IEEE Microwave Guided Wave Lett., vol. 5, pp. 399–402, Nov. 1995. [10] Y. H. Chen, W. C. Chew, and M. L. Oristaglio, “Application of perfectly matched layer for transient modeling of subsurface EM problems,” Geophys. Wave Lett., vol. 62, pp. 1730–1736, Nov./Dec. 1997. [11] M. Fuji and P. Russer, “A nonlinear and dispersive APML ABC for the FD-TD methods,” IEEE Microwave Wireless Comp. Lett., vol. 12, pp. 444–446, Nov. 2002. [12] X. H. Yang and L. Shafai, “Extension of the method of lines to unbounded regions by using coordinate transformation,” Electron. Lett., vol. 27, no. 23, pp. 2108–2110, Nov. 1991. [13] W. C. Chew and W. H. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equations with stretched coordinates,” Microwave Opt. Technol. Lett., vol. 7, no. 13, pp. 599–604, Sept. 1994. [14] C. M. Rappaport, “Perfectly matched absorbing boundary conditions based on anistropic lossy mapping of space,” IEEE Microwave Guided Wave Lett., vol. 5, pp. 90–92, Mar. 1995. [15] R. Mittra and U. Pekel, “A new look at the perfectly matched layer (PML) concept for the reflectionless absorption of electromagnetic waves,” IEEE Microwave Guided Wave Lett., vol. 5, pp. 84–86, Mar. 1995. [16] W. C. Chew and J. M. Jin, “Perfectly matched layers in the discretized space: An analysis and optimization,” Electromagnetics, vol. 16, no. 4, pp. 325–340, 1996. [17] S. J. Al-Bader and H. A. Jamid, “Perfectly matched layer absorbing boundary conditions for the method of lines modeling scheme,” IEEE Microwave Guided Wave Lett., vol. 8, pp. 357–359, Nov. 1998. [18] H. A. Jamid, “Frequency-domain PML layer based on the complex mapping of space-boundary condition treatment,” IEEE Microwave Guided Wave Lett., vol. 10, pp. 356–358, Sept. 2000. [19] J. Yamauchi, M. Mita, S. Aoki, and H. Nakano, “Analysis of antireflection coatings using the FD-TD method with the PML absorbing boundary condition,” IEEE Photon. Technol. Lett., vol. 8, pp. 239–241, Feb. 1996. [20] M. Koshiba, Y. Tsuji, and S. Sasaki, “High-performance absorbing boundary conditions for photonic crystal waveguide simulations,” IEEE Microwave Wireless Comp. Lett., vol. 11, pp. 152–153, Apr. 2001. [21] R. Pregla and E. Ahlers, “The method of lines for the analysis of discontinuities in optical waveguides,” Electron. Lett., vol. 29, no. 21, pp. 1845–1847, Oct. 1993. [22] R. Scarmozzino, A. Gopinath, R. Pregla, and S. Helfert, “Numerical techniques for modeling guided-wave photonic devices,” IEEE J. Select. Topics Quantum Electron., vol. 6, pp. 150–162, Jan./Feb. 2000.

ACKNOWLEDGMENT The author would to thank King Fahd University of Petroleum and Minerals, Dhahran, Saudi Arabia, for supporting this work. REFERENCES [1] A. Dreher and R. Pregla, “Analysis of planar waveguides with the method of lines and absorbing boundary conditions,” IEEE Microwave Guided Wave Lett., vol. 1, pp. 138–140, June 1991. [2] R. Pregla and D. Kremer, “Method of lines with special absorbing boundary conditions—Analysis of weakly guiding optical structures,” IEEE Microwave Guided Wave Lett., vol. 2, pp. 239–241, June 1992.

Husain A. Jamid received the B.S. and M.S. degrees in electrical engineering from Arizona State University, Tempe, in 1981 and 1983, respectively, and the Ph.D. degree in electrical engineering from the King Fahd University of Petroleum and Minerals (KFUPM), Dhahran, Saudi Arabia, in 1986. He is currently an Associate Professor with the Electrical Engineering Department, KFUPM. His research has included metal-clad as well as nonlinear optical waveguides. His current research interest is in optical device simulation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1175

Millimeter-Wave Tune-All Bandpass Filters Denis Mercier, Jean-Christophe Orlianges, Thierry Delage, Corinne Champeaux, Alain Catherinot, Dominique Cros, and Pierre Blondy, Member, IEEE

Abstract—-Distributed microelectromechanical varactors on a coplanar waveguide have been used to design a two- and four-pole bandpass tune-all filters. The two-pole initial bandwidth is 6.4% at 44.05 GHz with a mid-band insertion loss of 3.2 dB and with matching better than 15 dB. The four-pole initial bandwidth is 6.1% at 43.25 GHz with a mid-band insertion loss of 6.5 dB and with matching better than 10 dB. The use of microelectromechanical system bridges allows a continuous tuning for both center frequency and bandwidth. The varactors biasing network has been designed so that the center frequency and bandwidth can be tuned separately. The two-pole filter center frequency can be changed from 44.05 to 41.55 GHz (5.6% tuning range), while the bandwidth can be independently changed from 2.8 to 2.05 GHz. The four-pole filter center frequency can be changed from 43.25 to 40.95 GHz (5.3% tuning range) and the bandwidth can be changed from 2.65 to 1.9 GHz. Index Terms—Distributed microelectromechanical system (MEMS) transmission lines, microelectromechanical devices, tunable filter, varactors.

I. INTRODUCTION

M

ICROELECTROMECHANICAL system (MEMS) varactors have a great potential for tunable filters and it has been demonstrated that they are an attractive alternative to conventional semiconductor diodes [1]–[8]. This technique is very promising for high-frequency applications, where it is possible to use metal–air–metal high- varactors. Using these fabrication techniques, tunable MEMS filters have been reported in the literature [9], [10] with high performances regarding loss and linearity. One of the most challenging aspects of these filters is to maintain low return loss and controlled characteristics of the response while tuning the component. For instance, appropriate impedance inverters, or coupling structures, are of prime importance to achieve high-quality tunable filters. The filter response can be maintained by using inductive impedance inverters since only the capacitive part of the resonator is changed. The structures presented in [4], [9], and [10] are using this principle. This is especially useful for filters where a significant amount of tuning is required, i.e., 1 the filter bandwidth. For instance, multipole filter are very sensitive to changes in inter-resonator coupling coefficients. However, for moderate frequency shifts, capacitive inverters have a limited impact on the response [6], [7]. Another approach Manuscript received July 29, 2003; revised October 11, 2003. D. Mercier, D. Cros, and P. Blondy are with the Institut de Recherche en Communications Optiques et Microondes, University of Limoges, 87060 Limoges, France (e-mail: [email protected]). J.-C. Orlianges, T. Delage, C. Champeaux, and A. Catherinot are with the Material Science Department, University of Limoges, 87060 Limoges, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825744

Fig. 1. (a) Filter layout view. (b) Photograph of the two-pole tune-all filter fabricated. (c) Photograph of the “Resonator” section. (d) MEMS varactor photograph.

has been presented in [11], using stubs to create transmission zeroes on each side of the passband. However, the most flexible case is to be able to control the coupling structures and the center frequencies independently. This has been demonstrated at radio frequencies like in [12] and [13]. The components developed exhibit low loss and very attractive properties for adaptive RF front-ends by allowing independent tuning of the center frequency and bandwidth (tune-all concept), using discrete inductors and MEMS capacitors. This paper reports experimental results on tunable filters with similar properties, but implemented at millimeter-wave frequencies. Using varactors similar to the ones described in [10], [19], and [20], a filter topology allowing separate tuning of bandwidth and center frequency is presented. First, the design of a two-pole filter and its fabrication process are detailed. Simulations and measured results on the filter tuning capabilities are then presented. To conclude the first part, power-handling and intermodulation simulations are presented. The same topology has been used for a four-pole filter and experimental results show that this design is amenable to complex multipole filters. II. TWO-POLE FILTER DESIGN AND FABRICATION A. Filter Design Fig. 1 presents a photograph and layout view of the two-pole filter. It has been designed by cascading coplanar-waveguide

0018-9480/04$20.00 © 2004 IEEE

1176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE I FILTER AND VARACTORS DIMENSIONS

Fig. 3. Simulated and measured MEMS varactor capacitance as a function of biasing voltage.

Fig. 2. MEMS varactor implemented on a CPW. (a) Top view. (b) Side view.

(CPW) sections periodically loaded by MEMS varactors [15]. The filter initial prototype is a two-pole Chebyshev polynomial, centered at 44 GHz with 1.5-GHz equiripple bandwidth and a 0.2-dB ripple. Its normalized Chebyshev coefficients are , , , and corresponding to an external quality factor and an inter-resonator coupling coefficient [16]. The resonator is made from a section of CPW, loaded by five MEMS varactors (see Fig. 1(c): “Resonator section”) that forms a slow wave structure [14]. The bridge number has been chosen to achieve a good compromise between size and performance. By changing the “Resonator section” varactor capacitances, the loaded section effective permittivity is changed, shifting the resonant frequency. Input and output coupling is realized with a quarter-wavelength section of CPW loaded by two MEMS varactors at its ends (see section “In out” on Fig. 1). These varactors are wider than the “Resonator section” varactors to obtain the desired coupling and when the “In out” section varactors are biased, is tuned. The inter-resonator coupling is realized with the same section , as the input/output coupling. To obtain an optimal value for two “In out” sections have been cascaded to form the “Inter-resonator” section. As the “Inter-resonator” varactor capacitances are changed, is tuned. The filter various section dimensions are listed in Table I. “Resonator,” “In out,” and “Inter-resonator” sections are biased separately in order to provide center-frequency tuning independent of bandwidth tuning.

m that has a characteristic impedance of 96 without the MEMS. The pull-down electrodes are located in the CPW gaps and are connected to the biasing network by resistors and lines that pass under the ground-plane bridges, as shown in Figs. 1 and 2 [19], [10]. The measured “Resonator” section capacitances in the up state are 30 and 41 fF at the maximum deflection and 59 and 80 fF for the “In out” and “Inter-resonator” sections. The measured “Resonator” sections maximum voltage that can be applied before the bridges snap down is 45 V. For “In out” and “Inter-resonator” sections, this voltage is 48 V. A model has been fitted using these values and the complete tuning range has been extrapolated [17]. Fig. 3 shows the extracted varactors capacitance as a function of applied biasing voltage. C. Fabrication Process The filters have been fabricated on a 525- m fused silica substrate on which a 300/1500 layer of Ti–Au is evaporated. The gold thickness is increased up to 1 m by electroplating to reduce losses and patterned to form the CPW line and biasing network. Resistors made of carbon doped with nickel (100 k /square) are then deposited using a pulsed laser deposition (PLD) system. The following step consists of depositing and lifting off a 2000- -thick PLD alumina layer to avoid ohmic contact between the bridge and actuation electrodes. Next, a 2.3- m-thick photoresist is used as a sacrificial layer for the MEMS varactors and ground-plane bridges. This height is 0.5 m higher than the one used in [15] to increase the of the MEMS varactors. The second metal layer used for the varactors bridge is a 50/5000/50 layer of Ti–Au–Ti evaporated and partially electroplated up to 3 m to obtain stiff anchorage and proper step coverage. The second metal layer is then patterned and the sacrificial layer is removed to release the varactors and ground-plane bridges. Finally, the circuits are dried using a CO critical point drier. It is interesting to note that the fabrication is conducted at temperatures below 150 C since PLD allows the deposition of high-quality dielectrics at room temperature. III. TWO-POLE FILTER SIMULATIONS AND MEASUREMENTS

B. MEMS Varactors

A. Filter Initial State

Figs. 1(d) and 2 present the MEMS bridges that have been used in the filter. The bridges are implemented on a CPW

A full-wave analysis of the filter including metallic losses and actuation electrodes has been performed using a software

MERCIER et al.: MILLIMETER-WAVE TUNE-ALL BANDPASS FILTERS

1177

Fig. 5. (a) Deduced Q and k as a function of “In out,” and “Inter-resonator” biasing voltage. (b) Deduced f as a function of “Resonator” biasing voltage.

Fig. 6. Measured filter Q tuning. Center frequency, bandwidth, and biasing voltages of the plots are set in Table II (plots 1 and 2). Fig. 4. Filter in the initial state. (a) Wide-band response. (b) Response zoomed. (FW): full-wave analysis results. (1): measured results. center frequency, bandwidth, and biasing voltages of the measured plot are set in Table II (plot 1).

based on the method of moments (Agilent Momentum). Measurements were taken with an Agilent 8510C vector network analyzer and a cascade probe station using a short-open-line-thru (SOLT) calibration procedure. Fig. 4 presents full-wave analysis results and the measurements performed for the filter without any voltage applied. The filter center frequency is 44.05 GHz, measured 3-dB bandwidth is 2.8 GHz, its mid-band insertion losses are 3.2 dB, and return loss are better than 18 dB. Simulation and measurement results are in good agreement. , , and have been identified by fitting the measurements to a two-pole filter lumped resistor–inductor–capacitor (RLC) equivalent model. in the initial state is 30, is 0.049, and is 44.05 GHz, which is in good agreement with the targeted values. This is the minimal value for and the maximal value for and . The unloaded quality factor is extracted by the same way and is found to be 60. The parameters are plotted in Fig. 5 versus applied voltage for each section. B. External Quality-Factor Tuning Fig. 6 shows the -parameters response when “In out” section varactors are actuated. These measurements show that the external quality factor can be changed. Fig. 5 shows the varying from 30 to 70 with the “In out” biasing voltage. The biasing voltages applied on the different sections to obtain

TABLE II CENTER FREQUENCY, BANDWIDTH, AND SECTION VOLTAGES OF THE PLOTS

Q

,

K

,

AND

F

TABLE III VARIATIONS AS A FUNCTION CAPACITANCE

OF THE

SECTION

the measured plots are detailed in Table II. Table III summarizes the variations of , , and as a function of the “In out,” “Inter-resonator,” and “Resonator” varactors capacitance. The plots show the extreme states of the filter with no correction applied to the other filter sections to improve the response. This explains why curve 2 is very distorted with very high ripple. This could be easily corrected by reducing the “Inter-resonator” coupling. As the varactors have a continuous tuning range, many different configurations of the filter can be obtained between these extreme states.

1178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE IV SIMULATED IIP3 OF THE TWO-POLE FILTER

Fig. 7. Measured filter bandwidth tuning with a constant center frequency. Center frequency, bandwidth, and biasing voltages of the plots are set in Table II (plots 3 and 4).

Fig. 9. (a) Filter layout view. (b) Photograph of the four-pole tune-all filter fabricated. Fig. 8. Measured filter center-frequency tuning with a constant bandwidth. Center frequency, bandwidth, and biasing voltages of the plots are set in Table II (plots 3 and 5).

C. “Inter-Resonator” Coupling Coefficient Tuning The measured bandwidth tuning range with a constant center frequency is presented in Fig. 7. Bandwidth can be changed from 2.8 to 2.05 GHz when the “Inter-resonator” section varactors are biased with 47 V, while matching is still better than 10 dB. The “Inter-resonator” coupling coefficient changes from 0.049 to 0.023. One can notice that when the “Inter-resonator” varactors are actuated to tune the “Inter-resonator” coupling coefficient, it also changes the center frequency . To maintain a constant center frequency by changing the bandwidth, can be readjusted by biasing the “Resonator” varactors. The insertion losses are increased because return losses are increased since no voltage is applied to the “In out” sections. The other reason is that since bandwidth is reduced, insertion losses are increased, as predicted by filter theory [16]. D. Center-Frequency Tuning Fig. 8 shows the measured center-frequency tuning range with a constant 2.8-GHz bandwidth. The center frequency can be changed from 44.05 to 41.55 GHz with a 44-V biasing voltage applied on the “Resonator” section varactors. Matching better than 18 dB has been measured all over the tuning range.

The mid-band insertion loss changes from 3.2 dB in the initial state to 3.5 dB at the maximum frequency shift because the increase in current in the thin gold bridges increases the loss. Again, it can be noticed that when the center frequency is tuned by biasing the “Resonator” varactors, the bandwidth is modified as well since the resonator impedance changes. Table II shows that a 16-V biasing voltage is also applied on the “In out” sections varactors at the maximum frequency shift to maintain low return losses. E. Power Handling and Intermodulation A circuit model of the filter built by cascading the RLC equivalent schematic of the varactors model (where the capacitance has been replaced by an electromechanical model) has been also used to perform power-handling simulations of the filter [17], [18]. The mechanical resonant frequency of the bridges has been estimated to be 58 kHz. Simulations results show that the maximum power admissible before the bridges collapse is 34 dBm. Table IV presents the third-order intermodulation intercept point (IIP3) simulated, it is 37 dBm at 10 kHz and over 50 dBm at 500 kHz. IV. APPLICATION TO A FOUR-POLE FILTER Fig. 9 presents a photograph and a layout view of a four-pole filter. It has been designed in the same way as the two-pole

MERCIER et al.: MILLIMETER-WAVE TUNE-ALL BANDPASS FILTERS

1179

TABLE V FOUR-POLE FILTER DIMENSIONS

Fig. 11. Measured filter center-frequency tuning with a constant bandwidth. Center frequency, bandwidth, and biasing voltages of the plots are set in Table VI (plots 1 and 2).

Fig. 12. Measured filter bandwidth tuning with a constant center frequency. Center frequency, bandwidth, and biasing voltages of the plots are set in Table VI (plots 2 and 3).

A. Center-Frequency Tuning Fig. 10. Filter in the initial state. (a) Wide-band response. (b) Zoomed response. (FW): full-wave analysis results. (1): measured results. Center frequency, bandwidth, and biasing voltages of the measured plot are set in Table VI (plot 1).

filter, bended to reduce the size. The filter dimensions are detailed in Table V. The initial prototype is a four-pole Chebyshev filter with a 3.65-GHz (6.8%) equiripple bandwidth centered at 43.25 GHz and a 0.5-dB ripple. Its normalized Chebyshev co, , , , efficients are , and corresponding to , , and . The “In out” and “Inter-resonator” coupling structures have been modified to fit these values. The sections “Resonator1,” “Resonator2,” “Inter-resonator1,” “Inter-resonator2,” and “In out” are biased separately to provide a center-frequency tuning independent of the bandwidth tuning. The MEMS varactors and fabrication process are the same as the two-pole filter and have been detailed in Sections II-B and II-C.

The filter response has been simulated using Agilent Momentum. Fig. 10 shows the simulated and measured filter response in the initial state without any biasing voltage. The measured initial center frequency is 43.25 GHz and the 3-dB bandwidth is 2.65 GHz. The insertion losses are 6.5 dB with matching better than 10 dB. The narrow bandwidth with respect to the unloaded (measured 6.1% at 3 dB centered on 43.25 GHz) is responsible for the 6.5-dB insertion losses. Fig. 11 shows the center-frequency tuning range. The center frequency changes from 43.25 to 40.95 GHz with matching better than 10 dB. These results are obtained by biasing the “Resonator” sections and by readjusting the response using the other varactors section. It explains why bandwidth changes a bit when the response is readjusted with the other varactors section. B. Bandwith Tuning The bandwidth tuning range with a constant center frequency is presented in Fig. 12. The bandwidth can be changed from 2.1 to 1.9 GHz with a matching better than 12 dB.

1180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE VI CENTER FREQUENCY, BANDWIDTH, AND SECTION VOLTAGES OF THE PLOTS

It can be noticed that the initial state bandwidth is 2.65 GHz and can be changed to 1.9 GHz. Return losses can be maintained below 12 dB, applying the voltages presented in Table VI. In both cases, matching could not be perfectly fitted to a four-pole Chebyshev function. Indeed, one of the resonators is slightly detuned since one of the bridges has been damaged during the fabrication. The second reason is that the filter synthesis that has been used assumes lossless resonators and filof the resonators is 60, resistive ters. Since the unloaded losses have a significant impact on input–output couplings and is not low enough in this case. the minimum value for V. CONCLUSION The implementation of millimeter-wave filters with electrically variable characteristics has been demonstrated. Tunable coupling structures have been presented, and their characteristics have been deduced from the variations of a two-pole filter configuration. Finally, these basic building blocks have been used on a four-pole filter, and experimental results prove the validity of the approach. Moreover, one of the most promising extension of this study is to couple this type of tune-all filter with automated optimization techniques developed for filter computer-aided design (CAD). Future work also include loss optimization and extended tuning range. REFERENCES [1] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003. [2] D. Peroulis, S. Pacheco, K. Sarabandi, and L. Katehi, “Tunable lumped components with applications in reconfigurable MEMS filters,” in IEEE MTT-S Int. Microwave Symp. Dig., Phoenix, AZ, May 2001, pp. 341–344. [3] B. Lakshminarayanan and T. Weller, “Tunable bandpass filter using distributed MEMS transmission lines,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, June 2003, pp. 1789–1792. [4] H. T. Kim, J. H. Park, Y. K. Kim, and Y. Kwon, “Millimeter-wave micromachined tunable filters,” J. Micromech. Microeng., pp. 706–712, Oct. 2001. [5] R. L. Borwick, P. A. Stupar, J. F. DeNatale, R. Anderson, and R. Erlandson, “Variable MEMS capacitors implemented into RF filter systems,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 315–319, Jan. 2003. [6] T. Paillot, P. Blondy, D. Cros, P. Guillon, J. C. Orlianges, C. Champeaux, and A. Catherinot, “Implementation of a tunable coplanar filter,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 2003, pp. 1755–1758. [7] Y. L, A. Borgioli, A. S. Nagra, and R. A. York, “Distributed MEMS transmission lines for tunable filter applications,” Int. J. RF Microwave Computer-Aided Eng., pp. 254–260, Mar. 2001. [8] R. D. Streeter, C. A. Hall, R. Wood, and R. Mahadevan, “VHF highpower tunable RF bandpass filter using microelectromechanical MEM microrelays,” Int. J. RF Microwave Computer-Aided Eng., pp. 261–275, Mar. 2001.

[9] E. Fourn, A. Pothier, C. Champeaux, P. Tristant, A. Catherinot, P. Blondy, G. Tanné, E. Rius, C. Person, and F. Huret, “MEMS switchable interdigital coplanar filter,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 320–324, Jan. 2003. [10] A. Abbaspour-Tamijani, L. Dussopt, and G. M. Rebeiz, “A high performance MEMS miniature tunable bandpass filter,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 2003, pp. 1785–1788. [11] E. Fourn, C. Quendo, E. Rius, A. Pothier, P. Blondy, C. Champeaux, J. C. Orlianges, A. Catherinot, G. Tanne, C. Person, and F. Huret, “Bandwidth and central frequency control on tunable bandpass filter by using MEMS cantilevers,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, June 2003, pp. 523–526. [12] J. Brank, J. Yao, M. Eberly, A. Malczewski, K. Varian, and C. Goldsmith, “RF MEMS-based tunable filters,” Int. J. RF Microwave Computer-Aided Eng., pp. 276–284, May 2001. [13] R. M. Young, J. D. Adam, C. R. Vale, T. T. Braggins, S. V. Krishnaswamy, C. E. Milton, D. W. Bever, L. G. Chorosinski, L. Chen, D. E. Crockett, C. B. Freidhoff, S. H. Talisa, E. Capelle, R. Tranchini, J. R. Fende, J. M. Lorthioir, and A. R. Torres, “Low-loss bandpass RF filter using MEMS capacitance switches to achieve a one-octave tuning range and independently variable bandwidth,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 2003, pp. 1781–1784. [14] N. S. Barker, “Distributed MEMS transmission lines,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Michigan at Ann Arbor, Ann Arbor, MI, 1999. [15] D. Mercier, P. Blondy, D. Cros, and P. Guillon, “Ditributed MEMS tunable filter,” presented at the Eur. Microwave Conf., London, U.K., Sept. 2001. [16] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters: Impedance Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964. [17] D. Mercier, P. Blondy, D. Cros, and P. Guillon, “An electromechanical model for MEMS,” in IEEE MTT-S Int. Microwave Symp. Dig., Phoenix, AZ, May 2001, pp. 2123–2126. [18] L. Dusssopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 1247–1256, Apr. 2003. [19] J. Zou, C. Liu, J. Schutt-Aine, J. Chen, and S. Kang, “Development of a wide tuning range MEMS tunable capacitor for wireless communication systems,” in IEEE Int. Electron Device Meeting, San Francisco, CA, Dec. 2000, pp. 403–406. [20] C. Goldsmith, T. H. Lin, B. Powers, W. R. Wu, and B. Norwell, “Micromechanical membrane switches for microwave applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Orlando, FL, May 1995, pp. 91–94.

Denis Mercier received the M.S. degree in electrical engineering from the University of Limoges, Limoges, France, in 2000, and is currently working toward the Ph.D. degree at the University of Limoges. He is currently with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), Limoges, France. His main research interest are MEMS applications and implementation in passive circuits.

Jean-Christophe Orlianges received the M.S. degree in material science from the University of Limoges, Limoges France, in 2000, and is currently working toward the Ph.D. degree at the University of Limoges. He is currently with the Material Science Laboratory (SPCTS), University of Limoges. His main research interests are tetrahedral amorphous carbon and alumina thin films synthesis by PLD. He is also involved in the integration of PLD layers in MEMS components.

Thierry Delage received the M.S. degree in material science from the University of Limoges, Limoges, France, in 2000, and is currently working toward the Ph.D. at the University of Limoges. He is currently with the Material Science Laboratory (SPCTS), University of Limoges. His main research interests are realization and characterization of thin superconductor and ferroelectric films deposited by laser ablation. He is involved with the development and the fabrication of MEMS components through the elaboration of new materials and fabrication processes.

MERCIER et al.: MILLIMETER-WAVE TUNE-ALL BANDPASS FILTERS

Corinne Champeaux received the Ph.D. degree in material science from the University of Limoges, Limoges, France, in 1992. Since 1992, she has been an Assistant Professor with the Faculty of Science, University of Limoges. She currently conducts research with the Material Science Laboratory (SPCTS), University of Limoges. Her main research interests are laser–matter interactions and pulsed-laser thin-film deposition techniques. She is involved with the development and the fabrication of MEMS components through the elaboration of new materials and fabrication processes.

Alain Catherinot received the Doctorat d’etat degree from the University of Limoges, Limoges, France, in 1983. He is currently a Professor with the Material Science Department, University of Limoges, Limoges, France, where he conducts research on PLD techniques with the Material Science Laboratory (SPCTS). His research interests include plasma–matter interactions, PLD techniques, and the elaboration and the characterization of thin films. He is also involved in MEMS fabrication using innovative deposition techniques and novel materials.

1181

Dominique Cros received the Ph.D. degree in electrical engineering from the University of Limoges, Limoges, France, in 1990. In 1990, he became an Assistant Professor with the Faculty of Science, University of Limoges. Since 1999, he has been a Professor with the Faculty of Science, University of Limoges. He currently conducts research with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. His current research interests are planar and dielectric resonators for filters and oscillator applications at microwave frequency, electromagnetic tools to design microwave design, and application of news materials in millimeter-wave systems.

Pierre Blondy (M’00) received the Ph.D. and Habilitation degrees from the University of Limoges, Limoges, France, in 1998 and 2003, respectively, both from the Electrical Engineering Department. Since 1998, he has been with the Centre National de la Recherche Scientifique (CNRS), Paris, France, where he is involved with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. He conducts research on new topologies and fabrication techniques for microwave passive components. His current research interests are tunable filters and millimeter-wave filter integration using electromagnetic (EM)-based design and MEMS/micromachining fabrication techniques.

1182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Split-Step TLM (SS TLM)—A New Scheme for Accelerating Electromagnetic-Field Simulation Sandrick Le Maguer, Alain Peden, Daniel Bourreau, and Michel M. Ney, Senior Member, IEEE

Abstract—A new unconditionally stable three-dimensional (3-D) transmisson-line (TLM) algorithm is presented. It is stable regardless of the selected time-step. This new algorithm is based on a split-step theory, whose numerical implementation is given in detail. In addition, the theoretical proof of its unconditional stability is provided. This feature provides some potential advantage for time-domain electromagnetic-field computation as the number of iterations can be arbitrarily reduced for a given space sampling. Unfortunately, it is shown that the numerical dispersion of the new scheme increases when the time-step is different from the maximum value of the standard TLM. However, it is shown that some substantial computer cost reduction can be achieved when irregular meshing is used, as compared to classical 3-D TLM schemes. Thus, a new meshing strategy to improve the scheme accuracy is presented and validated through several examples. Index Terms—Irregular mesh, split step (SS), transmission-line matrix (TLM), unconditional stability.

I. INTRODUCTION

T

HE transmission-line matrix (TLM) method is an efficient numerical technique for electromagnetic-field computation [1], [2]. This method is based on a volume discretization of the computational domain and is, hence, well suited for the analysis of arbitrary geometry. As the finite difference time domain (FDTD), the TLM is a time-domain technique that allows wide-band characterization in a single run by applying Fourier transform to time-domain responses. However, the TLM is less dispersive and has the advantage of computing the sixfield components at the same location and time. In addition, the TLM is fully compatible with rigorous segmentation techniques [3]. Unfortunately, like FDTD, the TLM is computationally expensive in both CPU time and memory. In particular, this requirement becomes exhaustive when analyzed structures contain fine details. Indeed, in that case, graded mesh is usually used to reduce memory requirement. As a result, the time-step is reduced in proportion to the smallest cell size and, correspondingly, the amount of iterations. The symmetrical condensed node (SCN) is the basic three-dimensional (3-D) TLM cell (or node). It was introduced by Johns in 1987 [4] and requires the storage of 18 voltage values since much effort has been driven to decrease the computer cost of this numerical scheme. For instance, two new nodes: the hybrid Manuscript received July 30, 2003; revised October 30, 2003. The authors are with the Groupe des Ecoles de Télécommunications, Département Micro-Ondes, Centre National de la Recherche Scientifique, Laboratoire d’Electronique et des Systèmes de Telecommunication, Ecole Nationale Supérieure des Télécommunications de Bretagne, 29238 Brest Cedex 3, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825729

SCN (HSCN: 15 voltages) [5], [6] and the super SCN (SSCN: 12 voltages) [7] were proposed. Also, these nodes provide some advantage in term of the time-step while using a graded mesh. Nevertheless, there exist a maximum time-step value to enforce stability. Note that several accelerating procedures for the above schemes have been proposed. They are listed and compared in [8]. A major improvement in memory reduction was the creation of the alternating TLM scheme (ATLM) [9]. This model allows the use of any type of TLM node while decreasing the computational effort by a factor of two. Unfortunately, this technique has a major drawback: boundaries have to be placed at the center of the TLM cell. This condition breaks the generality of the TLM scheme since special nodes have to be created for each type of boundary. This technique was also associated with the rotated TLM (RTLM) [10], which leads to another 50% computer-cost reduction. With the same objective, the alternating rotated TLM (AR-TLM) scheme was proposed [11]. However, boundary treatment in some configurations has not yet been solved. Recently, a new FDTD scheme without a maximum time-step limit was proposed [i.e., alternating-direction implicit FDTD (ADI FDTD)]. First implemented as a two-dimensional (2-D) scheme by Namiki [12], it was then extended to 3-D by Zheng et al. [13]. Such a scheme has significant advantage in simulations involving a graded mesh [14]. Alternating-direction implicit (ADI) schemes were implemented for the TLM [15]–[17]. However, it was found in [15] that ADI schemes were not appropriate for implementation to TLM since some time-step ambiguity remains. In this paper, a new approach to implement such a type of unconditionally stable algorithm is presented. This technique, called split-step (SS), is then applied to 3-D TLM and numerical implementation is given in details. It is shown that the memory requirement per cell is divided by a factor of three. In addition, it is shown that the numerical scheme is unconditionally stable for any time-step. A short study of the numerical dispersion leads to imposing a meshing strategy that takes advantage of using a larger time-step while keeping the numerical dispersion error negligible. II. SCHEME DERIVATION A. ADI-FDTD Technique The ADI-FDTD scheme is a two-step algorithm, which leads to a semi-implicit method by approximating Maxwell’s equations in a convenient manner. Let us describe the ADI approxi-

0018-9480/04$20.00 © 2004 IEEE

LE MAGUER et al.: SS TLM

1183

mation of the Maxwell–Ampère equation for the temporal vari-component. First, the usual iterative scheme is ation of the subsequently applied in time as follows. First step:

(1) Second step: Fig. 1. 3-D TLM fields samples in the (yoz )-plane with respect to Johns’ notation [4].

(2) where is the time-step index, is the permittivity of free space, is the relative permittivity in the -direction, and is the electric losses along the same direction. As shown, the ( being the time-step), time derivative is approximated at and while spatial derivatives are approximated at . This step is then followed by a step where the time derivative is approximated at , while spatial derivaand . These tives are approximated at time shifts are the basis of the ADI process. It can be demonstrated that the finite-difference approximation of (1) and (2) using Yee’s grid [18] leads to an unconditionally stable algorithm [12], [13]. B. SS Technique and Its TLM Implementation As mentioned before, ADI is not advantageously applicable to the TLM. A similar approach based on SS theory [19] is used instead, as its numerical implementation is simpler. It consists of splitting a 3-D phenomenon in successive sub-one-dimensional (1-D) phenomena. To achieve this, a modification of the time-sampling procedure in Maxwell’s field equations has to be carried out. The technique splits the basic equations into two successive steps. For instance, the time dependence of the electric-field component given by the Maxwell-Ampère equation is divided into the following two equations:

equations lead to relationships between reflected voltages and total fields at the node center. This approach can also be used with Maxwell’s equation derivatives. For example, let us apand using the TLM proximate (3) between -plane of the Cartegrid. Field samples on this grid in the sian grid are depicted in Fig. 1. Using the finite-difference approximation of time and space derivatives in (3) leads to

(5) where is the speed of light in vacuum and is the impedance , , and are the cell size for each direction of free space. of the Cartesian grid. is the -field component at the center . denotes a field component (in this case, of the cell at -component) on the face of the cell, which corresponds to port 1 with respect to Johns’ notation [4]. It should be stressed that, in (5), is unknown and that field components are known . Thus, to solve (5), one on the faces of the cell at can enforce (6) which is an average comparable to those usually used in the TLM schemes’ derivation (for instance, see [20]). Injecting (6) in (5) leads to

(3) (4) According to the theory of split algorithms, (3) and (4) have to be solved subsequently in the iterative process to obtain unconditional stability. Furthermore, to get a coherent spatial mapping of field components, the scheme is divided into the following two steps. Step 1) An approximation of (3) between and is obtained followed by an approximation of (4) and . between Step 2) An approximation of (4) between and is obtained followed by an approximation and . of (3) between The above approximations are performed using the approach proposed by Peña and Ney [20]: from field component sample to arm-voltage correspondences, enforcement of curl’s Maxwell’s

(7) where , , , and The same approximation is applied from to (4) that yields

, . to

(8) where . Applying the same procedure to the other scalar differential equations derived from Maxwell’s curl equations, one can obtain the complete set of updating equations provided in the Appendix.

1184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

One can note from (7) and (8) that the combination of fields on the faces of the cell corresponds to the usual TLM voltages. For example, the reflected voltage on port 2 of the SCN is usually defined as (9) [4]. Hence, the voltage because the impedance of this port is notation is not maintained here because corresponding arm impedances are not the same depending on what field component is evaluated at the center of the cell. For example, from (A.7) and (A.11) (see the Appendix), it can be seen that port 2 has two different characteristic impedances at the same time. Thus, the voltage notation is no longer unified and one has to work directly with field components. Furthermore, arm impedances can never be zero or negative. Thus, from a TLM point-of-view, the scheme appears to be unconditionally stable. This point will be theoretically proven in Section III. From (7), it can be seen that the field value at the center of the cell can be easily calculated from tangential-field components on the faces at the previous half time-step. However, a problem arises when one tries to estimate those tangential-field components from fields at the center at the previous half time-step [see (8)]. Considering an isolated cell, one has to solve a system of six equations with 12 unknowns. Consequently, this part of the scheme has to be solved by taking into account the neighboring cells. As for ADI FDTD, this procedure leads to an implicit solution step of the algorithm. To illustrate this point, consider a part of a computational volume that consists of three adjacent cells only distributed along the -direction (see Fig. 2). The space is limited by two perfect electric conductors (PECs). and Using (A.7) and (A.11) in the lossless case (i.e., ), one obtains the following linear system of equations:

Fig. 2. Illustration of the implicit solution step in the case of three adjacent cells along the z -direction.

pertain to boundary conditions. Thus, for the case discussed here, the electric-field components that are tangent to perfect conductors are set to zero. Also, note that, as for classical TLM schemes, the SS TLM can straightforwardly simulate a wide range of boundary conditions (perfect magnetic, impedance, etc.). To solve the above system, one has to invert the above matrix that yields the tangential-field components on the faces as a function of fields at the center of all cells along the -direction. It is worth noting that this matrix is inverted only once and stored before the time iterations start. Thus, for one -directed line through the space lattice, the field on the faces are calculated directly followed by the calculation of field at the at using the updated (B.1) and (B.5). Hence, center at only the six field components at the center need to be stored. This represents a memory gain of a factor of three compared to the classical 3-D TLM scheme. The above implicit procedure must be repeated for each -cut through the grid and repeated for all - and -cuts. The whole SS TLM scheme is described in Fig. 3. One should note that the amount of multiplications and additions is much larger than for the classical TLM scheme. This drawback is essentially due to the use of an inverted matrix to calculate fields on the faces of the cell [see (10)]. However, it should be stressed that the potential advantage brought by the SS TLM scheme resides in the case of irregular mesh where the time-step imposed by the smallest mesh size need not be enforced with the SS TLM. III. STABILITY ANALYSIS OF SS TLM SCHEME As seen in Section II, impedances of the new SS TLM can never be negative. It indicates that the scheme appears to be unconditionally stable. The theoretical proof of this assertion is given below. The new scheme can be written recursively as follows:

field components on the faces (unknowns)

field components at the centers of the cells (known) (10) In (10), the superscripts indicate either the cell or face numbers given in Fig. 2. Note that the first and last lines of (10)

with

(11)

LE MAGUER et al.: SS TLM

1185

TABLE I CPU EXPENDITURE OF SS TLM COMPARED WITH TLM

with

Fig. 3.

Illustration of the SS TLM algorithm.

The stability of such a scheme can be proven using the Fourier method described in [19] and used in [13] to prove ADI-FDTD stability. The field components are represented in the spatial are then despectral domain. The eigenvalues of the matrix termined. Stability is ensured if they are located within or on the limit of the unit circle in the complex plane. are the spatial frequencies Let us assume that , , and along the -, -, and -directions. Thus, field components in the spatial spectral domain can be expressed, for example, as (12a) (12b) where , , and are the indexes of the coordinates of the center of the cell. Both steps of the SS TLM can be written in the matrix form (13a) for the first step. The second step is (13b) and correspond to the implicit procedures, while where and correspond to the explicit parts of the algorithm. Their expressions are obtained by injecting the expressions of the type (12) into (A.1)–(A.12) and (B.1)–(B.12). For example, and is obtained by substituting expresthe first line of sions of fields on the faces such as (12) in (A.1) and (A.7), which leads to

(14) By substituting (13a) in (13b), the following expression is then found: (15) are found by solving the equation The eigenvalues of (16) where is the identity matrix. Condition (16) yields (17)

with

and

with Note that, without loss of generality, the medium is considand ) ered isotropic ( and lossless. From (17), it can be seen that there are six eigenvalues. Two of them correspond to the static solution , while the others pertain to the propagating solutions. Each of them has a magnitude of unity, which implies that the SS TLM is unconditionally stable. There is no more maximum time-step. Last, but not least, the above conclusion proves that, unlike the standard TLM, the SS TLM algorithm does not generate spurious solutions. IV. ACCELERATING PROCEDURES As explained before, the SS TLM algorithm requires some overhead in computer expenditure due to the implicit part of the technique. However, the matrix involved in (10) is very sparse since it is a band matrix. Thus, dedicated accelerating procedures can be used. For instance, the software NAG FORTRAN Library1 provides routines adapted to block diagonal matrices (referred to as F04LHF), band matrices (referred to as F07BEF), and tri-diagonal matrices (referred to as F04LEF) since the matrix in (10) can easily be rearranged in a tri-diagonal form. The CPU expenditure was tested for a 3-D 50 50 50 cells volume and compared to the classical TLM. Results are presented in Table I. One can observe that the routine for a tri-diagonal matrix is really efficient and reduces the overall CPU time overhead to only 30%. Furthermore, this overhead remains the same whatever the size of the problem 1NAG

FORTRAN Library, Mark 19, Numerical Algorithm Group.

1186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

treated is. This is due to the fact that the tri-diagonal procedure CPU time increases linearly with the amount of cells, just as the TLM does. In conclusion, directly using the inverted matrix is around ten times longer than the classical TLM, which balance any available advantage of the SS TLM algorithm. However, if specific procedures are used such as a band matrix or tri-diagonal matrix, the CPU time becomes comparable to the SCN TLM. Hence, the SS TLM is only slightly slower than the usual TLM schemes while providing the advantage of using an arbitrary time-step. This aspect will be discussed in more details in Section V. V. NUMERICAL RESULTS A. Comparison Between SS TLM and Standard TLM The new SS TLM scheme was tested and compared to the SCN TLM [4] in the case of a cavity made of an empty short-circuited rectangular waveguide (20 10 mm cross section) with 50-mm total length. The cavity is excited so that modes resonate. The relative error is given by

Fig. 4. Error on resonance frequencies (markers) obtained in a rectangular cavity. Comparison between the SS TLM and SCN TLM ( t is the maximum time-step of the SCN TLM) with cubic cells (i.e., mm). l x y z

1 =1 =1 =1 =1

1

(18) where is the simulated resonance frequency of the modes and is the theoretical one. Fig. 4 shows the error as a function of cell size to wavelength ratio for different time-steps. The total time of the simulation is 16.7 ns. It means that the amount of iterations depends on the time-step chosen to perform the simulation. It is observed that when the maximum time-step of the is used, no difference appears between SCN TLM the two schemes. Thus, the strict equivalence between both can be proven theoretically at this maximum time-step value , the error is (see [21]). In addition, it is noted that, at below 0.1% up to the acceptable dispersion limit for both schemes. It is well known that numerical dispersion is minimum at a maximum time-step with the SCN TLM. This feature still holds for the SS TLM. Furthermore, when the time-step decreases, the dispersion error increases for both schemes. However, it can be observed from Fig. 4 that the error produced by the SS TLM is larger than the one produced by the SCN TLM. B. Numerical Dispersion of SS TLM as a Function of Time-Step In spite of the high accuracy of the SS TLM at the SCN TLM maximum time-step, one has to consider the evolution of the dispersion error, especially when using a larger time-step. For this purpose, a simulation under the same conditions as (values used in Fig. 4 is performed, but for several for which the SCN TLM would be instable). As in a classical TLM, the numerical dispersion of the SS TLM increases with (see Fig. 5). However, if , the error increases very rapidly with the relative cell size, as compared to cases with (see Fig. 4). Therefore, the advantage of using an arbitrary larger time-step for the SS TLM is greatly reduced by the numerical dispersion increase. However, by examining Fig. 5, a significant advantage

Fig. 5. Error on resonance frequencies (markers) of an empty rectangular cavity. Simulations are performed for several time-steps with the SS TLM is the classical TLM maximum time-step). ( t

1

can be gained when irregular meshing is used, as is explained below. Usually, when using a variable mesh, one has to impose to the whole meshing the lowest time-step typically enforced by considering the smallest cell dimension. This implies a large amount of iterations to obtain a nontruncated time response. In for which dispersion the case of the SS TLM, one can use is minimum. As a result, large cells will produce negligible ve(see the example locity error up to the standard limit in Fig. 5). On the other hand, small cells will be processed with , but with very low values a time-step much larger than . As can be observed in Fig. 5, ratios below 0.03 imof plies a negligible dispersion error. Thus, the choice of a maximum standard TLM time-step acts like a compromise between the time-step and numerical error in each region of the mesh. As a result, the time-step used in the SS TLM can be much larger than the time-step used in a classical TLM for an irregular mesh, and substantial gain in terms of the CPU time is expected.

LE MAGUER et al.: SS TLM

1187

Fig. 6. Geometry of the variable mesh used in a rectangular cavity to test the new meshing strategy.

Fig. 8. Geometry of the simulation performed to characterize an FSS (basic : m). cell: l

1 = 63 5

Fig. 7. Error on resonance frequencies (markers) of an empty rectangular cavity with a variable mesh (Fig. 6). Comparison between the SS TLM mesh strategy and the SCN TLM.

The above meshing strategy was tested and compared to the SCN TLM. The geometry is the same as in Figs. 4 and 5, except that the mesh density in the longitudinal direction is increased by a factor of four at each extremity of the cavity, as depicted in Fig. 6. Consequently, the maximum time-step of the SCN TLM is four times smaller than for the SS TLM selected time-step. This means that the amount of iteration with the SS TLM is four times smaller. From previous observations, the overall cost for the SS TLM analysis is three times faster than with SCN TLM computations. As observed in Fig. 7, the reduction of numerical dispersion with the SS TLM is maintained. Consequently, error does not exceed 0.4%. On the other hand, since the time-step of the SCN TLM is smaller, the numerical dispersion increases in the large-cell regions. As a result, the error obtained can be larger than 1.4%. This experiment validates the new SS TLM mesh strategy. It should be noted that, if accuracy is maintained, the CPU time is decreased. However, this technique has to be experimented with more complex structures to ascertain the above conclusion. C. Frequency-Selective Surface (FSS) Simulation Finally, the SS TLM and conventional TLM are compared by simulating an FSS at millimeter wavelength. A quasi-optical test bench developed at the Laboratoire d’Electronique et des Systèmes de Telecommunication (LEST), Brest, France [22] is used to measure -parameters. The screen under test is comwith a 2-D periodposed of a dielectric substrate ical square metallization. Since the wave impinges at normal incidence (perpendicular to periodicity directions), the simulation can be reduced to one-quarter of a single motive by prop-

Fig. 9. Reflection coefficient obtained with the FSS depicted in Fig. 8. Comparison between the SS TLM, TLM, and measurements.

erly choosing boundary conditions, as shown in Fig. 8. Perfect -planes and perfect elecmagnetic walls are used in the -planes to limit the computational volume. tric walls in the The structure is excited by a plane wave with a polarization in the -direction. In addition, PML absorbing boundary conditions (ABCs) are used at both ends of the computational domain to simulate free space [23]. The total volume simulated is composed of 30 30 120 cells and a variable mesh is used around the metal edges to decrease the coarseness error. The reflection coefficients obtained with the SS TLM and SCN TLM are shown in Fig. 9, in which they are compared to measurements. At first glance, the SS TLM seems more accurate than the SCN TLM. However, as losses were not included in the simulation, a slight downward frequency shift is usually expected when they are taken into account. Therefore, the TLM and SS TLM should provide comparable accuracy around 1% in terms of frequency. It should also be mentioned that the comparison with measurements are shown up to 98 GHz. The reason is that a higher order mode (referred to as Floquet modes or grating modes [24]) is generated around 100 GHz. Since simulated -parameters were extracted considering single-mode propagation, the comparison with measurements would be meaningless.

1188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Finally, note that by using the meshing strategy described in the Section IV, the SS TLM simulation is performed 3.4 times faster than the conventional TLM with a three-factor memory storage reduction, confirming SS TLM advantages.

(A.6) Implicit part (from

VI. CONCLUSION

to

):

A new approach to obtain an unconditionally stable algorithm has been presented and implemented in a 3-D TLM form. Based on SS theory, the SS TLM includes two subsequent time operations in the time iterative scheme. Consequently, the memory storage per cell is divided by a factor of three. The stability of the new algorithm is theoretically proven and it is found that spurious modes inherent to the classical TLM no longer exist. However, like the ADI FDTD, the SS TLM involves an implicit solution procedure to be performed before time iterations start. It is shown that the overall CPU time ratio SS TLM over a standard TLM does not exceed 1.3. Numerical results confirm that at the maximum time-step, the new scheme and classical SCN TLM are equivalent. As observed in FDTD, the numerical dispersion of the new method increases with the time-step. However, unlike the ADI FDTD, for which numerical dispersion continuously increases with the time-step, the numerical dispersion of the SS TLM is minimal at the SCN TLM maximum time-step. From this observation, a new meshing strategy for irregular meshing is proposed and tested. Results show that, while keeping dispersion error at a negligible level, some substantial gain in terms of overall computer expenditure is obtained with the SS TLM.

(A.7)

(A.8)

(A.9)

(A.10)

(A.11)

APPENDIX The complete SS TLM updating equations are listed here for both time-steps. First step: Explicit part (from to ):

(A.12) Second step: Explicit part (from

to

):

(A.1)

(B.1)

(A.2)

(B.2)

(A.3)

(B.3)

(A.4)

(B.4)

(A.5)

(B.5)

LE MAGUER et al.: SS TLM

1189

ACKNOWLEDGMENT

(B.6) Implicit part (from

to

The authors wish to acknowledge Dr. N. Peña, Universidad de Los Andes, Bogota, Colombia, for fruitful conversations on SS algorithms.

): REFERENCES (B.7)

(B.8)

(B.9)

(B.10)

(B.11)

(B.12) with and with

with

with and

[1] W. J. R. Hoefer, “The transmission-line matrix (TLM) method,” in Numerical Techniques for Microwave and Millimeter Wave Passive Structures, T. Itoh, Ed. New York: Wiley, 1989. [2] C. Christopoulos, “The transmission-line modeling method : TLM,” in IEEE/OUP on Electromagnetic Wave Theory. Piscataway, NJ: IEEE Press, 1995. [3] M. M. Ney and S. Le Maguer, “Diakoptics: An efficient technique for EMC applications,” in Proc. Electromagnetic Compatibility, Zurich, Switzerland, 1999, pp. 339–342. [4] P. B. Johns, “A symmetrical condensed node for the TLM method,” IEEE Trans. Microwave Theory Tech., vol. MTT-35, pp. 370–377, Apr. 1987. [5] R. A. Scaramuzza and A. J. Lowery, “A hybrid symmetrical condensed node for the TLM method,” Electron. Lett., vol. 26, pp. 1947–1949, 1990. [6] P. Berrini and K. Wu, “A pair of hybrid symmetrical condensed TLM nodes,” IEEE Microwave Guided Wave Lett., vol. 4, pp. 224–246, July 1994. [7] V. Trenkic, C. Christopoulos, and T. M. Benson, “New symmetrical super-condensed node for the TLM method,” Electron. Lett., vol. 30, pp. 329–330, 1994. [8] J. Rebel, T. Mangold, and P. Russer, “On the performance of TLM-SCN codes,” in Proc. 3rd Int. Transmission Line Matrix Workshop, 1999, pp. 43–50. [9] P. Russer and B. Bader, “The alternating transmission line matrix (ATLM) scheme,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 1995, pp. 19–22. [10] A. J. Wlodarczyk, “Representation of symmetrical condensed TLM node,” Electron. Lett., vol. 28, no. 18, pp. 1686–1687, Aug. 1992. [11] P. Russer, “The alternating rotated transmission line matrix (ARTLM) scheme,” Electromagnetics, vol. 16, pp. 537–551, 1996. [12] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 2003–2007, Oct. 1999. [13] F. Zheng, Z. Chen, and J. Zhang, “Toward the development of a three-dimensional unconditionally stable finite-difference time-domain method,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1550–1558, Sept. 2000. [14] T. Namiki and K. Ito, “Numerical simulation using ADI-FDTD method to estimate shielding effectiveness of thin conductive enclosures,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1060–1066, June 2001. [15] S. L. Maguer and M. Ney, “Toward a new low-computer-cost 3D-TLM scheme (ADI-TLM),” in Proc. 4th Int. Computational Electromagnetics in the Time Domain Workshop, 2001, pp. 13–18. [16] Y. M. Lee and C. C.-P. Chen, “Power grid transient simulation in linear time based on transmission-line-modeling alternating-direction-implicit method,” in Proc. Computer-Aided Design, Nov. 4–8, 2001, pp. 75–80. , “Power grid transient simulation in linear time based on transmis[17] sion-line-modeling alternating-direction-implicit method,” IEEE Trans. Computer-Aided Design, vol. 21, pp. 1343–1352, Nov. 2002. [18] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propagat., vol. AP-14, pp. 302–307, May 1966. [19] G. I. Marchuk, “Splitting and alternating direction methods,” in Handbook of Numerical Analysis, P. G. Ciarlet and J. L. Lions, Eds. Amsterdam, The Netherlands: North-Holland, 1990, vol. 1. [20] N. Peña and M. M. Ney, “A general formulation of a three-dimensional TLM condensed node with the modeling of electric and magnetic losses and current sources,” in Proc. Applied Computational Electromagnetics Society, 1996, pp. 262–269. [21] S. Le Maguer and M. M. Ney, “Alterning TLM symmetrical condensed node,” Electron. Lett., vol. 38, no. 15, pp. 779–780, July 2002. [22] M. Le Goff, J. L. Le Bras, B. Deschamps, D. Bourreau, and S. Toutain, “Focusing horn design for wideband quasioptical circuits measurements without time-gating,” in Proc. ESA Millimeter Wave Technology and Applications Workshop, Espoo, Finland, 1998, pp. 269–274.

1190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[23] S. Le Maguer, S. Guillou, and M. M. Ney, “PML absorbing conditions for a new SS TLM scheme,” in Proc. 5th Int. Computational Electromagnetics in the Time Domain Workshop, Jun. 2003, pp. 1–6. [24] A. Taflove, Advances in Computational Electrodynamics. Norwood, MA: Artech House, 1998, ch. 6.

Sandrick Le Maguer received the Electrical Engineering diploma from the Ecole Supérieure d’Ingénieurs en Génie Electrique (ESIGELEC), Rouen, France, in 1993, and the Ph.D. degree in electronics from the Ecole Nationale Supérieure des Télécommunications, Brest, France, in 1998. In 1995, he joined the Laboratoire d’Electronique et des Systèmes de Telecommunication (LEST), Ecole Nationale Supérieure des Télécommunications, Brest, France. Since 2000, he has been an Associate Professor. His research interests include time-domain numerical modeling and its implementation to analyze microwave and millimeter-wave circuits and electromagnetic compatibility. His current research topics are focused on new high-speed algorithms.

Alain Peden received the Diplôme d’Etudes Supérieures Spécialisées degree from The University of Limoges, Limoges, France, in 1985, and the Ph.D. degree in electronics from the Ecole Nationale Supérieure des Télécommunications, Brest, France, in 1991. From 1986 to 1988, he was a Monolithic Microwave Integrated Circuit (MMIC) Designer with Thomson Composants Microondes. In 1989, he joined the Laboratoire d’Electronique et des Systèmes de Telecommunication (LEST), Ecole Nationale Supérieure des Télécommunications, where he is currently an Associate Professor. His research activities are in the field of microwave and millimeter-wave power-amplifier design. He is mainly involved with the large-signal characterization of transistors and nonlinear devices and applications to amplifier design using power combining and quasi-optical techniques.

Daniel Bourreau was born in Voultegon, France, on February 18, 1959. He received the Doctor degree in electronics from the University of Lille, Lille, France, in 1989. In September 1989, he joined the Laboratoire d’Electronique et des Systèmes de Telecommunication (LEST), Ecole Nationale Supérieure des Télécommunications, Brest, France. His research interests include measurements, and generation and processing in microwave and millimeter wave, particularly in quasi-optical power combining.

Michel M. Ney (S’80–M’82–SM’91) received the Engineering diploma from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1976, and the Ph.D. degree from the University of Ottawa, Ottawa, ON, Canada , in 1983. He began his academic career as an Assistant Professor with the University of Ottawa. In 1989, he spent a sabbatical year with the Swiss Federal Institute of Technology as a Guest Professor. In 1993, he became a Full Professor. Since June 1993, he has been with the Ecole Nationale Supérieure des Télécommunications, Brest, France. He is currently the Director of the Laboratoire d’Electronique et des Systèmes de Telecommunication (LEST), which is a joint research unit with the University of Western Brittany, Brest, France, both associated with the Centre National de la Recherche Scientifique. He is an Editorial Board member of the International Journal of Numerical Modeling: Electronic Networks, Devices, and Fields. His research interests include millimeter-wave circuits, antenna and scattering, electromagnetic compatibility (EMC) and electromagnetic interference (EMI) problems, and time- and frequency-domain numerical techniques applied to electromagnetic engineering.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1191

A Mode Transformer Using Fin-Line Array for Spatial Power-Combiner Applications Mekki Belaid, Student Member, IEEE, Raquel Martínez, and Ke Wu, Fellow, IEEE Abstract—A new TE10 -to-TE20 -mode transformer has been developed on the basis of a fin-line array for waveguide spatial power-combiner applications. The fin-line array is designed such that the input TE10 mode is split in space into multiple segments, then subject to different signal paths, which effectively converts the TE10 mode into a TE20 mode, while eliminating the TE10 -band (14–15 GHz) design indicates mode at the output. Our that the proposed mode converter makes it possible to obtain the TE20 mode with greater than 22-dB suppression of the TE10 mode over the band of interest. This converter was used in the design of a waveguide spatial power amplifier involving four monolithic microwave integrated circuit power chips and an output power of 31 dBm has been obtained with a combining efficiency of 80%. A measurement technique has been also developed to measure the electric-field profile inside the rectangular waveguide. A good agreement between measured and simulated results has been observed, showing an effective suppression of the TE10 mode, as well as a good TE20 -mode formation judging from its amplitude and phase. Index Terms—Fin line, miniature probes, mode transformation, near-field measurements, power amplifier, rectangular waveguide, spatial power combiner.

I. INTRODUCTION

P

OWER amplifiers are crucial in the design of wireless systems, particularly for transmitters. They can generally be made to have high output power characteristics at low microwave frequency. However, they are still limited in power and difficult to design at higher microwave and/or millimeter-wave frequencies. This has stimulated special interest in finding alternative solutions. A promising technique is the use of a spatial power combining or a quasi-optical architecture [1] that involves a large number of small-area and low-power devices. A remarkable advantage of this approach is that the insertion loss generally does not increase by increasing the number of elements or cells of amplification that are spatially combined in a parallel manner. This can be very attractive for system design that requires a high output power at high frequencies. Several published papers have shown that it is possible to develop high power amplifiers using this technique [2]–[5]. A good number Manuscript received August 7, 2003; revised November 25, 2003. This work was supported by the Natural Sciences and Engineering Research Council of Canada. M. Belaid and K. Wu are with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]; Belaidm@ grmes.polymtl.ca). R. Martínez was with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7. She is now with the Universidad Politécnica de Madrid, 28040 Madrid, Spain. Digital Object Identifier 10.1109/TMTT.2004.825707

Fig. 1. (a) Mode or transformer converter concept. (b) Proposed mode converter.

of monolithic microwave integrated circuit (MMIC) amplifiers were combined with a good efficiency, for example, to obtain output powers ranging from 20 to 120 W over the frequency band of 8–12 GHz. However, this technique is limited in the combinable number of active devices because of the nonuniform mode in a rectangular waveelectric-field profile of the guide, which leads to a saturation problem. To increase the maximum combinable number of MMIC amplifiers, a technique was developed [6] in which an oversized rectangular waveguide was designed to incorporate a very large number of amplifiers. In and modes propagate. With a certain this case, both mode may be removed. Due to the technique, the electric-field configuration, each amplifier does not receive the same input power, unfortunately decreasing the combining efficiency of the architecture. In [7], a rectangular waveguide that mode was presented. With this uses a TEM rather then a configuration of the electric field, a better efficiency was obtained. In this paper, a new approach, aiming at increasing the combining efficiency, as well as the combinable number of compomode nents, will be presented. This technique is to use the

0018-9480/04$20.00 © 2004 IEEE

1192

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Realization of the phase difference between the two parallel fin lines (all the dimensions are in mils).

Fig. 3. (a) Measured results of the back-to-back mode converter. (b) Simulated results of the mode converter. (c) Measured results of the amplitude difference or imbalance between the two fin-line cards.

instead of the counterpart to have a better distribution of the power on each amplifier card. In addition, a new measurement technique of the electric-field profile inside the rectangular

waveguide will be shown. This technique allows the observation of the exact field profile in amplitude and phase, thus showing if the power division is performed uniformly on the amplifiers.

BELAID et al.: MODE TRANSFORMER USING FIN-LINE ARRAY FOR SPATIAL POWER-COMBINER APPLICATIONS

1193

II. MODE TRANSFORMER DESIGN The design of the proposed mode converter or transformer inmode in the rectvolves a fin-line array that receives the angular waveguide and then converts it into the mode, as illustrated in Fig. 1. To do so, a phase difference of 180 between the two fin lines should be realized. The waveguide operating freused in this paper is WR90, which has the quency range of 8–12 GHz. Beyond 12 GHz, the guide starts to support the propagation of other higher order modes. The first mode, whose cutoff frequency is of those modes is the mode, whose 13.12 GHz, and the subsequent one is the cutoff frequency is 14.75 GHz. Obviously, the mode transformer design requires that the waveguide properly operates in a freand modes. In our quency band that supports both case, the band of 14–15 GHz is selected. The cross section of the waveguide WR90 is 22.86 10.16 mm . Compared with the -band waveguide WR62 15.8 7.9 mm , we have a gain in dimension by 1/3 of the width of waveguide, thus allowing more power devices combined in this case. The design of transitions between the waveguide and the two fin lines is based on our previous study reported in [7]. Profiled fin lines are designed and calculated by using the equations of Klopfenstein [8] for a Rogers 5880 Duroid substrate with and mm. The impedance of the fin line is 117 , corresponding to a slot width of 0.152 mm. The length of the mm, which takes into account the minimal fin line is operating frequency of the structure. Fig. 2 displays the realized photograph of the required phase difference between the two parallel fin lines. In this structure, the phase shifting is achieved simply by adding a delay line of 180 between the two fin lines. To keep an equal axial length of the two fin lines, the 180 fin line is designed in a zigzag line form. Fig. 3(a) shows measured results of the back-to-back mode transformer, as shown in Fig. 1(b), whereas Fig. 3(b) shows simulated results of the structure, as shown in Fig. 1(a). The maximum insertion loss over our band of interest is 2.4 dB and the return loss is less than 15 dB. The modal conversion efficiency can be deducted from this measurement and it is better than 76%. The next measurement was done to evaluate the amplitude and phase difference between the two fin-line cards. Fig. 3(c) shows measured insertion and return losses of the structure of Fig. 1(b). To be able to measure the loss of the mode converter, two fin-line cards with the same delay are inserted in the waveguide, as shown in Fig. 1(b). In this case, both input and output . The insertion loss is approxmodes in the waveguide are imately 0.5 dB for the 0 fin line, whereas for the 180 finline, the loss becomes slightly higher due to the longer line, and it is approximately 0.9 dB. We can then deduct that the difference or the imbalance in amplitude between the two lines is 0.4 dB. In both cases, the return loss is quite similar, lower than 20 dB. Fig. 4 shows the extracted phase difference of the transmission between the two above-measured fin-line cards. At approximately 14.25 GHz, a phase difference of 180 has been obtained. Fig. 5 illustrates the presence of a strong resonance problem observed during the insertion-loss measurement of the mode

Fig. 4. Measured phase difference of the 0 and 180 fin lines.

Fig. 5.

Illustration of the presence of resonance inside the structure.

Fig. 6. Automated experimental system for measurement of the profiles of the electric field.

converter when two identical profiled fin-line cards with a delay of 180 are used. This resonance takes place around 14.3 GHz mode. To eliminate this undue to an excitation of the desired resonance, a cover is added, as illustrated on Fig. 1(b). The length of the cover is very critical for the performance of mm has been used. This insertion loss. In our design,

1194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 7. Measurement of the amplitude and phase of the TE

modal electrical field at: (a) 14.0, (b) 14.25, and (c) 14.5 GHz.

cover has been involved in all the measurements carried out in this study, as shown in Fig. 3. All the measurements were performed with the network analyzer HP8510C and a standard coaxial calibration was used. Loss due to the coaxial transitions has not been removed from the measurements. Such transitions have been designed to work over 14–15 GHz and measured results suggest a loss of 0.25 dB for a back-to-back connection. III. MEASUREMENT OF ELECTRICAL FIELD PROFILE IN RECTANGULAR WAVEGUIDE In the following, a new method of measurement will be presented. This method makes it possible to measure the profiles of the electric field in amplitude and phase with a high degree of accuracy. The technique is based on a near-field measurement system that has been described in [9] and [10]. Fig. 6 details the system setup used in our electric-field measurements. The system involves a miniaturized probe that can move on a horizontal plane. The probe used is described in detail in [11]. A computer commands two electrical step motors with a precision of 25.4 m to achieve a high-precision positioning and

the movement of the probe. This probe measures the tangential component of the electric fields. This probe can easily be inserted into the waveguide, and it allows measurements at high frequencies. Moreover, the influence of the probe on the distribution of electric and magnetic fields is negligible. This was validated by a measurement of the reflection coefficient both in the presence and absence of the probe during displacement inside the waveguide. It was noticed that the reflection coefficient at port 1 did not vary. The probe is inserted in the waveguide to a depth of 5.1 mm. The probing plane is at 64 mm from the throat of the fin-line antennas. Fig. 7 shows a series of measured profiles of the electric field at different frequencies in amplitude and phase. The measurements are taken with a step of 25.4 m with an HP8510C network analyzer. Each measurement point is taken with an averaging of 50 measurements per position of the probe. It can be seen that the measured distribution of the mode. The electric field corresponds well to that of the mode are almost equal with a difference two lobes of the of 0.3 dB. The suppression of the mode at the output goes up to 31 dB. mode obtained is the summation In fact, the resulting modes, which have slightly different amplitude of two

BELAID et al.: MODE TRANSFORMER USING FIN-LINE ARRAY FOR SPATIAL POWER-COMBINER APPLICATIONS

1195

Fig. 8. (a) SPCA concept. (b) Realized SPCA.

and a phase difference of 180 6 , depending on frequency. Therefore, the formation of the electric-field profile depends not only on the line loss, but also on the summation of the two modes. This explains why the difference is observed between mode lobes in variation with frequency. the two IV. POWER-AMPLIFIER DESIGN USING THE PROPOSED MODE CONVERTER On the basis of the mode transformation technique described earlier, a spatial power combiner amplifier (SPCA) has been designed to prove the concept. Fig. 8 shows the configuration of -tothis new amplifier. The amplifier begins with the -mode converter and is followed by an SPCA. An array of four parallel profiled fin lines is used. Once the power is equally divided onto the four fin-line cards, a phase shifting of 180 is

Fig. 9.

Transition between fin line and microstrip.

again added on two of the four fin-line cards. This is done to compensate for the phase shifting caused by the mode converter.

1196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 10. Measurement of: (a) return and insertion losses of the spatial power combiner without amplifiers. (b) Amplitude of the TE amplifier.

mode at the output of the

Fig. 11. Measured small-signal S -parameters of the SPCA amplifier and those of the single-amplifier MAAPGM0040 mounted on a test fixture.

In this way, the mode is reconverted back into a mode at the output of the amplifier. For the transition design between the fin line and microstrip, a transition between the slot-line and microstrip was used, as shown in Fig. 9. To design this kind of transition, both characteristic impedances of the microstrip and slot line usually have the same value. In our design, a direct transition between a 118- slot line to a 50- microstrip was designed. The difference between these two approaches is related to the bandwidth of application. A transition with equal impedance generally has a bandwidth larger than that with different impedance. On the other hand, no impedance transformer is required from the high-impedance line to the low-impedance line. To modify the operating frequency range of such a transition, the rule is

simply to modify the length of the lines in such a way that and at the center operating frequency. An optimization is then carried out to improve the transition. M/A-COM models MAAPGM0040 die are used for MMIC power chips. Fig. 10 presents the measured return and insertion losses of the structure without amplifiers, as well as the mode at the output of the electrical field profile of the proposed SPCA. The measurements clearly show that the new mode is well restored structure works adequately and the at the output. Once gain, it is very important to place the cover, as in the case of the mode converter, to eliminate possible resonance, as in the SPCA structure. This resonance becomes even more disastrous when the amplifiers are incorporated into the whole structure. It generates a very significant oscillation due

BELAID et al.: MODE TRANSFORMER USING FIN-LINE ARRAY FOR SPATIAL POWER-COMBINER APPLICATIONS

1197

combining efficiency compared with techniques on the basis mode as the input mode to the SPCA (usually of the 70%–80%). In addition, the cross section of the waveguide used (WR90) in our study are larger than the conventional waveguide (WR62), allowing for a larger number of amplifiers to be combined and, thus, increasing the output power of the SPCA. The bandwidth can be increased by using the microstrip as the delay line to achieve the 180 phase shift between the two fin lines of the mode converter. REFERENCES

P

Fig. 12. Measured dB of the single amplifier and SPCA and the combining efficiency of the SPCA.

to the fact that there is a very strong coupling between the parallel cards. To connect or surface mount the MMIC amplifiers onto the circuit board, 17.8- m-diameter gold wire bonds are used. The substrate used is the Rogers 5880 Duroid with thickmm. The amplifiers were driven by a bias of ness 8 V to the drain and 2 V to the gate. Without the RF signal, the drain current is 130 mA. The bias circuit is controlled by TPS9103 integrated circuits of Texas Instruments Incorporated. Fig. 11 shows measured small-signal -parameters of the amplifier alone, as well as the same measurements taken on the SPCA. Those measurements show that the SPCA works adequately since the -parameters of the single amplifier alone and the four combined amplifiers are almost identical. There is some loss due to the mode converter, as expected. The drain current remains at the same level of 130 mA for the four amplifiers. In both cases, the amplifiers operate in their linear regime. Fig. 12 depicts measured dB output power at different frequencies. Each of those MAAPGM0040 amplifiers provides a typical output -band and it was confirmed power dB of 25 dBm in the by our measurement, as shown also in Fig. 12. The combining efficiency can be calculated according to the following equation: (1)

is the power where is the total number of amplifiers, available from each amplifier, and is the total power coming from the SPCA. When the amplifiers operate around the dB output power, the dc current goes from 130 to 250 mA. The combining efficiency varying from 80% to 91% in the frequency range of 14.1–15 GHz has been obtained, indicating an excellent result. V. CONCLUSIONS In this paper, we have proposed and developed a technique of mode converter that is used for designing highly efficient waveguide-based SPCAs. The application of this new concept in an oversized rectangular waveguide allows obtaining a better power distribution in view of each amplifier. This yields a better

[1] M. P. DeLisio and R. A. York, “Quasi-optical and spatial power combining,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 929–936, Mar. 2002. [2] N. S. Cheng, A. Alexanian, M. G. Case, and R. A. York, “20 watt spatial power combiner in waveguide,” in IEEE MTT-S Int. Microwave Symp. Dig., Baltimore, MD, USA, June 8–12, 1998, pp. 1457–1460. , “40-W CW broad-band spatial power combiner using dense [3] finline arrays,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 1070–1076, July 1999. [4] N. S. Cheng, T. P. Dao, M. G. Case, D. B. Rensch, and R. A. York, “A 60-watt -band spatially combined solid state amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., Anaheim, CA, June 13–19, 1999, pp. 539–542. [5] N. S. Cheng, P. Jia, D. B. Rensch, and R. A. York, “A 120-watt -band spatially combined solid state amplifier,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 2557–2561, Dec. 1999. -band spatial [6] L. Y. V. Chen and R. A. York, “Development of combiner using active array modules in an oversized rectangular waveguide,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, June 11–16, 2000, pp. 821–824. [7] M. Belaid and K. Wu, “Spatial power amplifier using a passive and active TEM waveguide concept,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 684–689, Mar. 2003. [8] R. W. Klopfenstein, “A transmission-line taper of improved design,” Proc. IRE, vol. 442, pp. 31–35, Jan. 1956. [9] Z. Ouardirhi and J.-J. Laurin, “Near-field characterization technique of low directivity wire antennas,” in URSI Int. Electromagnetic Theory Symp., Victoria, BC, Canada, May 2001. [10] J.-J. Laurin, Z. Ouardirhi, and J. Colinas, “Near-field imaging of radiated emission sources on printed-circuit boards,” in IEEE Int. Electromagnetic Compatibility Symp., Montreal, QC, Canada, Aug. 2001, pp. 368–373. [11] Z. Ouardirhi and J.-J. Laurin, “Experimental characterization of miniature near-field probes,” presented at the URSI Int. Electromagnetic Theory Symp., Maastricht, The Netherlands, Aug. 2000.

X

X

K

Mekki Belaid (S’96) received the B.Eng. degree in electrical engineering and M.A.Sc. degree from the École Polytechnique de Montréal, Montreal, QC, Canada, in 1996 and 1998, respectively, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal.

Raquel Martínez received the B.Eng. degree in electrical engineering from the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, Madrid, Spain, in 2003. She was an undergraduate training student with the Poly-Grames Research Center, École Polytechnique de Montréal, Montreal, QC, Canada. She is currently with the Universidad Politécnica de Madrid, Madrid, Spain.

1198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Ke Wu (M’87–SM’92–F’01) was born in Liyang, Jiangsu Province, China. He received the B.Sc. degree (with distinction) in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees in optics, optoelectronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1984 and 1987, respectively. He conducted research with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, prior to joining the École Polytechnique de Montréal (Engineering School affiliated with the University of Montreal), Montréal, QC, Canada, as an Assistant Professor. He is currently a Professor of Electrical Engineering, and Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering. He has been a Visiting or Guest Professor with the Telecom-Paris, Paris, France, INPG, the City University of Hong Kong, Hong Kong, the Swiss Federal Institute of Technology (ETH-Zurich), Zurich, Switzerland, the National University of Singapore, Singapore, the University of Ulm, Ulm, Germany, and the Technical University Munich, Munich, Germany, as well as many short-term visiting professorships with other universities. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship (visiting) with Southeast University, Nanjing, China, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-Wave Engineering (FAME). He has authored or coauthored over 370 referred papers and also several books/book chapters. His current research interests involve hybrid/monolithic planar and nonplanar integration techniques, active and passive circuits, antenna arrays, advanced field-theory-based computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave and Optical Technology Letters, the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE), and Wiley’s Encyclopedia of RF and Microwave Engineering. Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE). He has held numerous positions in and has served on various international committees, including the vice-chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general cochair of the 1999 and 2000 SPIE International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT2001), the TPC chair of the 2003 IEEE Radio and Wireless Conference (RAWCON2003), the general co-chair of the 2004 IEEE Radio and Wireless Conference (RAWCON2004). He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was elected into the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He served on the Technical Advisory Board of Lumenon Lightwave Technology Inc. He is currently the chair of the joint chapters of the IEEE MTT-S/AP-S/LEOS in Montreal, QC, Canada, and the chapter coordinator for IEEE MTT-S Region 7. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia–Pacific Microwave Prize, the University Research Award “Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique de Montréal on the occasion of its 125th anniversary, and the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French–Canadian Association for the Advancement of Science (ACFAS). In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1199

A Fast Converging Series Expansion for the 2-D Periodic Green’s Function Based on Perfectly Matched Layers Hendrik Rogier, Member, IEEE, and Daniël De Zutter, Fellow, IEEE

Abstract—A new formalism based on perfectly matched layers (PMLs) is proposed to derive a fast converging series expansion for the two-dimensional periodic Green’s function of layered media. The series combines a modal expansion for the waveguide formed by the layered medium terminated by PMLs with a truncated periodic Green’s function series in the spatial domain. The efficiency of the new approach is illustrated by studying the scattering by a grid of metallic wires, both in free space and embedded in a dielectric slab. It is shown that the new technique results in a significant speed up compared to existing approaches. Index Terms—Electromagnetic propagation in nonhomogeneous media, Green’s function, integral equations, lossy media, periodic structures.

I. INTRODUCTION

P

ERIODIC structures have important applications as waveguiding and radiating devices, such as gratings [1]–[3], arrays [4], photonic crystals, nonradiating dielectric . Moreover, several configurations, such as waveguides, electromagnetic shieldings [5] and reinforced concrete walls [6], [7] can be well approximated by a periodic structure with infinite extent. The latter configuration can be described very efficiently by applying the Floquet–Bloch theorem to limit the analysis to a representative unit cell. When applying integral-equation techniques to describe the fields in this cell, the periodic Green’s function is required to take into account the periodic character of the configuration. In general, the periodic Green’s function is written as a spatial-domain series or as a series of Floquet modes, as discussed in Section II. Both series tend to be slowly converging for certain positions of the excitation and observation point. Therefore, much attention has been devoted in the literature to derive series expansions that converge more rapidly, mainly by combining both the spatialand spectral-domain series [8]–[12]. In this paper, a new formalism based on perfectly matched layers (PMLs) is proposed to derive a fast converging series expansion for the two-dimensional (2-D) periodic Green’s function of layered media. As in [13]–[15], PMLs [16]–[18] are used to transform the open layered medium into a closed waveguide

Manuscript received August 12, 2003; revised October 14, 2003. The work of H. Rogier was supported by the DWTC/SSTC MOTION Project under a grant. The authors are with the Information Technology Department, Ghent University, 9000 Gent, Belgium (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825636

configuration. An efficient expansion for the Green’s function in terms of a set of discrete modes of the closed waveguide containing the PML is then possible, while the PMLs mimic the open character. As shown in Section III, a fast converging series for the 2-D periodic Green’s function can be derived by combining a modal expansion for the waveguide formed by the layered medium terminated by PMLs with a truncated periodic Green’s function series in the spatial domain. The number of terms required in both series can be controlled by a parameter to reduce the CPU time required to calculate the sum of these series. An optimal choice for this parameter is based on the computational complexity of the spatial-domain Green’s function. The philosophy behind this technique is similar to the approaches followed in [8]–[12], where the Kummer transform, Veysoglu transform, or Ewald transform are used to obtain two series, with an infinite number of terms, but both converging rapidly. When applying Veysoglu’s or Ewald’s transform, exponential convergence is obtained for both series, but the terms in the series involve the evaluation of intricate functions, such as exponential integrals. In our approach, only one infinite series needs to be evaluated. Moreover, this series exhibits exponential convergence in the region where the interaction integrals are to be evaluated. In Section IV, the new approach is applied to calculate the 2-D periodic Green’s function in free space. The accuracy of the new expansion is compared to the spatial-domain series and the expansion in Floquet modes. The new Green’s function is then incorporated into a simple integral-equation approach that models the scattering by a wire grid in free space. It is shown that the new technique results in a significant speed up compared to existing approaches based on a combination of the spatial- and spectral-domain series. In Section V, we study the 2-D periodic Green’s function in a dielectric slab. Again, a simple integral-equation approach has been implemented to study scattering from wire grids embedded in dielectric slabs. It is demonstrated that the new approach shows a significant reduction in CPU time compared to the approach described in [5], while maintaining a comparable accuracy.

II. 2-D PERIODIC GREEN’S FUNCTION The 2-D periodic Green’s function, i.e., the -independent field created by a periodic array of line sources with spacing along the -axis and located in a background medium with a

0018-9480/04$20.00 © 2004 IEEE

1200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

being the eigenvalues and being the exwith citation coefficients of the eigenmodes. Assume now that . By performing some simple manipulations, the series can be written as

Fig. 1. Pertinent to the calculation of the Green’s function.

known Green’s function series expansion:

is given by following

(1) representing an imposed phase variation along the with -axis, which can, according to the Floquet–Bloch theorem, be induced, for example, by an incident plane wave of the . Such series are form known to converge slowly and special techniques are necessary to evaluate the periodic Green’s function more efficiently. When the background medium is translation invariant in the -direction and its Green’s function in the spectral -domain is , a possible alternative is to use given by the Poisson transform [11] to find the series expansion over the Floquet modes

(2) In general, neither series exhibits an acceptable convergence rate for all interactions (i.e., for all values of , , , and ) that must be evaluated. One, therefore, tries to optimally combine both series (1) and (2) by applying some transformation, such as the Kummer transform, Veysoglu transform, or Ewald transform [8]–[12]. III. CALCULATION OF THE PERIODIC GREEN’S FUNCTION BY MEANS OF THE PML FORMALISM Assume that the background medium is translation invariant in the -direction (a planar stratified medium) and that all material variations in the -direction are located in a region that is bounded in that direction. The largest distance over which material variations extend in the -direction is denoted by . For a faster evaluation of the 2-D periodic Green’s functions, we can then construct a parallel-plate waveguide by terminating the free space with two perfectly electric conducting (PEC) plates and with material pabacked by a PML with thickness and [13], as shown in Fig. 1. Of course, a simrameters ilar approach also applies to a planar stratified medium above a ground plane. As in [13] and [15], the periodic Green’s function can then be expanded into a series of discrete eigenmodes of the waveguide in the following way:

(3)

(4) for an arbitrary positive value of . The summation over first series can be evaluated in closed form as follows:

in the

(5) whereas the second series can be seen as the Green’s function sources placed inside the backpertaining to an array of ground medium, resulting in

(6) This procedure is similar to applying the Ewald technique for evaluating the periodic Green’s function. Note, however, that using the Ewald technique often requires the evaluation of intricate functions, such as the complementary error function and exponential integrals [8]. The parameter can be controlled to reduce the CPU time. A larger value of results in an increased convergence rate for the first series at the expense of an increased number of terms in the second series. An optimal choice for depends mainly on the computational complexity of the . Green’s function IV. CALCULATION OF THE PERIODIC GREEN’S FUNCTION IN FREE SPACE By way of example, let us determine the Green’s function for a periodic set of line sources spaced at a distance m and placed in free space at a wavelength mm. The current on the line sources

ROGIER AND DE ZUTTER: FAST CONVERGING SERIES EXPANSION FOR 2-D PERIODIC GREEN’S FUNCTION BASED ON PMLs

1201

Fig. 3. 2-D periodic Green’s function at z = 0:015 m. Fig. 2. 2-D periodic Green’s function at z = 0.

is assumed to be -oriented. When using the PML formalism, the array of sources is surrounded by two PMLs backed by PEC mm and plates. The PMLs are placed at a distance their characteristics are chosen to be mm, , . For this configuration, shown in the inset of and Fig. 2, (6) is given by

. We used 5000 terms converges very slowly, except near in the series to get a relatively accurate solution. The spectraldomain series (2) is given by

(9)

(7)

with and with being the Kronecker delta. In Fig. 2, the amplitude of the 2-D periodic is shown along and for Green’s function . Due to symmetry, the plot is made over half a unit cell. The spatial-domain series

(8)

This series becomes very efficient when becomes large since the terms of the series then exhibit an exponential decay. and Slow convergence is, however, observed when both are small because of the singular behavior when the observation point approaches the excitation point. We used 40 terms in the series evaluation. As one can see, the solution is not . For series acceptable around the self-patch point and (7), which relies on the PML formalism, we chose used ten terms to evaluate the first series. The results are very accurate in the complete -range of the unit cell. In Fig. 3, the mm. 2-D periodic Green’s function is shown along Both the spectral-domain series and the series based on the PML formalism have now converged and their results coincide in this figure. The evaluation of the spatial-domain series with 5000 terms becomes less accurate for increasing . mm. For this value of , the Let now be fixed at spectral-domain series (9) also converges at an acceptable rate so it can be used as a reference. We will study the effect of the thickness of the PML, both on the numerical reflection caused by the PML termination and on the convergence of the series (6). We will, therefore, represent the numerical reflection error, which is defined as the difference between the fully converged new series (6) and the fully converged spectral-domain series (2), and the convergence error, defined as the difference between the truncated series (6) and the fully converged series (6). The convergence error as a function of the distance and for a varying number of terms in the series is shown in Fig. 4 for mm and in Fig. 5 for mm. One observes that a thinner PML results in a faster convergent series.

1202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 7. Real and imaginary parts of the current J (y

Fig. 4. Convergence error for d

;z

) along a wire.

A. Simple Application: Shielding by a Wire Grid in Free Space

= 5 mm.

Consider a periodic grid of PEC metallic wires, illuminated by an incoming plane wave of the type (10) The -oriented currents on the wires can be found by solving the following boundary integral equation:

Fig. 5. Convergence error for d

Fig. 6.

(11) where represents the periodic Green’s funcof all the tion. The integration extends over the boundaries wires present in a unit cell of the simulation domain. A numerical procedure based on the method of moments (MoM) together on the with Galerkin weighting allows us to determine wires as an expansion in pulse basis functions. mm Now consider a grid of wires with radius and with period mm . In order to apply the discretization for the MoM, the wires are modeled as polygons with six sides and two segments per side. The implementation mm, using the PML periodic Green’s function series ( mm, , ) takes 0.57 s of CPU time on a 2.4-GHz Pentium IV, whereas a robust reference implementation [19] relying on a mixed spectral/spatial Green’s function series takes 2 min 22 s of CPU time. In Fig. 7, the along the cirreal and imaginary parts of the current cumference of one of the wires is shown for a plane wave and that is perpendicularly incident on the wire grid ( ). In Fig. 8, the distribution of the total field is shown. It is clearly seen that the wires of the wire grid block the incoming plane wave. The field penetration increases when the mm , spacing between the wires is increased to as shown in Fig. 9. One can clearly distinguish the effect of the wires. One also observes a small penetration of the field through the wire grid.

= 10 mm.

Numerical reflection error for different values of d

.

V. PERIODIC GREEN’S FUNCTION IN A DIELECTRIC SLAB A thinner PML, however, gives rise to a higher numerical reflection, as can be seen in Fig. 6.

The new technique described in Section II can also be apfor a plied to determine the Green’s function

ROGIER AND DE ZUTTER: FAST CONVERGING SERIES EXPANSION FOR 2-D PERIODIC GREEN’S FUNCTION BASED ON PMLs

1203

Fig. 10. Wire grid in a dielectric slab.

Fig. 8. Distribution of the total electric field around the wire grid for d = 10 mm.

Fig. 9. Distribution of the total electric field around the wire grid for d = 20 mm.

periodic set of line sources embedded in a dielectric slab, as shown in Fig. 10. In order to apply the PML formalism, the slab together with the array of sources is surrounded by two PMLs backed by PEC plates. In order to apply the series expansion (6), we first calculate the propagation constants of the eigenmodes for the waveguide formed by the slab together with the PML, using the fast formalism described in [20]. The coeffiare then found by applying the techniques cients described in [13], [15], and [21]. For the self-patch contribution, is obtained by first the spatial Green’s function transforming the problem to the spectral domain and then evaluating the inverse Fourier transform, as in [22]. As this procedure is very time consuming, we retain one term in the spatial series

and choose . For all other contributions, this spatial contriis eliminated by also writing it as a series bution of discrete modes, as in [23]. This series can be combined with the series for the periodic part, yielding for

(12) This Green’s function was used as kernel function in (11) to model scattering by a periodic array of PEC metallic objects buried in a dielectric slab. Let us, for example, consider a periodic grid of metallic wires (Fig. 10) buried in a dielectric slab mm and permittivity . The center with thickness of each wire is placed on the symmetry axes of the slab and the center-to-center spacing between the wires is chosen to be mm. The PMLs are placed at a distance mm from the slab and their characteristics are chosen to be mm, , and . The structure is excited by an -polarized incoming plane wave at a free-space wavelength mm. As the characteristics of the background medium are incorporated in the Green’s function, only the curon the wires in one unit cell remain as unknowns. We rents compare this integral-equation technique with the fast periodic kernel function for the dielectric slab with the boundary integral-equation approach presented in [5]. The kernel function used there is either the free-space Green’s function, accelerated by the technique described in [19] or the free-space Green’s function in combination with the Floquet–Bloch condition. The latter method requires the additional discretization of the fields at the slab–air interface over a complete unit cell in addition to the unknown currents on the wires. In Figs. 11 and 12, the power reflection coefficient and the power transmission coefficient are shown for a wire grid with mm and mm, respectively, as a function wire radius of the angle of incidence . A good agreement is seen between

1204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 11. Power reflection and transmission coefficients for a wire grid with wire radius r = 2 mm.

Fig. 13. Field distribution for a wire grid with wire radius r = 3 mm for a plane wave incident at  = 0 .

Fig. 12. Power reflection and transmission coefficients for a wire grid with wire radius r = 3 mm.

both the new approach and the formalism described in [5]. In Fig. 12, one observes a small loss in accuracy with the new formalism (error of the order of 0.5%) for grazing incidence ( close to 90 ) due to some small parasitic reflection at the PML. In both methods, the wire in a unit cell is modeled as a hexagone, with each side subdivided into two segments (12 unknowns to model the current ). In order to apply the formalism described in [5], both the horizontal and vertical walls of the slab are discretized into 20 segments each. Since both the tangential electric- and magnetic-field components are required, this results in 160 additional unknowns. For one angle of incidence, the new implementation takes 10 s of CPU time on a 2.4-GHz Pentium IV, whereas the implementation described in [5] requires 2 min 16 s of CPU time. The series expansion (12) can also be used for the rapid evaluation of the fields inside the slab. Note, however, that the derivation in Section III was based on the fact the structure was bounded in the -direction. When evaluating field points in the does not need to be bounded, and series (12) air region, either converges slowly or diverges because of the presence of the PML. For this situation, we use the spectral-domain series

(13)

Fig. 14. Field distribution for a wire grid with wire radius r = 3 mm for a plane wave incident at  = 36 .

with

and . This series converges exponentially outside the slab. In Fig. 13, the total field distribution is mm, for a plane shown for a wire grid with wire radius , whereas in Fig. 14 the plane wave wave incident at is incident at . At , there is no transmission , a resonance builds up through the wires, whereas at around the wires and the field is transmitted through the grid. Finally, consider a dielectric slab with a wire grid consisting of two wires per unit cell, as shown in the inset of Fig. 15. All

ROGIER AND DE ZUTTER: FAST CONVERGING SERIES EXPANSION FOR 2-D PERIODIC GREEN’S FUNCTION BASED ON PMLs

1205

has been combined with a truncated periodic Green’s function series in the spatial domain. The number of terms required in both series is controlled by a parameter in order to reduce the CPU time. An optimal choice for this parameter is based on the computational complexity of the spatial-domain Green’s function. When the spatial-domain Green’s function is available in closed form, as in free space, we evaluate several terms in the in order to increase the convergence rate spatial series is chosen so that only of the modal series. Otherwise, one term remains in the spatial series since the evaluation of the spatial-domain Green’s function then becomes computationally involved.

Fig. 15. Power reflection and transmission coefficients for a dielectric slab with a wire grid consisting of two wires per unit cell.

Fig. 16.

Field distribution for a grid consisting of two wires per unit cell.

wires have a radius of mm. In Fig. 15, the power reflection coefficient and the power transmission coefficient are shown as a function of the angle of incidence . A good agreement is observed between our new technique requiring 24 unknowns to model the current and the approach in [5] using 184 unknowns to model the fields and the currents. The new implementation is faster by a factor of six compared to the formalism used in [5]. In Fig. 16, the total field distribution is shown for . It is clearly seen how the grid a plane wave incident at consisting of two wires per unit cell partially reflects and transmits the incoming field. VI. CONCLUSIONS We have introduced a new formalism based on PMLs to derive a fast converging series expansion for the 2-D periodic Green’s function of layered media. A modal expansion for the waveguide formed by the layered medium terminated by PMLs

REFERENCES [1] J. Moore, H. Ling, and C. S. Liang, “The scattering and absorption characteristics of material-coated periodic gratings under oblique incidence,” IEEE Trans. Antennas Propagat., vol. 41, pp. 1281–1288, Sept. 1993. [2] P. Petre, M. Swaminathan, G. Veszely, and T. K. Sarkar, “Integral equation solution for analyzing scattering from one-dimensional periodic coated strips,” IEEE Trans. Antennas Propagat., vol. 41, pp. 1069–1080, Aug. 1993. [3] H.-C. Chu, S.-K. Jeng, and C. H. Chen, “Reflection and transmission characteristics of single-layer periodic composite structures for the TE case,” IEEE Trans. Antennas Propagat., vol. 45, pp. 1065–1070, July 1997. [4] C. Craeye, A. B. Smolders, D. H. Schaubert, and A. G. Tijhuis, “An efficient computation scheme for the free space Green’s function of a two-dimensional semiinfinite phased array,” IEEE Trans. Antennas Propagat., vol. 51, pp. 766–771, Apr. 2003. [5] H. Rogier, B. Baekelandt, F. Olyslager, and D. De Zutter, “The FE-BIE technique applied to some 2-D problems relevant to electromagnetic compatibility: Optimal choice of mechanisms to take into account periodicity,” IEEE Trans. Electromagn. Compat., vol. 42, pp. 246–256, Aug. 2000. [6] E. Richalot, M. Bonilla, M. F. Wong, V. Fouad-Hanna, H. Baudrand, and J. Wiart, “Electromagnetic propagation into reinforced-concrete walls,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 357–366, Mar. 2000. [7] D. Peña, R. Feick, H. D. Hristov, and W. Grote, “Measurement and modeling of propagation losses in brick and concrete walls for the 900-MHz band,” IEEE Trans. Antennas Propagat., vol. 51, pp. 31–39, Jan. 2003. [8] A. W. Mathis and A. F. Peterson, “A comparison of acceleration procedures for the two-dimensional Green’s function,” IEEE Trans. Antennas Propagat., vol. 44, pp. 567–571, Apr. 1996. [9] S. Singh and R. Singh, “Application of transforms to accelerate the summation of periodic free-space Green’s function,” IEEE Trans. Microwave Theory Tech., vol. 38, pp. 1746–1748, Nov. 1990. [10] R. M. Shubair and Y. L. Chow, “Efficient computation of the periodic Green’s function in layered dielectric media,” IEEE Trans. Microwave Theory Tech., vol. 41, pp. 498–502, Mar. 1993. [11] R. Lampe, P. Klock, and P. Mayes, “Integral transforms useful for the accelerated summation of periodic free-space Green’s functions,” IEEE Trans. Microwave Theory Tech., vol. MTT-33, pp. 734–736, Aug. 1985. [12] R. E. Jorgenson and R. Mittra, “Efficient calculation of the free-space periodic Green’s function,” IEEE Trans. Antennas Propagat., vol. 38, pp. 633–642, May 1990. [13] H. Derudder, F. Olyslager, and D. De Zutter, “An efficient series expansion for the 2D Green’s function of a microstrip substrate using perfectly matched layers,” IEEE Microwave Guided Wave Lett., vol. 9, pp. 505–507, Dec. 1999. [14] P. Bienstman, H. Derudder, R. Baets, F. Olyslager, and D. De Zutter, “Analysis of cylindrical waveguide discontinuities using vectorial eigenmodes and perfectly matched layers,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 349–354, Feb. 2001. [15] H. Derudder, F. Olyslager, D. De Zutter, and S. Van den Berghe, “Efficient mode-matching analysis of discontinuities in finite planar substrates using perfectly matched layers,” IEEE Trans. Antennas Propagat., vol. 49, pp. 185–195, Feb. 2001. [16] J. P. Bérenger, “Perfectly matched layer for the FDTD solution of wavestructure interaction problems,” IEEE Trans. Antennas Propagat., vol. 44, pp. 110–117, Jan. 1996.

1206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[17] S. D. Gedney, “An anisotropic PML absorbing media for the FDTD simulation of fields in lossy and dispersive media,” Electromagnetics, vol. 16, pp. 399–415, 1996. [18] L. Knockaert and D. De Zutter, “On the stretching of Maxwell’s equations in general orthogonal coordinate systems and the perfectly matched layer,” Microwave Opt. Technol. Lett., vol. 24, pp. 31–34, Jan. 2000. [19] B. Baekelandt, D. De Zutter, and F. Olyslager, “Arbitrary order asymptotic approximation of a Green’s function series,” Int. J. Electron. Commun., pp. 224–230, July 1997. [20] H. Rogier, L. Knockaert, and D. De Zutter, “Fast calculation of the propagation constants of leaky and Berenger modes of planar and circular dielectric waveguides terminated by a perfectly matched layer,” Microwave Opt. Technol. Lett., vol. 37, pp. 167–171, May 2003. [21] H. Rogier and D. De Zutter, “Convergence behavior and acceleration of the Berenger and leaky modes series composing the 2D Green’s function for the microstrip substrate,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 1696–1704, July 2002. [22] N. Faché, J. Van Hese, and D. De Zutter, “Generalized space domain Green’s dyadic for multilayered media with special application to microwave interconnections,” J. Electromagn. Waves Applicat., vol. 3, no. 7, pp. 651–669, 1992. [23] H. Rogier and D. De Zutter, “A fast technique based on perfectly matched layers to model electromagnetic scattering from wires embedded in substrates,” Radio Sci., vol. 37, pp. 10.1–10.6, Mar.–Apr. 2001.

Hendrik Rogier (S’96–A’99–M’00) was born in 1971. He received the Electrical Engineering degree and Ph.D. degree from Ghent University, Gent, Belgium, in 1994 and in 1999, respectively. He his currently a Post-Doctoral Researcher with the Department of Information Technology, Ghent University. He has authored or coauthored approximately 20 papers in international journals and approximately 25 contributions in conference proceedings. He has one patent pending. His current research interests are the analysis of electromagnetic waveguides, electromagnetic simulation techniques applied to electromagnetic compatibility (EMC) and signal integrity problems, as well as indoor propagation and antenna design, and smart antenna systems for wireless networks. Dr. Rogier was the recipient of the International Scientific Radio Union (URSI) Young Scientist Award presented at the 2001 URSI Symposium on Electromagnetic Theory and at the 2002 URSI General Assembly.

Daniël De Zutter (M’92–SM’96–F’00) was born in 1953. He received the M.Sc. degree in electrical engineering, Ph.D. degree, and the degree equivalent to the French Aggrégation of the German Habilitation from Ghent University, Gent, Belgium, in 1976, 1981, and 1984, respectively. From 1976 to 1984, he was a Research and Teaching Assistant with Ghent University. From 1984 to 1996, he was with the National Fund for Scientific Research of Belgium. He is currently a Full Professor of electromagnetics with the Department of Information Technology (INTEC), Ghent University. He has authored or coauthored over 130 international journal papers and 140 papers in conference proceedings. He coauthored (with N. Faché and F. Olyslager) Electromagnetic and Circuit Modeling of Multiconductor Transmission Lines (Oxford, U.K.: Oxford Univ. Press, 1993, Oxford Eng. Sci. Series). Most of his earlier scientific research dealt with the electrodynamics of moving media. His current research focuses on all aspects of circuit and electromagnetic (EM) modeling of high-speed and high-frequency interconnections and packaging, on electromagnetic compatibility (EMC), and numerical solutions of Maxwell’s equations. Dr. De Zutter was the recipient of the 1990 Montefiore Prize presented by the University of Liège and corecipient of the 1995 IEEE Microwave Prize Award (with F. Olyslager and K. Blomme) presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for best publication in the field of microwaves for the year 1993. He was also the recipient of the 1999 Transactions Prize Paper Award presented by the IEEE EMC Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1207

Sensitivity Analysis With the FDTD Method on Structured Grids Natalia K. Nikolova, Member, IEEE, Helen W. Tam, and Mohamed H. Bakr, Member, IEEE

Manuscript received August 13, 2003; revised October 29, 2003. The authors are with the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1. Digital Object Identifier 10.1109/TMTT.2004.825710

Notice that we define the gradient as a row operator. The sensitivity information is also important in the tolerance and yield analysis of the design. Since traditional EM solvers do not provide sensitivity inforderivatives in (2) are often determined by apmation, the proximations at the level of the response [1]. The structure is perturbed in each designable parameter, and a system analysis is performed. If central finite differences are used, such an apsystem analyses to compute proach would require and at each design iterate. If is large, this approach may easily become impractical in full-wave analysis. At the same time, it is well known from the theory of adjoint-variable analysis [2], [3] that the exact sensitivities of a response can be computed with, at most, two system analyses regardless of the number of the designable parameters . For that, the derivatives of the system matrices with respect to the designable parameters must be known.2 The system matrices are the matrices that appear in the system of equations describing the EM problem. Exact sensitivities have been considered in circuit-based microwave design, see, e.g., [4]–[6], as well as in the frequency-domain EM analysis with the finite-element method (FEM) [7]–[9]. In the time domain, a sensitivity expression for the vector wave equation has been used in the sensitivity analysis of waveguide structures [13]–[15]. This sensitivity expression was first developed in structural engineering [2] for the analysis of linear dynamic systems. The system matrices are derived there using finite-element discretization with the matrix elements depending analytically on the coordinates of the mesh nodes. In [15], the same sensitivity expression is used, but the EM analysis is performed with a FDTD method on an unstructured grid and a discrete surface integral (DSI) technique. In that sense, the approach is a hybrid between a FEM-based sensitivity expression and a finite-difference time-domain–discrete surface integral (FDTD–DSI) technique. The drawback of this algorithm is in the introduction of unstructured grids, which makes the implementation with Yee’s FDTD algorithm or with conventional transmission-line matrix (TLM) algorithms impractical. In the above cases, it is assumed that the system matrices have analytical derivatives with respect to the design parameters. Approximate adjoint-based sensitivity analysis with the method of moments (MoM) has been considered only recently [10]. There, finite differences are used to calculate the derivative matrix and to subsequently compute the response sensitivities. Thus, the technique avoids the cumbersome pre-processing required to obtain the analytical derivatives of the MoM system matrix with respect to specific design parameters. It makes the

1In this paper, vectors are written in three-dimensional (3-D) space in bold, while matrices and vectors are in bold italics.

2Hereafter, the derivatives of the system matrices with respect to the designable parameters are referred to as derivative matrices.

Abstract—We propose an adjoint-variable approach to design-sensitivity analysis with time-domain methods based on structured grids. Unlike conventional adjoint-based methods, it does not require analytical derivatives of the system matrices. It is simple to implement with existing computational algorithms such as the finite-difference time-domain (FDTD) technique. The resulting FDTD algorithm produces the response and its gradient in the design parameter space with two simulations regardless of the number of design parameters. The proposed method is validated by the adjoint-based FDTD analysis of waveguide structures with metallic boundaries. Index Terms—Adjoint variable methods, design-sensitivity analysis, finite-difference time-domain (FDTD) methods.

I. INTRODUCTION HE objective of electromagnetic (EM) analysis is to compute the response of a structure to a certain source or excitation. In high-frequency structure analysis, the engineering responses of interest may be network parameters (e.g., -parameters), maximum antenna gain, radar cross section, absorption rate, etc. They are derived from the EM-field solution—the EM vectors and ,1 current density distributions, etc. We assume that the EM-field solution is represented by a vector —the vector of state variables. When optimizing a structure, we usually define a set of designable parameters , which relate to the geometry and the materials of the structure. The solution is thus a function of . We also define a real-valued objective , which depends on through , but (or cost) function may also depend on explicitly. The design problem is to find the optimum set of designable parameters , for which is minimum as follows:

T

(1) In gradient-based optimization, the sensitivity of to design parameter variations is crucial in determining the next design iterate. It is defined by the gradient of in the design parameter space (2)

0018-9480/04$20.00 © 2004 IEEE

1208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

adjoint-variable technique versatile and easy to implement with most frequency-domain full-wave EM solvers, which allow unstructured discretization grids. With structured grids, the derivative matrices cannot be defined analytically, especially in the case of perturbed metallic boundaries, which imply a change in the number of the active grid cells where the unknown field components are computed. The finite-difference approximation proposed in [10] is not applicable either because it assumes that the grid can be changed locally to accommodate sufficiently small (up to 2%) perturbations of the design parameters. Recently, we reported our first successful results on approximate sensitivity analysis with time-domain techniques that use structured grids such as the TLM method [11] and a finite-difference method based on the -field wave equation [12]. Here, we propose a FDTD algorithm for response and response-sensitivity analysis, which assumes on-grid parameter perturbations and uses them to compute approximate derivative matrices from the values of the matrix coefficients before and after the respective perturbation. Such a crude approximation of the derivative matrices, however, requires a higher order sensitivity expression, which we develop for the case of the FDTD method. We note that the methodology utilized in [11] is specific to the TLM and cannot be applied to the FDTD method. It operates on a discrete first-order differential equation, while our FDTD-based analysis operates on the second-order wave equation resulting from the system of the curl Maxwell equations. Our algorithm generates the response and its sensitivities with two simulations—the original system and the adjoint system analyses—regardless of the number of designable parameters . It is simple to implement because it requires neither analytical derivatives of the system matrices, nor modifications of Yee’s FDTD algorithm. Thus, it makes the integration of the efficient adjoint-based sensitivity analysis possible with this widely used class of EM solvers. The proposed method is validated by the sensitivity analysis of waveguide structures. The results are compared with those obtained through central finite differences applied directly to the response. II. ADJOINT-VARIABLE SENSITIVITY EXPRESSION We develop a second-order sensitivity expression using the principles of adjoint-variable analysis, which allows for coarse approximations of the derivatives of the system matrices. A first-order sensitivity expression can be derived for dynamic EM systems following the approach outlined in [16]. This first-order expression can also be deduced from our second-order expression by neglecting the higher order terms. The following analysis is valid for a nonuniform and anisotropic medium. For simplicity, we limit the discussion to the dispersion-free linear case. Maxwell’s equations are then written as

(3)

with the constitutive relations being

(4) In (3), denotes the density of the current sources. The constitutive relations (4) include the tensors of the permeability , permittivity , and specific conductivity . The system of Maxwell’s equations can be equivalently replaced by the second-order vector wave equation for the -field (5) After discretization, (5) can be reduced to a linear matrix equation (6) where contains the values of the three spatial components (e.g., , , and ) of the -field in the computational volume, while and contain their first- and second-order derivatives in time, respectively. Here, we assume zero initial conditions (7) The objective function, whose sensitivities we are interested in, is defined in general as the functional [2] (8) Here, denotes the time of observation, which usually coincides with the computational time, and is the volume of observation. The volume of observation is typically only a small subset of the computational volume, e.g., the plane of a port or the location of external sources. The function —which we call the local performance measure—depends on the field solution in and is, thus, implicitly dependent on the design parameters . It may also have an explicit dependence on . The variation of the objective function corresponding to a perturbation in the th design parameter can be expressed in terms of the solution vector as (9) Here, the superscript in denotes the variation related to has the explicit dependence on . Note that the gradient only a few nonzero elements corresponding to the field in the observation volume . The integration over the volume is now implicitly represented by the dot product in the second term of (9). We now return to the system (6) and write it for the case of a as follows: perturbed th design parameter

(10)

NIKOLOVA et al.: SENSITIVITY ANALYSIS WITH FDTD METHOD ON STRUCTURED GRIDS

Unlike the traditional approaches [2], [13], [16], we now take , , and into account the second-order terms . This allows for significant variations of the matrix coefficients as a result of a parameter perturbation—variations that may have magnitudes comparable to the coefficients themselves. Taking into account (6), (10) is transformed into (11) where

1209

From (9), and (13)–(15), we derive an expression for the sensiwith respect to as foltivity of the objective function lows: (16) This expression requires the solution of (6) at the current design . It is available from the ( , and ) in order to evaluate system analysis of the current design iterate. It also requires the auxiliary vector , which, according to (15), is a solution of the system (17)

We assume that the initial conditions (7) do not depend on any perturbation. We now pre-multiply (11) with an auxiliary (and for now arbitrary) vector row , which has the size of ; then integrate in time as follows:

complemented by the terminal conditions (14). Equation (17) is the adjointdefines the adjoint problem, and its solution leads to variable vector. Dividing both sides of (16) by an approximate expression for the respective derivative of as follows:

(18)

(12)

Finally, we express the total gradient of the objective function with the following compact formula: (19)

Our goal is to define so that the left-hand side of (12) assumes in the form given by the implicit term of dependence on . (9) and is, thus, expressed via the th sensitivity and We integrate in time by parts twice the term . As a result, (12) becomes once the term

where (20) Here, the bars above the solution vectors of the original problem emphasize that they remain constant during the differentiation of with respect to the design parameters . The tilde in shows that the derivative matrices are only approximate, as per acts on a vector, (18). We also note that when the operator the result is a matrix, i.e.,

(13) .. . where time of

and denote the first and the second derivatives in , respectively. Bearing in mind that and , the first two terms can be set equal to zero by the assumption (14)

In (13), can now uniquely define the auxiliary vector ting

by set-

(15)

.. .

.. .

(21)

where the vectors have been defined in (11). The sensitivity expression (19) may appear the same as the well-known sensitivity expression for second-order dynamic linear systems [2]. There are, however, two important differences. First, in deriving (18), we never required that , , , the elements of the difference matrices ( and ) were small compared to the coefficients of the respective system matrices. The difference matrix coefficients, , , etc. are not and need not be e.g.,

1210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

locations denoted by circles and assign them as the solution of the respective perturbed adjoint problem at the “square” locations. The procedure is simple and yields very good accuracy, as demonstrated in the examples. The accuracy is affected by the grid step size because of the assumption of a relatively small structural perturbation, and it improves as the mesh is made finer. Fig. 1. Geometrical detail whose width and length are designable parameters: assumed on-grid perturbation of: (a) the width p and (b) length p and the assumed field approximation.

an accurate representation of the system derivative matrices. Second, the adjoint problem (17) corresponds to the geometry perturbed in . In contrast, in the classical adjoint-variable method, the adjoint vector is a solution to the system (22) where the system matrices are the transpose of the matrices of the original unperturbed problem, i.e., the adjoint solution is independent of any perturbations. Thus, with the second-order sensitivity expression, we have gained accuracy with coarsely approximated derivative matrices at the expense of computational efficiency. In the classical approach, only one additional (adjoint) system analysis (22) is required in order to calculate all derivatives of using the exact analog of (18) [2], while our expression requires the solution of adjoint problems (17). However, there is a simple way to reduce the number of the adjoint analyses to one while preserving good accuracy.

IV. APPROXIMATE DIFFERENCE MATRICES The sensitivity expressions (18) and (19) require the difference matrices of the system. Here, we derive them in the case of metallic boundary perturbations, which cause the most drastic variation. When a metallic geometrical detail is perturbed so that a number of cells are metallized (see Fig. 1), the respective tangential -field components must be set equal to zero. This corresponds to setting the respective coefficients of the permatrix equal to zero [see (11)], i.e., the variation of turbed is of the same magnitude, but these coefficients given by of opposite sign as their value before the perturbation. Alternatively, if a perturbation leads to the substitution of metallic cells matrix coefficients will with dielectric cells, the respective change from zero to a known predefined value obtained from the discretization of the double-curl operator. The same holds for the other system matrices. To illustrate the concept, we consider the approximate derivamode is anative matrices in a simple 2-D case where a lyzed in an isotropic, magnetically homogeneous, and loss-free medium. In this case, Maxwell’s equations reduce to the 2-D -field component scalar wave equation for the

III. APPROXIMATE ADJOINT SOLUTIONS The adjoint problem is a quasi-EM problem described either by (17) or (22). We note the minus sign before the loss term with . This problem can be solved with the same technique used to solve the original problem (6). The discretization grid and computational effort are the same for both the original and adjoint problems. It was first noticed in [17] that satisfactory approximation to the solution of a problem with an on-grid perturbation of one discretization cell size can be obtained from the solution of the respective unperturbed problem by a one-to-one mapping. We apply this idea to our adjoint problems (17), which we approximate using the solution of the unperturbed adjoint problem (22). It is known from perturbation theory that the field distribution in a perturbed problem does not differ significantly from that in the original problem. Consider the following example: a metallic geometrical detail (for simplicity, assume a two-dimensional (2-D) problem with dependence on and ) whose length and width are designable parameters (see Fig. 1). The second-order sensitivity expression (18) dictates that, in addition to the original problem, we have to solve two adjoint problems: the one [see Fig. 1(a)] and the one perturbed in perturbed in [see Fig. 1(b)]. We need the adjoint -field values at the locations denoted with squares—this is where the elements of and are nonzero and is nonzero. Instead, we solve the unthe adjoint solution perturbed adjoint problem (22). We record its solution at the

(23) The double-curl operator in (5) is now replaced by a 2-D Laplacian operator. Applying central finite differences in the FDTD time and space, we discretize (23) as (24) where (25)

(26) (27) is a finite-difference operator, which corresponds to the 2-D Laplacian, where (28) The second-order finite differences with respect to a variable are calculated as (29)

NIKOLOVA et al.: SENSITIVITY ANALYSIS WITH FDTD METHOD ON STRUCTURED GRIDS

1211

TABLE I COEFFICIENTS OF THE DISCRETE WAVE EQUATION AT THE (j; k ) POINT

Fig. 2. On-grid perturbation involving a shift of a metallic geometrical detail along the z -axis used to illustrate the finite-difference approximation of the derivative matrices.

The coefficients of the discrete (24) written at the point are summarized in Table I. Here is an easy way to implement the sensitivity expression (18). We write it directly in terms of the original and adjoint fields rather than in terms of their respective matrices

(30) where

(31) representing the disConsider now the design parameter tance to the geometrical detail shown in Fig. 2 whose width is three grid cells and whose length is four grid cells. Assume an . This shifts the metallic increase of this length with block to the right-hand side, and causes the “metallization” of three grid cells to the right-hand side and the “de-metallization” of three grid cells to the left-hand side. The original solution is is zero at the computed for the unperturbed structure, thus, points marked with a circle in Fig. 2(a). The adjoint solution is should be computed for the perturbed structure, thus, zero at the points marked with a cross . At all unmarked grid locations, as well as at the metallic points marked with both a circle and cross, the value of is zero because the and coefficients of (24) are insensitive to this perturbation. The original and adjoint field solutions at these points have no contribution to the sensitivity integral in (30). At the points marked with a cross only, the and coefficients change with the perturbation, however, is zero and, thus, the integrand in (30) still yields zero. At the points marked with squares in Fig. 2(a), both the term and the adjoint field are not zero. These points surround the part of the computational domain, which is “metallized” as a result of the perturbation. We illustrate the calcuterm in this case with the point of lation of the

Fig. 2 (see Table II). To calculate the respective contribution to the sensitivity integral (30), we need to store at each time step at the “square” points and the origthe adjoint solution at the “cross” points [see Fig. 2(a)]. We inal system solution reiterate that the approximate solution of the th perturbed adjoint problem is obtained from the unperturbed adjoint problem with the one-to-one mapping illustrated by Fig. 1. Nonzero contribution to the sensitivity integral is also generated in the “de-metallized” region to the left-hand side of the shifted metallic block. At the points marked with a circle only in . Fig. 2(a), the adjoint field is not zero, and neither is . Before the perturbaThe latter is due to the term tion, the “circle” points belong to the metallic boundary and the is imposed instead of solving (24). At these condition points, field-induced current density exists, which constitutes the right-hand side of (24) as follows: (32) After the perturbation, there are no induced currents and this term is zero. To illustrate the contribution of the “circle” points to the sensitivity integral, we summarize the calculation of the term at point of Fig. 2 in Table III. Thus, the only points that yield nonzero contributions to the th sensitivity (30) belong to the immediate vicinity of the perturbed boundary, and this is where we need to store the time evolution of the original and adjoint fields. Fig. 2(b) gives the locations at which the field is recorded: the original field —at points marked with a dark dot; the adjoint field —at the points marked with a dark square. V. ADJOINT PROBLEM The adjoint problem is described by the system (22). It corresponds to the wave equation (33) where is the adjoint current density. The adjoint equation (33) has terminal conditions (34) according to (14) instead of initial conditions. Thus, it is also a solution to the system

(35) with the terminal conditions (36)

1212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE II

CALCULATION OF THE

1 ( ) TERM AT THE POINT ( ) OF FIG. 2

CALCULATION OF THE

1 ( ) TERM AT THE POINT ( ) OF FIG. 2

R E

j; k

TABLE III

R E

Since the problem is given with terminal instead of initial conditions, its FDTD simulation runs backward in time. We now show that the adjoint problem can be solved with the conventional Yee FDTD algorithm without any modification. We first write the update equations of the well-known Yee’s FDTD algorithm [18], [19] in a compact vector form for the case of a lossy (isotropic) medium

(37)

p; q

where

NIKOLOVA et al.: SENSITIVITY ANALYSIS WITH FDTD METHOD ON STRUCTURED GRIDS

The finite-difference operator results from the curl of a vector, e.g., in rectangular coordinates

(38) We derive the update equations for the adjoint system bearing in mind the minus sign in front of and the fact that the algorithm runs backward as follows:

(39) The comparison between (37) and (39) shows that if we solve ) with the the adjoint system in terms of the field ( , , the update equations in both cases adjoint excitation become identical from algorithmic point-of-view. Thus, the FDTD algorithm used to solve the original problem can be used without any modification to solve the adjoint problem as well. Absorbing boundary conditions (ABCs) for adjoint problems running backward have already been developed and successfully applied [20]. We also note that, in the case of an anisotropic medium, the transposition of the constitutive parameter tensors is necessary. The adjoint excitation is computed according to the corin the disrespondence between the excitation term in (33). For example, when cretized system (22) and the discrete 2-D wave (24) represents the original problem in the must sensitivity calculations via (30), each element of at the respective point in space–time, i.e., correspond to

Fig. 3.

1213

Top view of the waveguide step junction.

2) Adjoint structure analysis. (a) Excite structure with the adjoint current density starting at . (b) Simulate backward in time. (c) Map the adjoint solution to approximate the solutions of the perturbed problems. (d) Record the adjoint field values in the regions of all perturbations at each time step. 3) Calculate response sensitivities using (19). VI. NUMERICAL EXAMPLES We compute the responses and their sensitivities of waveguide structures as follows: 1) waveguide step junction; 2) waveguide transformer; 3) waveguide -plane filter. Only the dominant mode is considered, which reduces the problem to a 2-D one. In all examples, the symmetry of the dominant mode along the -axis is used, and a magnetic wall is . The FDTD 2-D grid is uniform. The original enforced at problem is always excited by a band-limited Gaussian-modulated sinusoidal waveform at the input port. The current distri-mode cosine dependence bution has the typical for the along the width of the port. In all examples, the response function is defined as the energy transmitted through the output port [13] (41) which we may want to maximize in a design problem. The local performance measure is then . The discrete expression corresponding to (41) is

(40) Obviously, the adjoint current density exists only in the region where the local performance measure depends on the field solution. We summarize the proposed FDTD-based algorithm for response and response-sensitivity computations as follows. 1) Original structure analysis. a) Record the field in the observation region (e.g., a port location) at each time step. b) Compute the adjoint current density at each time step using the field solution in . c) Record the field values in the regions of all perturbations at each time step. d) Compute response using the field in .

(42) where is the position along the -axis across the port, denotes the position of the port along the -axis, and is the number of cells at the port along the -axis. According to (40), the adjoint current is then calculated as A/m

(43)

A. Waveguide Step Junction We test our technique with an example whose optimal soluof the wavetion is known beforehand. We vary the width guide step junction shown in Fig. 3 about its optimal value of

1214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 4. Design sensitivity of the waveguide junction with respect to the width.

Fig. 6. Design sensitivity of the waveguide transformer with respect to the width.

Fig. 5. Top view of the waveguide transformer.

mm , for which we expect maximum power transfer. This is where the sensitivity of the objective function (41) must be zero. We excite port 1 with a band-limited pulse from 6 to 10 GHz centered at 8 GHz. The derivative of the obobtained with our discrete jective function with respect to adjoint-variable approach is plotted in Fig. 4 together with its central finite-difference approximation applied directly to the response function. Despite the coarse mesh, our approach yields a very good estimate (better than the direct finite-difference re. sult), which suggests an optimal solution at B. Waveguide Transformer The single-section waveguide transformer shown in Fig. 5 is excited with a band-limited waveform centered at GHz. Its spectrum is from 5 to 8.5 GHz. The design variable is the mm. width of its midsection ( ). The cell size is Fig. 6 shows the design-sensitivity curves obtained by our adjoint-variable approach and by the central finite-difference approximation. The design-sensitivity curve of our method , which is when the objective reaches zero at around function reaches its maximum. This value is in agreement with the theoretical value for a single-section quarter-wavelength transformer. C. Waveguide

-Plane Filter

A waveguide -plane filter shown in Fig. 7 is excited with a band-limited waveform whose spectrum is from 5.2 to 9.5 GHz. mm. The thickness of the septa is The cell size is . The lengths of all septa are exactly one

Fig. 7.

Top view of the waveguide

H -plane filter.

designable parameters. The nominal design is given in the table in Fig. 7. It is obtained by snapping to the grid of the dimensions of an optimal filter design described in [21]. The structure is symmetrical with respect to the center along its length. Thus, there are four design parameters. Fig. 8 shows the design sensitivities obtained by the proposed approach and by central finite differences applied directly to the response. The derivatives with respect to each length are obtained with all other lengths being fixed at their nominal value (see Fig. 7). The agreement is very good. The sensitivity curves of our approach intersect the zero axis closer to the optimal parameter values than the central finite-difference curves. However, we notice that in this and the preceding examples, the difference between an optimal parameter value predicted by our approach and that predicted by the central finite differences is always equal to or less than one grid step size. This difference remains with finer or coarser grids, which leads to the conclusion that the two techniques are of similar order of accuracy. The advantage of our approximate adjoint-variable technique is thus in its computational efficiency rather than its accuracy when compared with the simple, but numerically inefficient finite differences. In this example, all four sensitivities and the response itself are obtained from two FDTD simulations only: the original and adjoint system analyses. In comparison, in order to compute the response and its sensitivities with central finite differences, we need five FDTD simulations at each design. The advantage of the adjoint technique

NIKOLOVA et al.: SENSITIVITY ANALYSIS WITH FDTD METHOD ON STRUCTURED GRIDS

Fig. 8. Design sensitivities of the waveguide

1215

H -plane filter.

becomes more pronounced as the number of design parameters increases. VII. CONCLUSION A novel discrete adjoint sensitivity analysis technique for the FDTD method has been proposed. The proposed technique does not require analytical derivatives of the system matrices with respect to the designable parameters. It is easy to implement since there is no need to modify the existing FDTD solvers. It keeps the grid unchanged throughout the optimization as it assumes on-grid perturbations of the designable parameters, whose size is always a multiple of the discretization step size in the respective direction. The technique is illustrated through the sensitivity analysis of waveguide structures. Good agreement is observed with results obtained by the central finite-difference approximation at the level of the response. At the same time, the technique is far more efficient than the widely used finite-difference approximations because it requires two FDTD simulations regardless of the number of designable parameters. REFERENCES [1] J. W. Bandler, S. H. Chen, S. Daijavad, and K. Madsen, “Efficient optimization with integrated gradient approximations,” IEEE Trans. Microwave Theory Tech., vol. 36, pp. 444–455, Feb. 1988. [2] E. J. Haug, K. K. Choi, and V. Komkov, Design Sensitivity Analysis of Structural Systems. Orlando, FL: Academic, 1986. [3] A. D. Belegundu and T. R. Chandrupatla, Optimization Concepts and Applications in Engineering. Upper Saddle River, NJ: Prentice-Hall, 1999. [4] G. Iuculano, V. A. Monaco, and P. Tiberio, “Network sensitivities in terms of scattering parameters,” Electron. Lett., vol. 7, pp. 54–55, Jan. 1971.

[5] J. W. Bandler, Q.-J. Zhang, and R. M. Biernacki, “A unified theory for frequency-domain simulation and sensitivity analysis of linear and nonlinear circuits,” IEEE Trans. Microwave Theory Tech., vol. 36, pp. 1661–1669, Dec. 1988. [6] K. C. Gupta, R. Garg, and R. Chadha, Computer-Aided Design of Microwave Circuits. Dedham, MA: Artech House, 1981. [7] H. Lee and T. Itoh, “A systematic optimum design of waveguide-tomicrostrip transition,” IEEE Trans. Microwave Theory Tech., vol. 45, pp. 803–809, May 1997. [8] H. Akel and J. P. Webb, “Design sensitivities for scattering-matrix calculation with tetrahedral edge elements,” IEEE Trans. Magn., vol. 36, pp. 1043–1046, July 2000. [9] J. P. Webb, “Design sensitivities using high-order tetrahedral vector elements,” IEEE Trans. Magn., vol. 37, pp. 3600–3603, Sept. 2001. [10] N. K. Georgieva, S. Glavic, M. H. Bakr, and J. W. Bandler, “Feasible adjoint sensitivity technique for EM design optimization,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 2751–2758, Dec. 2002. [11] M. H. Bakr and N. K. Nikolova, “An adjoint variable method for time domain TLM with fixed structured grids,” IEEE Trans. Microwave Theory Tech., vol. 52, pp. 554–559, Feb. 2004. [12] G. Shen, H. W. W. Tam, N. K. Nikolova, and M. H. Bakr, “Adjoint sensitivity technique for FDTD methods on structured grids,” in IEEE/URSI Int. Antennas and Propagation Symp. Dig. 2003, vol. 3, Columbus, OH, June 2003, pp. 746–749. [13] Y. Chung, C. Cheon, I. Park, and S. Hann, “Optimal shape design of microwave device using FDTD and design sensitivity analysis,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 2289–2296, Dec. 2000. [14] Y. Chung, J. Ryu, C. Cheon, I. Park, and S. Hahn, “Optimal design method for microwave device using time domain method and design sensitivity analysis—Part I: FETD case,” IEEE Trans. Magn., vol. 37, pp. 3289–3293, Sept. 2001. [15] , “Optimal design method for microwave device using time domain method and design sensitivity analysis—Part II: FDTD case,” IEEE Trans. Magn., vol. 37, pp. 3255–3259, Sept. 2001. [16] N. K. Nikolova, J. W. Bandler, and M. H. Bakr, “Adjoint techniques for sensitivity analysis in high-frequency structure CAD,” IEEE Trans. Microwave Theory Tech. (Special Issue), vol. 52, pp. 403–419, Jan. 2004. [17] M. H. Bakr and N. K. Nikolova, “An adjoint variable method for frequency domain TLM problems with conducting boundaries,” IEEE Microwave Wireless Comp. Lett., vol. 13, pp. 408–410, Sept. 2003. [18] K. S. Kunz and R. J. Luebbers, Finite Difference Time Domain Method for Electromagnetics. Boca Raton, FL: CRC, 1993.

1216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[19] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [20] Y. S. Rickard, N. K. Georgieva, and H. W. Tam, “Absorbing boundary conditions for adjoint problems in the design sensitivity analysis with the FDTD method,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 526–529, Feb. 2003. [21] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, p. 545.

Natalia K. Nikolova (S’93–M’97) received the Ph.D. degree from the University of Electro-Communications, Tokyo, Japan, in 1997. From 1998 to 1999, she was with the Natural Sciences and Engineering Research Council of Canada (NSERC), during which time she was initially with the Microwave and Electromagnetics Laboratory, DalTech, Dalhousie University, Halifax, NS, Canada. For a year, she was then with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada. In July 1999, she joined the Department of Electrical and Computer Engineering, McMaster University, where she is currently an Assistant Professor. Her research interests include theoretical and computational electromagnetism, high-frequency analysis techniques, as well as computer-aided design (CAD) methods for high-frequency structures and antennas. Dr. Nikolova was the recipient of an NSERC Post-Doctoral Fellowship from 1998 to 1999. She currently holds the 2000 NSERC University Faculty Award.

Helen W. Tam received the B.Sc. degree in electrical and computer engineering from the University of Toronto, Toronto, ON, Canada, in 1999, and the M.A.Sc. degree in electrical and computer engineering from McMaster University, Hamilton, ON, Canada, in 2002. Her research interests include EM-based designsensitivity analysis and time-domain computational methods.

Mohamed H. Bakr (S’98–M’01) received the B.Sc. degree (with honors) in electronics and communications engineering and Master’s degree in engineering mathematics from Cairo University, Cairo, Egypt, in 1992 and 1996, respectively, and the Ph.D. degree from the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada, in 2000. In 1997, he was a student intern with Optimization Systems Associates Inc. (OSA), Dundas, ON, Canada. From 1998 to 2000, he was a Research Assistant with the Simulation Optimization Systems (SOS) Research Laboratory, McMaster University. In November 2000, he joined the Computational Electromagnetics Research Laboratory (CERL), University of Victoria, Victoria, BC, Canada, as a Natural Sciences and Engineering Research Council of Canada (NSERC) Post-Doctoral Fellow. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, McMaster University. His research interests include optimization methods, computer-aided design (CAD) and modeling of microwave circuits, neural-network applications, and smart analysis of microwave circuits and efficient optimization using time-/frequencydomain methods.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1217

Detection, Location, and Imaging of Multiple Scatterers by Means of the Iterative Multiscaling Method Salvatore Caorsi, Member, IEEE, Massimo Donelli, and Andrea Massa, Member, IEEE

Abstract—In this paper, a new version of the iterative multiscaling method (IMM) is proposed for reconstructing multiple scatterers in two-dimensional microwave imaging problems. This paper describes the new procedure evaluating the effectiveness of the IMM previously assessed for single object detection. Starting from inverse scattering integral equations, the problem is recast in a minimization one by defining iteratively (at each level of the scaling procedure) a suitable cost function, firstly allowing a detection of the unknown objects, successively a location of the scatterers, and finally, a quantitative reconstruction of the scenario under test. Thanks to its properties, the approach allows an effective use of the information achievable from inverse scattering data. Moreover, the adopted kind of expansion is able to deal with all possible multiresolution combinations in an easy and computationally inexpensive way. Selected numerical examples concerning dielectric, as well as dissipative objects in noisy environments or starting from experimentally acquired data are reported in order to confirm the usefulness of the introduced tool and of the effectiveness of the proposed procedure. Index Terms—Microwave imaging, inverse scattering, iterative multiscaling method (IMM), multiple scatterers retrieval.

I. INTRODUCTION

In this framework, the iterative multiscaling method (IMM) is based on a synthetic zoom procedure, allowing an efficient use of the limited amount of the information content of inverse scattering data and guaranteeing a sufficient resolution level in the retrieved image of the investigation domain. Beginning from a coarse representation of the investigation domain, the method iteratively defines a sub-gridding of the area where the scatterers are located. By exploiting the “knowledge” of the scenario under test, which was acquired at the previous steps, the IMM estimates the scatterers location and occupation. The process terminates when a “stationary” reconstruction is reached. In [9] and [10], the IMM has been successfully applied to the localization, shaping, and dielectric permittivity reconstruction of single inhomogeneous two-dimensional scatterers. In this paper, the IMM is applied to the reconstruction of multiple objects in order to assess its effectiveness in resolving different scatterers. This paper is organized as follows. In Section II, the mathematical formulation of the IMM is described and detailed for the electromagnetic imaging of multiple scatterers. Numerical experiments, validating the proposed approach, are presented in Section III. Finally, Section IV presents brief conclusions.

M

ICROWAVE imaging techniques based on the solution of an inverse scattering problem are aimed at retrieving an unknown dielectric profile starting from the knowledge of scattered fields radiated by the unknown scenario after the illumination with known incident electromagnetic waves. Unfortunately, the information content of the data is limited and, consequently, the resolution accuracy in the retrieval of the dielectric profile [1]. In many applications (e.g., demining applications or noninvasive archeological surveys [2] or nondestructive industrial evaluations [3] and testing [4]), a simple qualitative reconstruction is not sufficient and a more difficult qualitative retrieval with an higher resolution level is necessary. In order to fully exploit the information content of the data and to achieve a sufficient resolution accuracy, multiresolution approaches have been proposed [5]–[10]. The guidelines of these approaches lie in the following idea. It is not necessary to attain the same resolution level in the overall scenario under test, but different regions of the investigation domain require different resolution accuracies depending on the homogeneity of the area.

II. MATHEMATICAL FORMULATION In order to illustrate the inversion procedure, the theoretical model of the two-dimensional inverse scattering problem is , embedconsidered. A bounded investigation domain ding an unknown nonmagnetic scattering object, lies in an unbounded homogeneous background medium of known (Fig. 1). In order to reconstruct dielectric characteristics the contrast function of the unknown object , the investigation domain is then illuminated by a number of incident monochromatic ( being the working frequency) . The scattered electromagnetic fields data are measured by receiver arrays positioned in positions of outside the investigation domain. an observation domain The electromagnetic model linking the available data and the structure of is mathematically described by means of the following Lippmann–Schwinger integral equations [11]:

Manuscript received August 14, 2003; revised December 24, 2003. S. Caorsi is with the Department of Electronics, University of Pavia, 27100 Pavia, Italy. M. Donelli and A. Massa are with the Department of Information and Communication Technology, University of Trento, 38050 Trento, Italy (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825699 0018-9480/04$20.00 © 2004 IEEE

(1)

1218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 1. Problem geometry.

(2) where denotes the Green’s function of the background medium [12]. As far as the imaging of a single lossless scatterer is concerned, the arising inverse scattering problem [i.e., the solution of (1) and (2)] has been successfully solved and described in [10] by considering the IMM. In order to take into account the presence of multiple scatterers, a generalization of the IMM is required. Accordingly, new procedural operations (to be iteratively repeated at each step of the multiscaling approach) should be defined as follows: • clustering procedure aimed at defining the number of scatterers in the investigation domain and the regions where the synthetic zoom will be performed. • retrieval procedure aimed at reconstructing the dielectric defined by the clustering proprofile in each region cedure; • termination procedure aimed at stopping the multistep procedure when a “stationary” reconstruction is achieved . at each region

A. Clustering Procedure Let us assume that the grey-level representation of the reconstructed dielectric profile of the scenario under test at the th step be as shown in Fig. 2(a). Firstly, the pixel representation of the estimated profile is binarized by thresholding. In more de-

tail, the histogram of the image [see Fig. 2(b)] is examined and an histogram–concavity analysis is employed in order to define a threshold value, . The original image is then segmented into two regions [see Fig. 2(c)], namely, the object and background region, as follows: if

(3)

elsewhere

where is the maximum value of the object function in the investigation domain at the th step. Successively, a noise filtering [see Fig. 2(d)] is performed in order to eliminate some artifacts. The scatterers’ support is clearly defined by means of the following transformation: if if elsewhere (4) where indicates a neighboring position, is the dimension of the complete neighborhood system of the sub-doindicates the number of pixels main located at , and of the neighborhood for which indicates the number of pixels of the neighborhood for which . Finally, object detection is performed. The binarized image is raster scanned from the left- to right-hand side and from the top to bottom. The current pixel [see Fig. 2(e)] is labeled as belonging to an object or to the background by examining its connectivity to the right-hand-side neighbors . For ex, then it is assigned to the ample, if

CAORSI et al.: DETECTION, LOCATION, AND IMAGING OF MULTIPLE SCATTERERS BY MEANS OF IMM

1219

Fig. 2. Example of the clustering procedure. (a) Grey-level representation of reconstructed dielectric profile at the sth step. (b) Binarized representation of the ;y ). (c) Histogram of the reconstructed dielectric profile. reconstructed dielectric profile after thresholding,  (x

object to which it is connected. A new object-label is assigned when a transition from a background pixel to an isolated object pixel is detected. At the end of the scan, the centroid and side of the squared

dielectric profile is then retrieved by minimizing the multiob, as shown in (5), at the ject multiresolution cost function bottom of this page, being

occupation areas for each region of connected object pixels are computed [10, p. 1163, eqs. (2) and (3)], to perform the synthetic zoom. The positions of the discontinuities of the real and imaginary parts of the object function are assumed to be the same, as usually happens in physical situations of interest. B. Retrieval Procedure According to a multiresolution strategy, a higher resolution is adopted only for the reduced investigation level domains , where the clustering procedure has estimated the presence of different objects. The

(6)

(5)

1220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

and assuming that . Since the multiscaling method is not dependent on either the definition of the cost function or on the minimization algorithm, the optimization procedure actually constitutes a “black box” in the overall system. For simplicity, a conjugate-gradient optimizer based on the alternating direction implicit method (CGADIM) [13] is then used. Unlike the modified gradient method [14], where the unknown fields and contrast are updated simultaneously, but according to the contrast source inversion method [15] and are iteratively reconstructed ( being the iteration number) by alternatively updating the two sequences. At each step of the multiscaling procedure, the minimization algorithm is stopped when a maximum (i.e., ) or a threshold on number of iterations (i.e., ) or the cost function value the value of the cost function remain unaltered in a fixed percentage of the total amount of minimization-algorithm iterations (i.e., being an integer number). C. Termination Procedure

Fig. 2. (Continued.) Example of the clustering procedure. (d) Representation of the reconstructed dielectric profile after filtering  (x ;y ). ;y ) in the pixel-labeling process. (e) Neighborhood of pixel (x

The multiresolution procedure is iterated until a “stationary is achieved condition” for the iterative procedure being the final step) in each of the regions defined ( by the clustering procedure. This condition holds when the following occurs. • The number of regions identified by the clustering procure is stationary, then (8) • The qualitative-reconstruction parameters are stable, i.e.,

(9) where and

are fixed

thresholds. III. NUMERICAL VALIDATION

(7) where if if

In the following, the capabilities and current limitations of the IMM to localize, shape, and reconstruct multiple dielectric scatterers are assessed by means of several numerical simulations. The numerical validation is composed of two parts. Firstly, some tests with synthetically generated data (see Section III-A) are performed in order to evaluate different noisy conditions, as well as a scatterer number and properties. In Section III-B, the IMM is checked in correspondence with experimentally acquired data.

CAORSI et al.: DETECTION, LOCATION, AND IMAGING OF MULTIPLE SCATTERERS BY MEANS OF IMM

Fig. 3. Iterative process. Estimated permittivity distributions of two square homogeneous dielectric cylinders ( (a) Reference configuration. (b) s = 1. (c) s = S = 2.

As regards the numerical examples, the investigation domain consists of a square whose side has a length equals to , is a circle subdivided into while the measurement domain equally spaced arcs whose midpoint serves as the location of a receiver. The data-acquisition configuration is a multiillumination-angle/multiview system [17]. As far as the IMM is concerned, the following parametric configuration has been heuristically chosen (after an exhaus% tive set of numerical experiments): % and is equal to the value of the global minimum of the envelope of the grey-level image histogram in the [e.g., in Fig. 2(b)]. On the range other hand, the following values of the parameters for the optimization algorithm resulted: and . A. Testing Against Synthetic Data In all the examples presented here, the scattering configuration is assumed to be enclosed in an investigation domain -sided ( being the free-space wavelength) and illuunit TM plane waves impinging minated by a set of . For each illumiat nation, the scattered electric field data have been collected at (where has been chosen according to the indications reported in [1] and aimed at collecting all the available information of the scenario under test) sensors located

1221

= 

= 0:5) (noiseless conditions).

TABLE I TWO LOSSLESS DIELECTRIC SQUARE CYLINDERS (NOISELESS CONDITIONS—ITERATIVE PROCESS)—ERROR FIGURES

on the circular observation domain in radius. Data values are numerically computed by using the Richmond’s procedure [18] with a proper discretization of the investigation domain (different from the one which was employed at each step of the IMM) in order to prevent the “inverse crime” problem. The first experiment is aimed at highlighting the effectiveness of the IMM in locating multiple objects without prior knowledge of the number, locations, or sizes in noiseless, as well as noisy conditions. Such experiment deals with the retrieval of a scattering object constituted by two lossless homogeneous cylinders, located at , and in side. Fig. 3 shows the dielectric distributions retrieved at different steps of the multiscaling process. The actual profile is also

1222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 4. Estimated permittivity distributions of two square homogeneous dielectric cylinders ( (a) SNR = 20 dB, (b) SNR = 10 dB, and (c) SNR = 5 dB. TABLE II TWO LOSSLESS DIELECTRIC SQUARE CYLINDERS (NOISY CONDITIONS)—ERROR FIGURES

reported in Fig. 3(a) and, in the reconstructed profiles [see Figs. 3(b)–(c)], the dashed line indicates the regions occupied by actual structures. As can be observed, beginning from the free-space configuration, the IMM sequentially identifies two regions in the investigation domain [see Fig. 3(b)], and successively refines the reconstruction of each object. The process ends with the estimate given in Fig. 3(c). The increase of the qualitative and quantitative imaging effectiveness during the multistep process is also confirmed by the values of the error figures reported in Table I and computed according to the expressions given in [10] for a single scatterer. To evaluate the effect of the measurement noise on the reconstruction capabilities of the method, the noise has been simu-

= 

= 0:5). Retrieved profile at S = S

when:

TABLE III TWO DISSIPATIVE DIELECTRIC SQUARE CYLINDERS (NOISELESS AND NOISY CONDITIONS). (a) QUANTITATIVE AND (b) QUALITATIVE ERROR FIGURES

lated by adding to the scattered data a complex Gaussian random variable having zero mean value and a standard deviation given by

(10) where the signal-to-noise ratio is denoted as SNR. Fig. 4 shows the results achieved at the last step of the IMM for different

CAORSI et al.: DETECTION, LOCATION, AND IMAGING OF MULTIPLE SCATTERERS BY MEANS OF IMM

Fig. 5.

Reconstruction of two square dissipative homogeneous cylinders (

SNR values ranging from dB to dB. As expected, the presence of the noise causes a deterioration of the reconstruction accuracy as confirmed by the error figures given in Table II. Intuitively, it can be expected that as the noise level increases, it will be increasingly difficult to recover higher order information about the target structure and a high resolution level. Consequently, as the noise increases, a reconstruction dB), noise appears and, for a very high noise level ( multiple false structures can be observed near the correct obdB, jects. However, it should be pointed out that for the obtained reconstructions appear satisfactory in terms of location, shaping, and also dielectric-distribution estimation. In the second experiment, we then turn our attention to a more challenging problem, in which the scattering objects present S/m, . The also a conductivity equal to geometric configuration is the same as in the previous example in order to correctly evaluate the impact of the conductivity on the reconstruction accuracy. As well as for the lossless case, the IMM is effective in detecting and locating multiple objects as confirmed by the error figures reported in Table III. With the decrease of the SNR, the reconstruction accuracy also reduces. In turn, this causes larger errors in the actual scatterer regions (also in comparison with those produced in the lossless case versus and versus ). However, it should be pointed out that, for high SNR values, even if the shape of the two scatterers cannot be exactly identified, the algorithm converges to a structure that occupies a large area of the actual one (Fig. 5). For completeness, Fig. 6 illustrates the behavior of the multiscaling cost function during the optimization process for the noiseless case (also presenting the decrease in the state and data terms) and for different noisy conditions. From Fig. 6(a), it can be observed that, at the first iterations, due to the free-space ini, the cost function is proportional to tialization the data term . With the increase of the iteration number, for different steps of the multiscaling procedure, the optimization algorithm proceeds into the minimization of the two terms whose amplitudes result are almost comparable. On the other hand, Fig. 6(b) clearly points out that the mini-

=

= 0:5

1223

0 j 0:45). Retrieved profile at S = S

when SNR = 10 dB.

Fig. 6. Iterative process. Reconstruction of two square dissipative =  = 0 :5 j 0:45). Behavior of the homogeneous cylinders ( multiscaling cost function for: (a) noiseless and (b) noisy conditions.

0

mization of the cost-function results more difficult in correspondence with a decrease of the SNR value justifying the reduction of the reconstruction accuracy indicated in Table III. In more detail, Table III shows that the localization error increases approximately one order in magnitude in correspondence with an

1224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 7. Reconstruction of two square homogeneous cylinders, characterized by different object functions ( by using: (b) the IMM and (c) the ISSM for an SNR = 15 dB.

increase of the SNR from 20 to 5 dB. Analogously, the area error doubles. A further assessment of the IMM is performed by considering the dielectric distribution shown in Fig. 7(a) and noisy data dB). In order to point out the advantages of the ( iterative multiscaling strategy over standard iterative approaches (which do not perform a multiscaling/multiresolution process, but directly processes inverse scattering data), the reconstruction has been carried out also by using an iterative single-step approach (ISSM). Such an approach is based on the conjugate-gradient alternating direction implicit method (i.e., the same optimizer used during the retrieval procedure of the IMM). As far as the discretization of the investigation domain used by the single-step approach is concerned, a homogeneous discretization with a cell side equal to the finer discretization step of the multiscaling procedure is adopted. With regards to the IMM, it can be noticed that the level of performances is the same as in the previous example. On the contrary, the use of the ISSM leads to a much worse reconstruction in terms of localization accuracy ( and —Table IV). Moreover, the poor estimate of the contrast function for the object on the left-hand-side bottom region of the investigation and domain (

= 0:5; 

= 1:0) (a). Dielectric profile estimated

TABLE IV TWO LOSSLESS DIELECTRIC SQUARE CYLINDERS CHARACTERIZED BY DIFFERENT OBJECT FUNCTION (SNR = 15 dB)—COMPARISON BETWEEN THE IMM AND CGADIM IN TERMS OF ERROR FIGURES

) and the presence of small artifacts in the region near the actual objects produces significant errors in the quantitative error figures (Table IV), as well as in the estimate of the objects dimensions ( and —Table IV). This example points out a typical feature of the IMM, i.e., its ability to zoom on the reconstructed image. Such a feature permits a great accuracy in determining the correct value of the contrast function (being

CAORSI et al.: DETECTION, LOCATION, AND IMAGING OF MULTIPLE SCATTERERS BY MEANS OF IMM

1225

Fig. 8. Sketch of the measurement arrangement to collect real data.

and ). B. Testing Against Real Data Finally, the last experiment deals with the reconstruction of a multiple-scatterer configuration beginning from real-scattered data belonging to the “Marseille” dataset [16]. In particular, the data that are considered (“dielTM 8f.exp“) are related to two filled dielectric cylinders characterized by a relative permittivity equal to (corresponding to an object function ) mm in radius and placed apwith circular cross sections proximately 30 mm from the center of the experimental setup, mm is the distance between the centers of the where cylinders. A detailed description of the underlying experimental setup (Fig. 8) together with the data sets can be found in the introduction of [16, pp. 1565–1572]. In order to perform the reconstruction, the IMM has been applied to monochromatic datasets at GHz . A squared area of 30 30 cm has been chosen to be as the investigation domain. Due to the aspect-limited nature of the scattered data (the measurements are not acquired in an angular sector of 60 ), the information on the scatterers achievable from the data turns out to be strongly reduced. Consequently, the complete data set ( and ) is processed by the IMM. As far as the multiillumination/multiview system of the experimental setup is concerned, the fields are generated and received by double-ridged horn antennas. Since the data (2) is based on the knowledge of the incident fields and the design of the setup does not provide such information (but only the field in absence of the target, as measured on the observation domain),

transmitting antennas have been approximated by line sources parallel to the cylindrical scatterers. Fig. 9 shows the evolution of the reconstructed profile during the multistep procedure and the behavior of the cost function as a function of the number of iterations [see Fig. 9(d)]. Beginning from the free-space configuration, Fig. 9(a) shows . As can be the object-function distribution retrieved at observed, some artifacts are present in the investigation domain and neither an accurate qualitative, nor a quantitative imaging of the actual objects is achieved. Consequently, the clustering located procedure identifies only one region at in side, where “a scatterer” is present. At the end of , the algorithm achieves the the second step splitting step where the clustering procedure is able where to define two smaller regions two not-connected objects are located at the positions ( -sided) and

( -sided), respectively. The two cylinders appear correctly localized, slightly overestimated, and fairly well retrieved [see Fig. 9(b)]. The optimal dielectric profile is then given in Fig. 9(c). The resolution accuracy further improves both in terms of localization and of the quantitative estimation of the dielectric distribution (being and ). For comparative purposes, Fig. 10 shows the image of the dielectric profile

1226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 9. Iterative process. Reconstruction of two square homogeneous cylinders (real dataset “Marseille” [16]—“twodielTM 8f.exp”). (a) (c) s = S = 3 (dashed line: actual profile). (d) Behavior of the multiscaling cost function.

s = 1. (b) s = 2.

For completeness, Fig. 11 shows the reconstruction of the same scattering scenario in correspondence with different working frequencies of the illuminating source. In order to quantitatively evaluate the accuracy of the imaging process, the resulting geometric and dielectric parameters are reported in Table V. Those values further confirm the effectiveness of the proposed procedure in dealing with experimentally measured data.

IV. CONCLUSIONS AND FUTURE DEVELOPMENTS

Fig. 10. Reconstruction of two square homogeneous cylinders (real dataset “Marseille” [16]—“twodielTM 8f.exp”). Retrieved profile with the ISSM.

reconstructed by means of the ISSM with the same resolution level that was achieved by the multiscaling procedure. The retrieved profile presents some artifacts that produce an overestimate of the dimensions of the scatterers and an incorrect localization (Table IV). Moreover, in the regions where the actual objects are located, the value of the contrast is very different from the expected one with maximum and value equal to , respectively.

The retrieval of cross-sectional distribution of multiple cylindrical objects located in free space has been performed through the IMM. Theoretical and algorithmic details on the solution method for multiple scatterers imaging have been described and validated by means of numerical experiments. Selected examples, dealing with noisy-synthetic data, as well as with experimentally acquired measures, have been presented in order to assess the effectiveness, but also the current limitations of the proposed procedure. As far as the current limitations of the IMM are concerned, they are certainly due to the following two main reasons: • use of a “black box” optimization algorithm, which, in principle, without an accurate analysis of the cost function or a lot of a-priori knowledge of the problem, could

CAORSI et al.: DETECTION, LOCATION, AND IMAGING OF MULTIPLE SCATTERERS BY MEANS OF IMM

1227

Fig. 11. Experimental assessment. Reconstruction of two square homogeneous cylinders (Real dataset “Marseille” [16]—“twodielTM 8f.exp”). (a) f = 1 GHz. (b) f = 2 GHz. (c) f = 3 GHz. (d) f = 5 GHz. TABLE V TWO SQUARE HOMOGENEOUS CYLINDERS (REAL DATASET “MARSEILLE” [16]—“twodielTM 8f 1 exp”). ESTIMATED GEOMETRIC AND DIELECTRIC PARAMETERS [f (GHz), L (mm), d (mm)]

also based on electromagnetic criteria would greatly improve the reconstruction accuracy of the IMM. They would increase the convergence rate of the approach, which generally reduces with respect to the single-scatterer scenarios [10]. Finally, further refinements could be achieved by using region-based approaches such as region growing by split-and-merge techniques broadly used in image processing and currently under study to be exploited in microwave imaging applications.

REFERENCES be trapped in local minima corresponding to wrong reconstructions of the dielectric profile; • use of a simple clustering procedure in order to estimate the number of scatterers belonging to the investigation domain. In order to overcome these drawbacks, several viable solutions could be suggested. They could certainly constitute the subject of future researches. Firstly, the minimization could be performed by means of a global optimization procedure, which would able to avoid local minima in the solution-space sampling process. Such a procedure should also be computationally effective in a serial implementation in correspondence with a low-dimensional search-space (as that considered at each step of the IMM). Moreover, more sophisticated clustering methodologies (see [19] and the references therein for a general overview)

[1] O. M. Bucci and G. Franceschetti, “On the degrees of freedom of scattered fields,” IEEE Trans. Antennas Propagat., vol. 37, pp. 918–926, July 1989. [2] A. J. Devaney, “Geophysical diffraction tomography,” IEEE Trans. Geosci. Remote Sensing, vol. GRS-22, pp. 3–13, 1984. [3] J. C. Bolomey, Frontiers in Industrial Process Tomography. London, U.K.: Eng. Foundation, 1995. [4] , “Microwave imaging techniques for NDT and NDE,” in Proc. Advanced Microwave NDT/NDE Techniques Training Workshop, Paris, France, Sept. 7–9, 1999, pp. 27–33. [5] E. L. Miller and A. S. Willsky, “A multiscale, statistically based inversion scheme for linearized inverse scattering problems,” IEEE Trans. Geosci. Remote Sensing, vol. 34, pp. 346–357, Mar. 1996. [6] , “Wavelet-based methods for nonlinear inverse scattering problem using the extended Born approximation,” Radio Sci., vol. 31, pp. 51–65, 1996. [7] O. M. Bucci, L. Crocco, T. Isernia, and V. Pascazio, “Wavelets in nonlinear inverse scattering,” in Proc. Geoscience and Remote Sensing Symp., vol. 7, 2000, pp. 3130–3132.

1228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[8] O. M. Bucci, L. Crocco, and T. Isernia, “An adaptive wavelet-based approach for non destructive evaluation applications,” in Proc. IEEE Antennas and Propagation Symp., vol. 3, 2000, pp. 1756–1759. [9] S. Caorsi, M. Donelli, D. Franceschini, and A. Massa, “An iterative multi-resolution approach for microwave imaging applications,” Microwave Opt. Technol. Lett., vol. 32, pp. 352–356, 2002. [10] , “A new methodology based on an iterative multiscaling for microwave imaging,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 1162–1173, Apr. 2003. [11] D. Colton and R. Kress, Inverse Acoustic and Electromagnetic Scattering Theory. Berlin, Germany: Springer-Verlag, 1992. [12] D. S. Jones, The Theory of Electromagnetism. Oxford, U.K.: Pergamon, 1964. [13] R. V. Kohn and A. McKenney, “Numerical implementation of a variational method for electrical impedance tomography,” Inverse Problems, vol. 6, pp. 389–414, 1990. [14] R. E. Kleinman and P. M. van den Berg, “A modified gradient method for two-dimensional problems in tomography,” J. Comput. Appl. Math., vol. 42, pp. 17–35, 1992. [15] P. M. van den Berg and R. E. Kleinman, “A contrast source inversion method,” Inverse Problems, vol. 13, pp. 1607–1620, 1997. [16] K. Belkebir and M. Saillard, “Testing inversion algorithms against experimental data,” Inverse Problems, vol. 17, pp. 1565–1702, 2001. [17] S. Caorsi, G. L. Gragnani, and M. Pastorino, “An electromagnetic imaging approach using a multi-illumination technique,” IEEE Trans. Biomed. Eng., vol. 41, pp. 406–409, Apr. 1994. [18] J. H. Richmond, “Scattering by a dielectric cylinder of arbitrary cross section shape,” IEEE Trans. Antennas Propagat., vol. AP-13, pp. 334–341, May 1965. [19] A. K. Jain, Fundamentals of Digital Image Processing. Englewood Cliffs, NJ: Prentice-Hall, 1989.

Salvatore Caorsi (M’98) received the Laurea degree in electronic engineering from the University of Genoa, Genoa, Italy, in 1973. Upon graduation, he remained with the University of Genoa as a Researcher and, since 1976, he has been a Professor of antennas and propagation. In 1985, he also became a Professor of fundamentals of remote sensing. Since 1994, he has been a Full Professor of electromagnetic compatibility with the Department of Electronics, University of Pavia, Pavia, Italy. He is the past Chairman of the Inter-university Research Center for Interactions Between Electromagnetic Fields and Biological Systems (ICEMB). His primary activities are focused on applications of electromagnetic fields to telecommunications, artificial vision and remote sensing, biology, and medicine. In particular, he is currently involved with research projects concerning microwave hyperthermia and radiometry in oncological therapy, numerical methods for solving electromagnetic problems, and inverse scattering and microwave imaging. Dr. Caorsi is a member of the Associazione Elettrotecnica ed Elettronica Italiana (AEI), the European Bioelectromagnetism Association (EBEA), and the European Society for Hyperthermic Oncology (ESHO).

Massimo Donelli received the Electronic Engineering degree and Ph.D. degree in space science and engineering from the University of Genoa, Genoa, Italy, in 1998 and 2003, respectively. He is a Senior Researcher with the Department of Information and Communication Technology, University of Trento, Trento, Italy. His main interests are electromagnetic inverse scattering, adaptive antennas synthesis, optimization techniques for microwave imaging, wave propagation in superconducting materials, and urban environment.

Andrea Massa (M’01) received the Laurea degree in electronic engineering and Ph.D. degree in electronics and computer science from the University of Genoa, Genoa, Italy, in 1992 and 1996, respectively. From 1997 to 1999, he was an Assistant Professor of electromagnetic fields with the Department of Biophysical and Electronic Engineering, University of Genoa, where he taught Electromagnetic Fields 1. He is currently an Associate Professor with the University of Trento, Trento, Italy, where he teaches Electromagnetic Fields and Electromagnetic Diagnostic Techniques. He is a member of the Inter-university Research Center for Interactions Between Electromagnetic Fields and Biological Systems (ICEmB). Since 1992, his research has principally concerned electromagnetic direct and inverse scattering, optimization techniques for microwave imaging, wave propagation in the presence of nonlinear media, applications of electromagnetic fields to telecommunications, medicine, and biology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1229

Self-Heating and Trapping Effects on the RF Performance of GaN MESFETs Syed S. Islam, Member, IEEE, and A. F. M. Anwar, Senior Member, IEEE

Abstract—RF power performances of GaN MESFETs incorporating self-heating and trapping effects are reported. A physics-based large-signal model is used, which includes temperature dependences of transport and trapping parameters. Current collapse and dc-to-RF dispersion of output resistance and transconductance due to traps have been accounted for in the formulation. Calculated dc and pulsed I–V characteristics are in excellent agreement with the measured data. At 2 GHz, calculated maximum output power of a 0.3 m 100 m GaN MESFET is 22.8 dBm at the power gain of 6.1 dB and power-added efficiency of 28.5% are in excellent agreement with the corresponding measured values of 23 dBm, 5.8 dB, and 27.5%, respectively. Better thermal stability is observed for longer gate-length devices due to lower dissipation power density. At 2 GHz, gain compressions due to self-heating are 2.2, 1.9, and 0.75 dB for 0.30 m 100 m, 0.50 m 100 m, and 0.75 m 100 m GaN MESFETs, respectively. Significant increase in gain compression due to thermal effects is reported at elevated frequencies. At 2-GHz and 10-dBm output power, calculated third-order intermodulations (IM3s) of 0.30 m 100 m, 0.50 m 100 m, and 0.75 m 100 m GaN MESFETs are 61, 54, and 45 dBc, respectively. For the same devices, the IM3 increases by 9, 6, and 3 dBc due to self-heating effects, respectively. Due to self-heating effects, the output referred third-order intercept point decreases by 4 dBm in a 0.30 m 100 m device. Index Terms—Current collapse, gain compression, GaN, intermodulation, large-signal model, MESFETs, self-heating effects, Volterra series.

I. INTRODUCTION

R

ADIO-FREQUENCY (RF) power amplifiers are key elements for applications in phased-array radar and wireless base stations. Currently, power transistors based upon Si–LDMOS technology operating around 1-W/mm power density are being used. In recent years, GaN-based devices have demonstrated superiority over Si–LDMOS in a wide variety of high-power, high-frequency, and high-temperature applications. The high peak and saturation velocity, as well as low parasitics, have resulted in of 107 GHz [0.15 m 100 m GaN/Al Ga N high of 155 GHz electron-mobility transistor (HEMT)] [1] and (0.12 m 100 m GaN/Al Ga N HEMT) [2]. Unique material properties of GaN such as high thermal conductivity

Manuscript received August 15, 2003; revised December 30, 2003. S. S. Islam is with the Department of Electrical Engineering, Rochester Institute of Technology, Rochester, NY 14623-5603 USA (e-mail: [email protected]). A. F. M. Anwar is with the Department of Electrical and Computer Engineering, University of Connecticut, Storrs, CT 06269-2157 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825662

and breakdown voltage have enabled these devices to be operated up to 11.8 W/mm at 10 GHz using 0.3 m 100 m AlGaN–GaN HEMT [3]. Power amplifiers using GaN HEMTs have been demonstrated with output powers of 14 W at 8 GHz [4] and 36 W at 2.2 GHz [5]. The enhanced thermal stability of GaN has also resulted in HEMTs operating up to 750 C [6]. GaN-based devices operated at high power and high temperatures suffer from self-heating effects. Using Raman spectroscopy, Kuball et al. [7] estimated the temperature at the gate–drain opening to be 180 C of a 4 m 200 m GaN HFET grown on Sapphire with 20 and 0 V applied on the drain and gate, respectively. For the same bias voltages, the temperature increase was 120 C for a 1 m 200 m GaN HFET grown on SiC. Nuttinck et al. [8] have reported the simulation results of temperature distribution under continuous and pulsed conditions. For a 250- m-wide GaN heterostructure field-effect transistor (HFET) grown on SiC, maximum temperature of 96 C was reported in the active channel with a continuous wave dissipation power density of 5 W/mm, which, under pulsed condition, decreased to 76 C. With sapphire as the substrate, the maximum temperature was as high as 300 C. Using dc–I–V measurements, a decrease of maximum power dissipation capability from 5.5 W at 150 K to 1.67 W at 293 K for the same device grown on SiC was also reported [8]. In another effort, Nuttinck et al. [9] reported approximately 25% reduction in drain current as the drain pulsewidth increased from 1% to 100% at 300 K for the 250- m-wide GaN HFET grown on SiC. The gate bias and peak drain voltage were 0 and 35 V, respectively. Similar I–V characteristics are reported under both pulsed and continuous conditions by reducing the device temperature to 65 K. The self-heating effects at a large drain bias increase the device lattice temperature and reduce physical parameters such as mobility and carrier saturation velocity by increasing the carrier phonon scattering. As a result, device parameters such as transconductance and output resistance become dependent upon the temperature and applied electric field [10], [11]. Besides, GaN-based devices are plagued by traps that result in current collapse in the I–V characteristics and dc-to-RF dispersion of transconductance and output resistance [12]–[15]. The recovery of current collapse depends on the detrapping time constant, which determines the dispersion frequency of the transconductance and output resistance. The dispersion frequency due to shallow traps in GaN increases exponentially with temperature and can be of the order of megahertz at 600 K [16]. Therefore, an exact analysis of GaN-based devices should incorporate a thermal simulation technique to calculate physical and trapping parameters at the operating temperature.

0018-9480/04$20.00 © 2004 IEEE

1230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE I TEMPERATURE AND FIELD DEPENDENCES OF MOBILITY: COEFFICIENTS OF (2) ( IN cm /V 1 S, T

Recently, an empirical GaN–AlGaN HEMT model including the thermal effect [8], [9] and physics-based analysis of temperature and size dependences of transport parameters [10], [11] have been reported. The current authors have also reported a physics-based model to incorporate trapping effects in GaN MESFETs [16], [22]. In this paper, RF power performances of GaN-based MESFETs have been reported, incorporating both trapping and thermal effects. Junction temperature is calculated by solving Laplace’s equation. The temperature and electric-field dependences of transport parameters are obtained by using an ensemble Monte Carlo simulation. Model parameters are calculated by using a physics-based large-signal analysis. RF power performances are calculated by using a general analysis based on the Volterra series.

IN

K, AND E

IN

kV/cm) [10], [11]

[11]. Moreover, in short structures, transport becomes nonstationary, which makes mobility both electric-field and temperature dependent [10], [11]. In this analysis, carrier velocity–electric-field characteristic is assumed as , where is the electron velocity, is the critical is the saturation electron velocity, and electric field, is the low-field mobility. Differential mobility at a given temperature and electric field is given by [10], [11]. In this analysis, the temperature and electric-field dependences of mobility and temperature dependence of saturation velocity and critical electrical field as obtained from an ensemble Monte Carlo simulation [10], [11] are expressed as (2)

II. ANALYSIS The drain current of a GaN-based MESFET considering velocity saturation and the depletion layers formed in the channel at the channel/buffer interface due to the trapped carriers can be expressed as follows [17]:

(3) and (4) required for the determination of The constants are tabulated in Table I. and are estimated as

(1) where

and is the occupied trap concentration at the n-GaN is the channel/semi-insulating (SI)–GaN buffer interface, intrinsic carrier concentration, is the GaN dielectric constant, is the electronic charge, is the Boltzmann constant, is the electron mobility at temperature and electric is the thickness of the channel, is the width of field the device, is the gate length, is the saturation factor, is the channel electron concentration. equals the and and summation of the doping concentration in the channel is obtained by the carriers recovered due to detrapping. equating drain current expressions before and after saturation . at At high electric fields, velocity saturation occurs and its magnitude decreases with increasing temperature [10],

and for the determination of in centimeters/second (3) and in kilovolts/centimeter (4), where is in kelvins [10]. Fig. 1 shows the circuit model to include the effects of carrier trapping on frequency dispersion of output resistance and transconductance. Following the treatment reported by Golio et al. [18], the effects of traps are incorporated through the paand . These parameters are obtained once rameters the underlying physical processes governing trap dynamics are formulated [16]. Intrinsic circuit parameters and are obtained from conventional small-signal MESFET analysis in the absence of traps. A. Determination of Intrinsic Model Parameters In the saturation mode of operation, the channel can be divided into a linear or field-dependent mobility reand saturation-velocity-limited region gion length length . This is incorporated in (1) by replacing by and by , where with being the potential in the channel at . The I–V

ISLAM AND ANWAR: SELF-HEATING AND TRAPPING EFFECTS ON RF PERFORMANCE OF GaN MESFETs

and

1231

are calculated by simultaneously solving

and , which are obtained by equating drain currents at . is given by (6), shown at the Intrinsic drain resistance bottom of this page, where and . Capacitances and are given by (7) (8) is the drain-to-gate where resistance is given by

the gate–drain separation [19]. Fig. 1. GaN MESFET. (a) Cross-sectional view. (b) Large-signal = 6 ; L = 0:055 nH, model. Linear model parameters are R R = 70 ; L = 0:307 nH, R = 90 ; L = 0:027 nH, and C = 0:040 pF [14], [18], [21].

relationship in the absence of trapping effects can be obtained from (1) by dropping the last term in the region and replacing by . The intrinsic transconducis determined in the absence of trapping effects tance and is given by (5), shown at the bottom of this page, and where .

voltage.

Intrinsic where,

and is is the turn-off voltage.

B. Determination of Trap-Related Circuit Parameters The trapping sub-network parameters are given by [16]

(9) (10) and (11)

(5)

(6)

1232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 3. Calculated (solid/dashed lines) and measured (symbols) I–V characteristics for 0.3 m 100 m [24] GaN MESFETs in the presence of light.

2

sponding temperature. The calculation is repeated until a consistent solution for drain current, mobility, carrier velocity, critical electric field, and temperature is obtained. The detrapping time constant is then calculated by [16] (12)

Fig. 2. Thermal simulation. (a) Channel temperature variation as a function of dissipated power. (b) Analysis steps.

where

where

is the detrapping time constant and . The 0.3 m 100 m GaN MESFET reported by Gaquiere et al. [20] is used for simulation in this paper. nm, channel The device has an active layer thickness cm , and source–drain doping concentration separation m. Using cm [13], are estimated as V, the constants of V , and V . The turn-off is estimated to be 8 V. The other circuit paramevoltage ters are obtained from reported experimental data and are as folnH, nH, lows: nH, and pF [14]–[21]. The channel temperature is determined by solving Laplace’s equation for a given power dissipation. A plot of calculated channel temperature as a function of dissipated power for the 0.30 m 100 m GaN MESFET [20] is shown in Fig. 2(a). The sapphire substrate is assumed to be at room temperature. The calculation proceeds with an initial guess of channel temperature to estimate mobility, carrier velocity and critical electric field for a given channel electric field [see Fig. 2(b)]. Estimated mobility, carrier velocity, and critical electric field are used to compute drain current, power dissipation, and corre-

is the electron capture cross section, is the thermal velocity, is the electron efand are the conduction and fective mass in GaN, is the effective dentrap energy levels, respectively, and sity of states for the electron in the conduction band. The model parameters are calculated using (5)–(11). At low freequals , quency, the overall intrinsic transconductance whereas for frequencies greater than the dispersion frequency, approaches . For frequencies lower than , the overall output approaches and becomes resistance for frequencies greater than [22]. For large-signal , and are considered nonlinear functions analysis, of , while is a nonlinear function of . The nonlinear functions are approximated up to the second-order term , where represents and or and represents or for Volterra-series analysis of output power, power gain, and intermodulation for a given input power [22], [23]. III. RESULTS AND DISCUSSION Fig. 3 shows the measured [24] and calculated dc and pulsed I–V characteristics. In the presence of light, the dc I–V measure-

ISLAM AND ANWAR: SELF-HEATING AND TRAPPING EFFECTS ON RF PERFORMANCE OF GaN MESFETs

2

Fig. 4. Variation of output power as a function of input power for L 100 m GaN MESFET at 2 GHz. Measured results are shown by circles for L = 0:3 m [20].

ments do not show any current collapse as the electrons captured by traps located at the channel–buffer interface and the surface traps located in the channel between the gate–drain region have sufficient time and energy to be released. With pulsed input signals, electrons captured by the buffer traps form a depletion region in the channel at the channel–buffer interface. Besides, surface traps also capture electrons and form a virtual gate [25], which causes drain current to decrease for a given drain bias. The effect of surface traps is incorporated by considering an additional negative gate potential due to the trapped electrons in the region between the gate and drain. The reduction in drain current due to the presence of surface states can be recovered by SiN passivation. For an unpassivated structure [24], an estimation of the virtual gate potential is obtained by subtracting the actual gate voltage from the adjusted gate voltage in (1) required to obtain the dc saturation drain current. With pulse inputs and V, virtual gate voltage is estimated as 0.75 V. The presence of buffer traps leads to an early pinchoff due to the depletion region at the channel–buffer interface resulting in current collapse, which is partially recovered as drain bias is increased [17]. For given gate and drain biases, drain current is calculated using (1) by following the steps shown in Fig. 2. The is obtained by subtracting from the intrinsic drain voltage and externally applied drain bias the voltage drops across . The calculated results are compared with experimental data to show good agreement. Fig. 4 shows the variation of output power as a function of input power at 2 GHz for 0.30 m 100 m, 0.50 m 100 m, and 0.75 m 100 m GaN MESFETs. V and V. The power The bias voltages are

1233

2

Fig. 5. Variation of power gain as a function of input power for L 100 m GaN MESFET at 2 GHz. Measured results are shown by circles for L = 0:3 m [20].

results have been obtained for a gate-to-source voltage higher than that would be required to bias the device in class-A mode m, which were obtained [20]. Measured data for by using an optimum match for the output power load and input return loss, are plotted to show close agreement [20]. The agreement between the experimental data and calculated results are obtained when the thermal effects are taken into account. It is observed that, for a given channel electric field and input power, the shorter gate-length devices operate at higher temperatures, which is attributed to the existence of higher power densities in shorter gate-length devices due to their reduced channel area. At elevated temperatures, the effective mobilities of shorter gate-length devices are greatly reduced from their room-temperature values. Due to higher mobility and saturation velocity, calculated output power at isothermal condition (300 K) is higher than that obtained by taking thermal effects into account. For a given input power, the difference between the calculated output power with and without taking thermal effects into account is minimum for the 0.75- m gate-length device as its junction temperature deviates the least from ambient temperature. The difference increases with decreasing gate length due to higher operating temperatures. A similar result is obtained for the calculated power gain as shown in Fig. 5. Isothermal power gain of the 0.3- m gate-length device is 9.5 dB. With thermal effects taking into account, the calculated power gain is reduced by 2.2 dB. For 0.50- and 0.75- m gate-length devices, the differences are 1.9 and 0.75 dB, respectively. In this same figure, the measured m is plotted to show excellent agreement data for [20].

1234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

2

Fig. 6. Variation of power gain as a function of gate length for an output power of 18 dBm at 2 GHz.

Fig. 6 shows the variation of calculated power gain as a function of gate length for an output power level of 18 dBm at 2 GHz. As observed, at a given output power level, the gain compression due to thermal effects decreases with increasing gate length. This is due to the lower operating temperature of longer gate-length devices. Fig. 7 shows the calculated power-added efficiency (PAE) as a function of input power with gate length as a parameter. Similar to the output power and power gain, PAEs with thermal effects taken into account are lower than those obtained under isothermal condition and can be correlated to the reduced mobility and saturation velocity at elevated temperatures. Fig. 8 shows the variation of third-order intermodulation (IM3) as a function of output power with fundamental tone at 2 GHz. Better IM3 is obtained for shorter gate-length devices due to reduced nonlinearities resulting from higher transconductance and lower capacitances. A similar result was reported for shorter gate-length AlGaN–GaN HEMTs [26]. At elevated temperatures, transconductance decreases due to lower mobility and saturation velocity and device nonlinearity increases, resulting in higher IM3 for a given output power level. At a higher output power level, nonlinearity increases, resulting in even higher IM3 due to thermal effects. A significant increase in IM3 was obtained when the fundamental tone frequency was increased to 4 GHz [27]. This increase in IM3 is attributed to the increased leakage through capacitances at elevated frequencies. Output referred third-order intercept point (OIP3), which is defined as the output power at which the IM3 component of the output power cross the fundamental component, as a function of gate length is shown in the inset of Fig. 8. With increasing gate length, OIP3 decreases due to

Fig. 7. Variation of PAE as a function of input power for L 100 m GaN MESFET at 2 GHz. Measured results are shown by circles for L = 0:3 m [20].

Fig. 8. Variation of IM3 as a function of input power with gate length as a parameter at 2 GHz. The inset shows the OIP3 as a function of gate length.

an increase in nonlinearity, however, the self-heating effect is reduced due to lower operating temperature.

ISLAM AND ANWAR: SELF-HEATING AND TRAPPING EFFECTS ON RF PERFORMANCE OF GaN MESFETs

1235

REFERENCES

Fig. 9. Variation of power gain as a function of input power with operating frequency as a parameter for gate lengths. (a) L = 0:3 m. (b) L = 0:75 m. Room-temperature results are shown by dashed lines and thermal effects are incorporated in the results shown by solid lines.

Fig. 9 shows the variation of calculated power gain as a function of input power with the operating frequency as a parameter. As observed, for the 0.3- m gate-length device, gain compression due to thermal effects is 2.2 dB at 2 GHz, which increases to 4 dB at 6 GHz. The 0.75- m gate-length device demonstrated a similar increase in gain compression due to thermal effects at elevated frequencies. This frequency dependence of gain compression is due to the higher power dissipation resulting from reduced power gain for a given input power at increased operating frequency.

IV. CONCLUSION RF power performances of GaN MESFETs have been analyzed considering trapping and thermal effects. A physics-based model is used to correlate the performance of the device as a function of device size and operating frequency. Short channel devices demonstrated higher output power, power gain, and PAE, however, better thermal stability is obtained in long channel devices. Gain compressions due to thermal effects are found to be frequency dependent and increase significantly with increasing frequency. Lower IM3 and higher OIP3 are observed in shorter gate-length devices, which are degraded significantly due to self-heating effects.

[1] V. Kumar, W. Lu, F. A. Khan, R. Schwindt, A. Kuliev, J. Yang, and M. A. Khan, “High performance 0.15 m recessed gate AlGaN/GaN HEMTs on sapphire,” in Int. Electron Devices Meeting Tech. Dig., Dec. 2001, pp. 573–576. [2] W. Lu, J. Yang, M. A. Khan, and I. Adesida, “AlGaN/GaN HEMTs on SiC with over 100 GHz f and low microwave noise,” IEEE Trans. Electron Devices, vol. 48, pp. 581–585, Mar. 2001. [3] L. F. Eastman, “Experimental power-frequency limits of AlGaN/GaN HEMTs,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2002, pp. 2273–2275. [4] Y.-F. Wu, D. Kapolnek, J. Ibbetson, P. Parikh, B. Keller, and U. K. Mishra, “Very high power density AlGaN/GaN HEMTs,” IEEE Trans. Electron Devices, vol. 48, pp. 586–590, Mar. 2001. [5] T. Kikkawa, M. Nagahara, T. Kimura, S. Yokokawa, S. Kato, M. Yokoyama, Y. Tateno, K. Horono, K. Domen, Y. Tamaguchi, N. Hara, and K. Joshin, “A 36 W CW AlGaN/GaN HEMT using surface-charge-controlled structure,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2002, pp. 1815–1818. [6] I. Daumiller, C. Kirchner, M. Kamp, K. Ebeling, L. Pond, C. E. Weitzel, and E. Kohn, “Evaluation of AlGaN/GaN HFETs up to 750 C,” in Device Research Conf. Dig., 1998, pp. 114–115. [7] M. Kuball, J. M. Hayes, M. J. Uren, T. Martin, J. C. H. Birbeck, R. S. Balmer, and B. T. Hughes, “Measurement of temperature in active highpower AlGaN/GaN HFETs using Raman spectroscopy,” IEEE Electron Dev. Lett., vol. 23, pp. 7–9, Jan. 2002. [8] S. Nuttinck, E. Gebara, J. Laskar, B. Wagner, and M. Harris, “RF performance and thermal analysis of AlGaN/GaN power HEMTs in presence of self-heating effects,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2002, pp. 921–924. [9] S. Nuttinck, E. Gebara, J. Laskar, and M. Harris, “Study of self-heating effects, temperature-dependent modeling, and pulsed load–pull measurements on GaN HEMTs,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 2413–2420, Dec. 2001. [10] A. F. M. Anwar, S. Wu, and R. T. Webster, “Temperature dependent N, and In Ga N semicontransport properties in GaN, Al Ga ductors,” IEEE Trans. Electron Devices, vol. 48, pp. 567–572, Mar. 2001. , “Temperature dependent transport parameters in short GaN struc[11] tures,” Phys. Stat. Sol., vol. (b)228, no. 2, pp. 575–578, 2001. [12] S. C. Binari, K. Ikossi, J. A. Roussos, W. Kruppa, D. Park, H. B. Dietrich, D. D. Koleske, A. E. Wickenden, and R. L. Henry, “Trapping effects and microwave power performance in AlGaN/GaN HEMTs,” IEEE Trans. Electron Devices, vol. 48, pp. 465–477, Mar. 2001. [13] P. B. Klein, S. C. Binari, J. A. Freitas, Jr., and A. E. Wickenden, “Photoionization spectroscopy of traps in GaN metal–semiconductor field-effect transistors,” J. Appl. Phys., vol. 88, no. 5, pp. 2843–2852, Sept. 2000. [14] S. C. Binari, W. Kruppa, H. B. Dietrich, G. Kelner, A. E. Wickenden, and J. A. Freitas, Jr., “Fabrication and characterization of GaN FETs,” Solid State Electron., vol. 41, no. 10, pp. 1549–1554, Oct. 1997. [15] G. Verzellesi, R. Pierobon, F. Rampazzo, G. Meneghesso, A. Chini, U. K. Mishra, C. Canali, and E. Zanoni, “Experimental and numerical investigation of current collapse in AlGaN/GaN HEMTs,” in Int. Electron Devices Meeting Tech. Dig., 2002, pp. 689–692. [16] S. S. Islam and A. F. M. Anwar, “A physics-based model of frequencydependent electrical characteristics in GaN MESFET,” presented at the Device Research Conf., June 2003. [17] S. S. Islam, “Large-signal modeling of GaN-based microwave power transistors,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Connecticut, Storrs, CT, 2002. [18] J. M. Golio, M. G. Miller, G. N. Maracas, and D. A. Johnson, “Frequency-dependent electrical characteristics of GaAs MESFETs,” IEEE Trans. Electron Devices, vol. 37, no. 5, pp. 1217–1227, May 1990. [19] F. Ali and A. Gupta, Eds., HEMTs and HBTs: Devices, Fabrication and Circuits. Norwood, MA: Artech House, 1991. [20] C. Gaquiere, S. Trassaert, B. Boudart, and Y. Crosnier, “High power GaN MESFET on sapphire substrate,” IEEE Microwave Guided Wave Lett., vol. 10, pp. 19–20, Jan. 2000. [21] E. Alekseev, D. Pavlidis, N. X. Nguyen, C. Nguyen, and D. E. Grider, “Power performance and scalability of AlGaN/GaN power MODFETs,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1694–1700, Oct. 2000. [22] S. S. Islam and A. F. M. Anwar, “Nonlinear analysis of GaN MESFETs with Volterra series using large-signal models including trapping effects,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 2474–2479, Nov. 2002.

1236

[23] [24] [25] [26] [27]

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

, “Large-signal modeling of GaN FET and nonlinearity analysis using Volterra series,” in IEEE RFIC Symp. Tech. Dig., June 2002, pp. 351–354. S. Trassaert, B. Boudart, C. Gaquiere, D. Theron, Y. Crosnier, F. Huet, and M. A. Poisson, “Trap effects in GaN MESFETs by pulsed measurements,” Electron Lett., vol. 35, no. 16, pp. 1386–1388, Aug. 1999. R. Vetury, N. Q. Zhang, S. Keller, and U. K. Mishra, “The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs,” IEEE Trans. Electron Devices, vol. 48, pp. 560–566, Mar. 2001. S. S. Islam and A. F. M. Anwar, “Temperature dependent nonlinearities in GaN/AlGaN HEMTs,” IEEE Trans. Electron Devices, vol. 49, pp. 710–717, May 2002. , “Analysis of RF performances of GaN MESFETs including selfheating and trapping effects,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2003, pp. 459–462.

Syed S. Islam (S’00–M’02) received the B.S. degree in electrical and electronic engineering from the Bangladesh University of Engineering and Technology (BUET), Dhaka, Bangladesh, in 1993, the M.S. degree in electrical engineering from the University of Saskatchewan, Saskatoon, SK, Canada, in 2000, and the Ph.D. degree in electrical engineering from the University of Connecticut, Storrs, in 2002. He is currently an Assistant Professor with the Department of Electrical Engineering at Rochester Institute of Technology (RIT), Rochester, NY. His research interests include modeling of semiconductor devices, microwave circuit design, and numerical techniques. Dr. Islam is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and IEEE Electron Devices Society.

A. F. M. Anwar (S’86–M’88–SM’00) received the B.S. and M.S. degrees in electrical and electronic engineering from the Bangladesh University of Engineering and Technology (BUET), Dhaka, Bangladesh, in 1982 and 1984, respectively, and the Ph.D. degree from Clarkson University, Potsdam, NY, in 1988. He is currently a Professor with the Department of Electrical and Computer Engineering, University of Connecticut, Storrs. His research group, in the RF Microelectronics and Noise Laboratory, is currently involved in the study of transport in short heterostructures and antimony based HEMTs operating above 300 GHz. Moreover, the group is involved in modeling GaN-based high-power HEMTs and HBTs. He is also active in research in the areas of CMOS-based class-E amplifiers, as well as transport dynamics and noise in resonant tunneling diodes (RTDs) and one-dimensional (1-D) structures. Dr. Anwar is an editor for the IEEE TRANSACTIONS ON ELECTRON DEVICES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1237

Theory and Experiment of Dual-Mode Microstrip Triangular Patch Resonators and Filters Jia-Sheng Hong, Member, IEEE, and Shuzhou Li

Abstract—In this paper, we report on the results of an investigation into dual-mode operation of microstrip triangular patch resonators and their applications for designing dual-mode bandpass filters. It has been found theoretically that the dual modes can result from the rotation and superposition of a fundamental mode. The characteristics of the dual modes and their mode splitting are described. The applications of this new type of dual-mode microstrip patch resonator in the design of microwave planar filter are presented. A circuit model for operation of this type of filter is proposed. Two- and four-pole filters of this type are demonstrated for the first time. Both theoretical and experimental results are presented. Index Terms—Dual-mode filters, dual-mode microstrip filters, microstrip resonators.

resonators,

I. INTRODUCTION

M

ICROSTRIP filters have found wide applications in many RF/microwave circuits and systems. This is particularly driven by rapidly growing wireless communications, emerging high-temperature superconducting (HTS), and micromachining technologies [1]–[7]. In general, microstrip bandpass filters may be designed using single- or dual-mode resonators. Dual-mode microstrip resonators are attractive because each of the dual-mode resonators can be used as a doubly tuned resonant circuit and, therefore, the number of resonators required for a given degree filter is reduced by half, resulting in a compact filter configuration [7]–[11]. Several types of dual-mode microstrip resonators have been used, including the circular ring [8], meander loop [9], circular disk, and square patch [10], [11]. The one-dimensional (1-D) transmission-line dual-mode resonators such as rings and loops are smaller in size than the two-dimensional (2–D) patch dual-mode resonators such as circular disks and square patches. However, the line-based resonators generally suffer from higher conductor loss and lower power-handling capability. Therefore, the patch resonators appear more attractive for bandpass filter applications where low insertion loss and high power handling are of primary concern [12]–[15]. In addition, at millimeter waves, the size may not be the issue and the use of patch resonators can also ease the fabrication.

However, thus far, only a few dual-mode microstrip patch resonators, i.e., square and circular patches, have been available for the filter design. Although microstrip filters using triangular patch resonators have been reported recently [15], each of the triangular patches operates merely with a single mode at a certain frequency band. The triangular patch is an interesting element. However, up to date, only single-mode operations have been reported [15]–[17], [19]. In this paper, we report on the latest research results into the dual-mode operation of triangular patch resonators and their applications for designing dual-mode filters [18]. In Section II, the theoretical solutions have been derived for the first time to show the dual-mode operation of an equilateral triangular microstrip patch resonator. For the applications of this new dual-mode patch resonator, it is desirable to visualize the field patterns of the operated modes, which is presented in Section III. Section IV deals with the applications for designing dual-mode microstrip triangular patch resonator filters. The mode-splitting characteristics of two fundamental degenerate modes are described. A circuit model is proposed for the operation of this type of dual-mode filter. Dual-mode microstrip bandpass filters, two two-pole and one four-pole filters of this type, are demonstrated theoretically and experimentally for the first time. Finally, conclusions are given in Section V. II. THEORETICAL FORMULATION Fig. 1 shows the geometry of an equilateral triangular microstrip patch resonator on a dielectric substrate with a ground plane. Similar to dealing with a square patch microstrip resonator [1], a Wheeler’s cavity mode can be used, where the top and bottom of the cavity are the perfect electric walls and the remaining sides are the perfect magnetic walls. One can then expand the electromagnetic (EM) fields inside the triangular cavity modes [16] in terms of

Manuscript received September 10, 2003; revised November 11, 2003. J.-S. Hong is with the Department of Electrical, Electronic, and Computer Engineering, School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh EH14 4AS, U.K. (e-mail: [email protected]). S. Li was with the Department of Electrical, Electronic, and Computer Engineering, School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh EH14 4AS, U.K., on leave from the Institute of Acoustics, Chinese Academy of Sciences, Beijing 100080, China. Digital Object Identifier 10.1109/TMTT.2004.825653 0018-9480/04$20.00 © 2004 IEEE

(1)

1238

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

(a) Equilateral triangular microstrip patch geometry. (b) Rotated coordinate systems.

where is a constant and is the length of the triangle modes . side. Note that for the and in Unlike the square patch resonator, the indexes (1) do not represent the number of standing waves along the and axes of the coordinate system, and the condition of must also be imposed to satisfy the wave equation. A fundamental mode, which can be found from (1), is the mode and its electric field is given by

Noticing a rotation symmetry of the equilateral triangular patch resonator, the vector fields can also be expressed in the two roand cotated coordinate systems, i.e., the ( ordinate systems, as shown in Fig. 1(b), respectively,

(4) (5)

(2) However, our intention is to find out another degenerate mode to pair with (2). It is well known that and are a pair of degenerate modes of a square patch resonator [1]. A question then arises is as follows: by similarity, would the mode be another degenerate mode of an equilateral triangular patch resonator? Unfortunately, the problem we are facing is not as simple as that, and the answer to the question also is not. The reason is as follows: by inspection of (1), we and in notice that the interchange of the three indexes (1) leaves the field patterns are unchanged. Hence, the EM fields , as well as and modes, which for all have the same resonant frequency as that of the mode, are exactly identical to that given in (2). It is envisaged that (1) alone cannot predict any degenerate modes, which have the same resonant frequency, but different field patterns to that given by (2). This is because the EM field solutions of (1) are, as a matter of fact, not a complete set. To investigate degenerate modes theoretically, we have used the following formulation. For our purpose, let us consider the dominant mode only, and coordinate system express the vector fields in the

where and take the same form as (2) in the associated coordinate systems. By far, neither set of the field solutions of (3)–(5) alone can predict any degenerate-mode operation. However, if there is another degenerate mode other than coordinate system, it must result (2) existing in the from, according to the principle of superposition, a superposition of these fields. In this way, we have found that

(6) is indeed a field solution for the other fundamental degenerate mode. In order to present this newly found degenerate mode in the coordinate system, we need to first project the vector fields of (6) onto the coordinate systems with the following transformations:

(7) (3) is given by (2), and all the magnetic-field comwhere ponents can be derived from the electric field, as indicated in (1).

where and are the coordinate rotating angles, which are indicated in Fig. 1(b). Similarly, we also need and in terms of using the same to express coordinate transformations. The resultant electric field of the

HONG AND LI: THEORY AND EXPERIMENT OF DUAL-MODE MICROSTRIP TRIANGULAR PATCH RESONATORS AND FILTERS

Fig. 3.

1239

Current distributions of the degenerate modes. (a) Mode 1. (b) Mode 2.

Fig. 2. Electric-field patterns of the degenerate modes. (a) Mode 1. (b) Mode 2.

newly found degenerate mode, as a counterpart of (2), is given by Fig. 4. Two-pole dual-mode microstrip triangular patch resonator filters. (a) Structure 1. (b) Structure 2.

are shown in Fig. 3. Again, we can see that, with respect to the horizontal symmetric plane, modes 1 and 2 behave as an even and odd mode, respectively. IV. DUAL-MODE BANDPASS FILTERS

(8) The resultant magnetic fields can be found accordingly. Thus, (2) and (8) give the basic field solutions of a pair of fundamental degenerate modes in an equilateral triangular microstrip patch resonator. We should refer to them as mode 1, which is based on (2), and mode 2, which is based on (8) in the following discussions. III. FIELD PATTERNS OF DEGENERATE MODES For applications of a microwave resonator, it is always desirable to know the field pattern of a relevant resonant mode. Using the formulation described in Section II, a computer program was written and used to compute the field patterns of the two degenerate modes of a triangular patch resonator. Fig. 2 illustrates the computed electric fields of modes 1 and 2, directly resulting from (2) and (8), respectively. It is interesting to see that mode 1 has a symmetric field with respect to the horizontal axis, whereas mode 2 exhibits an antisymmetric field pattern. One can also observe that the field pattern of either mode cannot be obtained by simply rotating its counterpart’s field. This situation is totally different from that of the dual modes of a square or circular patch resonator, where the one mode can be obtained by rotating the other mode by 90 in the coordinate system. can be derived from the electric Since the magnetic field field, we can find the current distribution or density accordingly. The computed current distributions of the two degenerate modes of an equilateral triangular patch resonator

To demonstrate the application of the proposed dual-mode microstrip triangular patch resonator, two-pole bandpass filters with a single microstrip triangular patch resonator were investigated first. Two filter structures have been developed, which are depicted in Fig. 4. Note that, for an equilateral triangle, . Since we need to split the two degenerate modes of an equilateral triangular patch resonator for designing a bandpass filter, the mode splitting is achieved by introducing a small , or by deforming cut, as shown in Fig. 4(a), where the equilateral triangle into an isosceles triangle, as shown in . In either case, we the case of Fig. 4(b), where found that the resonant frequency of mode 1 was effectively shifted, whereas the resonant frequency of mode 2 was almost unchanged [18]. At first, it was thought that once the modes were split, as is the case for a perturbed square dual-mode patch resonator, there would be some coupling between the two modes [18]. However, after a careful examination of this type of mode splitting, according to the theory of asynchronously tuned coupled resonators (i.e., if the two split-mode frequencies are equal to the two self-resonant frequencies, respectively, there is no coupling between the two resonators [1]), it was then believed that the two modes were actually hardly coupled to each other for the mode perturbations introduced. This discovery is very important for developing a dual-mode filter of this type and understanding its operation. The equality between the self-resonant frequencies and split-mode frequencies for the geometrical perturbations used has been investigated using full-wave EM simulations, and is demonstrated as follows. By inspecting the field patterns of Figs. 2 and 3, we can see that mode 1 is actually an even mode, while mode 2 is an odd mode. This allows us to simulate the self-resonant frequencies of the two modes

1240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 5. Simulated split-mode frequencies (full-line) and self-resonant frequencies (dotted line for mode 1 and dashed line for mode 2) for a perturbed (small cut) dual-mode triangular microstrip resonator on a 1.27-mm-thick dielectric substrate with a relative dielectric constant of 10.8.

Fig. 6. Simulated split-mode frequencies (full-line) and self-resonant frequencies (dotted line for mode 1 and dashed line for mode 2) for a perturbed (isosceles) dual-mode triangular microstrip resonator on a 1.27-mm-thick dielectric substrate with a relative dielectric constant of 10.8.

by placing a magnetic or electric wall along the symmetrical axes, respectively, which are illustrated in Figs. 5 and 6 for the two mode-split geometries used. For either geometry, when a magnetic wall is applied, only the even mode or mode 1 is excited and the self-resonant frequency response is plotted using the dotted line, where the self-resonant frequency can be identified at a resonant peak. On the other hand, if an electric wall is applied, only the odd mode or mode 2 is excited and the simulated results are plotted using the broken line. Without applying any electric or magnetic wall, the simulation results show the split-mode frequencies, which are plotted using the full line. It is evident that the two split-mode frequencies for either geometrical perturbation are equal to the self-resonant frequencies, which confirms our above suggestion that there is no coupling between the two modes. To this end, a circuit model for the two-pole dual-mode bandpass filters of Fig. 4 has been developed, which is shown in Fig. 7. There are four nodes, labeled with 0–3 in this circuit. Resonator 1 with a resonant frequency of is used to represent mode 1 of a dual-mode triangular patch resonator; while resonator 2 represents mode 2 with a resonant fre. The two resonators are couquency of pled, respectively, in parallel to the input and output (I/O) ports through the admittance inverters with characteristic admittances and . An extra inverter of is introof duced to model the direct coupling between the I/O ports. A noticeable thing is that the circuit model does not have an inverter connected between nodes 1 and 2 so that there is no coupling between the two resonators. One can find that this circuit model is entirely different from that for the dual-mode square or cir-

Fig. 7. Circuit model for two-pole dual-mode microstrip triangular patch resonator filters.

cular patch resonator filters in which the main couplings are in a series structure. Two filters have been designed. The first filter (design 1) has a passband from 3995 to 4205 MHz, and is implemented with the structure of Fig. 4(a). With 50- terminations, the circuit parameters are MHz MHz

and where all the values are normalized with the terminal admittance. The microstrip dual-mode triangular patch resonator has mm and mm on a 1.27-mm-thick dia size of electric substrate with a relative dielectric constant of 10.8. The I/O coupling lines have a width of 1 mm and a gap of 0.25 mm to the patch resonator. Note that, in this design, mode 1 has a higher and resonant frequency resulting from the small cut. are only feasible in this filter structure due to the symmetric field distribution of mode 1 and the antisymmetric field distribution of mode 2, respectively. Both theoretical analysis based of the circuit model of Fig. 7 and full-wave EM simulation using Sonnet em1 have been carried out. The results are plotted in Fig. 8(a), which not only demonstrate typical Chebyshev two-pole filter responses, but also show a good agreement between theory and EM simulation. This validates the proposed circuit model for this type of filter. The second filter (design 2) is designed to have a passband from 3860 to 4020 MHz. The circuit parameters for this filter are give by MHz MHz

and 1em,

ver. 7.0, Sonnet Software Inc., Liverpool, NY, 2001.

HONG AND LI: THEORY AND EXPERIMENT OF DUAL-MODE MICROSTRIP TRIANGULAR PATCH RESONATORS AND FILTERS

1241

Fig. 8. Performance of two-pole dual-mode microstrip triangular patch resonator filters. (a) Design 1 based on the structure of Fig. 4(a). (b) Design 2 based on the structure of Fig. 4(b).

In this case, mode 1 has a lower resonant frequency and, hence, the filter structure of Fig. 4(b) should be used for the implementation. The resultant microstrip dual-mode triangular patch mm and mm on a resonator has a size of 1.27-mm-thick dielectric substrate with a relative dielectric constant of 10.8. Again, the I/O coupling lines have a width of 1 mm and a gap of 0.25 mm. Fig. 8(b) illustrates the frequency responses obtained by circuit modeling and EM simulation. It is interesting to note that this filter exhibits a quasi-elliptic function response with two transmission zeros at finite frequencies near the passband, resulting in a better selectivity as compared to the first filter design. The experiments were also carried out to confirm the dual-mode operation of this type of filter, and the only for clarity) are also plotted as dotted measured results ( points in Fig. 8(b). Inspecting the two sets of design parameters for the two filters, the reason on the different frequency responses of Fig. 8 can be seen, which is mainly due to the different resonant frequencies of mode 1 in both filters. Also, the large difference in for the direct coupling between I/O will be accounted for. Since there is no coupling between the two modes, the mode frequencies can easily be controlled by the small perturbation to make the two modes split, while the I/O couplings are controlled by the coupled feed lines. After the success in demonstrating the two-pole dual-mode microstrip triangular patch resonator filters, we have further developed multipole filters. Fig. 9 shows a photograph of a fabricated four-pole filter of this type on a RT/Duriod substrate with a relative constant of 10.8 and a thickness of 1.27 mm. The filter consists of two dual-mode microstrip triangular patch resonators in a very simple cascaded coupling structure. The filter was designed using the tool of full-wave EM simulation. The measured frequency responses of the filter are plotted in Fig. 10, which were obtained using an HP8720 network analyzer. The filter shows very good performance with a measured insertion loss of 2.3 dB at a midband frequency of 4.01 GHz. The selectivity on the low side of the passband would seem better than what is attainable for a direct-couple four-pole filter. This is due to an inherent (not designed) transmission zero on this side, which can clearly seen from the wide-band response of the filter. It is also interesting to see that the first spurious response occurs at approximately 6.45 GHz.

Fig. 9. Fabricated four-pole dual-mode microstrip triangular patch resonator filter.

Generally, for designing this type of filter with an order higher than two, the circuit mode of Fig. 7 can be extended to have a coupling scheme as shown in Fig. 11, where nodes 1– reprepresent the I/O resent resonators and nodes 0 and ports. Resonators 1 and 2 are realized with the dual modes in a dual-mode resonator, as are the other pairs of resonators. The , as couplings are represented by characteristic admittances indicated. The synthesis of this type of filter can be done by optimization in general [20]. For demonstration, a four-pole filter of this type has been synthesized based on the coupling structure of Fig. 11 and the results for 50- terminations are given as follows: MHz MHz

The theoretical response of the synthesized filter, along with the simulated response of a practical filter similar to that of Fig. 9, is shown in Fig. 12, where a good agreement between the two can be observed. We also note that both theoretical and simulated responses show an inherent transmission zero on the low side of the passband for this filter, which has been confirmed experimentally in Fig. 10. The unloaded of this type of resonator is expected to be similar to that of square patch dual-mode resonator. We have

1242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 10.

Measured performance of the four-pole dual-mode microstrip triangular patch resonator filter of Fig. 9.

Fig. 11.

Coupling configuration for multiorder dual-mode filters. Fig. 13. Characteristics of mode splitting for a dual-mode triangular patch resonator perturbed with a narrow slit.

Fig. 12.

Four-pole dual-mode filter response.

carried out full-wave simulations to extract the unloaded for the two modes of a triangular patch resonator having the dimensions given in Fig. 5. It turns out that the two modes also have a similar unloaded . Considering only the conductor loss by assuming a 10- m-thick copper patch and a 10- m-thick copper ground, we found that both modes have an unloaded of around 950. Further taking into account the dielectric loss by assuming a loss tangent of 0.002 for the dielectric substrate used, the simulated unloaded for both modes are approximately 320. For filter applications, the resonators should be assembled in a metal housing to eliminate the radiation loss. It might be worth mentioning that there are methods for producing mode splitting other than those described above. For instance, Fig. 13 shows the simulated characteristics of mode splitting for a dual-mode triangular patch resonator perturbed using a narrow slit along its symmetric axis. For the simulations, the width of the slit was kept constant as 0.5 mm, while

the length of the slit, i.e., was changed from 4 to 6 mm. As can be seen from Fig. 13, the longer the slit, the larger the mode splitting. Again, it can be shown that the mode self-resonant frequencies coincide with the two split-mode frequencies. This indicates that the two split modes obtained in this way also do not couple each other. However, in this case, the simulations show that the self-resonant frequency of mode 1 is hardly changed against the variation of the slit length, implying the mode 1 is not perturbed. This can easily be explained in the light of the current distributions shown in Fig. 3, and the reason for this is that the slit is cut along the current flowing of mode 1 so it, in fact, does not perturb the field distribution of this even mode. V. CONCLUSION We have carried out an investigation into the dual-mode operation of microstrip triangular patch resonators and their applications in realizing dual-mode microwave planar filters. We have presented for the first time the theoretical solutions of a pair of fundamental degenerate modes of an equilateral patch resonator. It has been shown that the degenerate modes can result from the rotation and superposition of a fundamental mode. The characteristics of the dual modes and their mode splitting have been described. We have also demonstrated, for the first time, dual-mode microstrip triangular patch resonator filters. A circuit model has been also proposed for modeling this type of dual-mode filter. It has been shown that the triangular dual-mode patch resonator filter operates differently from the square or circular dual-mode patch resonator filter. It offers not only alternative designs, but also results in a compact size and simple coupling topology in a cascaded form. It is expected that

HONG AND LI: THEORY AND EXPERIMENT OF DUAL-MODE MICROSTRIP TRIANGULAR PATCH RESONATORS AND FILTERS

this type of filter will be very attractive for developing planar microwave filters with low loss and high power handling. It will also be promising for applications of high-temperature superconductor, RF microelectromechanical systems (MEMS), and low-temperature co-fired ceramic (LTCC) technologies. REFERENCES [1] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [2] Y. Toutain, J.-P. Coupez, and C. Person, “Microstrip miniaturized loopfilters with high out-of-band rejection for future 3G mobile terminals,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 1589–1592. [3] P. Blondy, A. R. Brown, D. Cros, and G. M. Rebeiz, “Low loss micromachined filters for millimeter-wave telecommunication systems,” in IEEE MTT-S Int. Microwave Symp. Dig., 1998, pp. 1181–1184. [4] C. Y. Ng, M. Chongcheawchanman, M. S. Aftanasar, I. D. Robertson, and J. Minalgiene, “ -band microstrip bandpass filter using photoimageable thick-film materials,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 2209–2212. [5] W. Hattori, T. Yoshitake, and K. Takahashi, “An HTS 21-pole microstrip filter for IMT-2000 base stations with steep attenuation,” IEEE Trans. Appl. Superconduct., pt. 2, vol. 11, pp. 4091–4094, Mar. 2001. [6] G. L. Matthaei, “Narrow-band, band-pass filters with zig–zag, hairpin-comb resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 1931–1934. [7] K. F. Raihn and G. L. Hey-Shipton, “Folded dual-mode HTS microstrip band pass filter,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 1959–1962. [8] I. Wolff, “Microstrip bandpass filter using degenerate modes of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 302–303, June 1972. [9] J.-S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microwave Guided Wave Lett., vol. 5, pp. 371–372, Nov. 1995. [10] J. A. Curitis and S. J. Fiedziuszko, “Miniature dual mode microstrip filters,” in IEEE MTT-S Int. Microwave Symp. Dig., 1991, pp. 443–446. [11] R. R. Mansour, “Design of superconductive multiplexers using single-mode and dual-mode filters,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 1411–1418, July 1994. [12] R. R. Mansour, B. Jolley, S. Ye, F. S. Thomson, and V. Dokas, “On the power handling capability of high temperature superconductive filters,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 1322–1338, July 1996. [13] Z.-Y. Shen, C. Wilker, P. Pang, and C. Carter, “High-power HTS planar filters with novel back-side coupling,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 984–986, June 1996. [14] M. A. Hein et al., “High-power high- YB C O disk resonator filter,” in Proc. EUCAS Applied Superconductivity, 1997, pp. 319–322. [15] J.-S. Hong and M. J. Lancaster, “Microstrip triangular patch resonators filters,” in IEEE MTT-S Int. Microwave Symp. Dig., 2000, pp. 331–334.

X

Q

1243

[16] M. Cuhaci and D. S. James, “Radiation from triangular and circular resonators in microstrip,” in IEEE MTT-S Int. Microwave Symp. Dig., 1977, pp. 438–441. [17] J. Helszajn and D. S. James, “Planar triangular resonators with magnetic walls,” IEEE Trans. Microwave Theory Tech., vol. MTT-26, pp. 95–100, Feb. 1978. [18] J.-S. Hong and S. Li, “Dual-mode microstrip triangular patch resonators and filters,” IEEE MTT-S Int. Microwave Symp. Dig., pp. 1901–1904, 2003. [19] J. Helszajn, Microwave Planar Passive Circuits and Filters. New York: Wiley, 1994. [20] U. Rosenberg and S. Amari, “Novel coupling schemes for microwave resonator filters,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 2896–2902, Dec. 2002.

Jia-Sheng Hong (M’94) received the D.Phil. degree in engineering science from the University of Oxford, Oxford, U.K., in 1994. His doctoral dissertation concerned EM theory and applications. In 1994, he joined the University of Birmingham, where he was involved with microwave applications of high-temperature superconductors, EM modeling, and circuit optimization. In 2001, he joined the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University, Edinburgh, U.K., as a faculty member leading a team for research into advanced RF/microwave device technologies. He has authored and coauthored over 80 journal and conference papers, and also Microstrip Filters for RF/Microwave Applications (New York: Wiley, 2001). His current interests involve RF/microwave devices, such as antennas and filters, for wireless communications and radar systems, as well as novel material and device technologies including RF MEMS and high-temperature superconducting devices. Dr. Hong has served on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and on the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Technical Program Committee (TPC).

Shuzhou Li received the B.S. degree in physics from the Central South Institute of Mining and Metallurgy, Changsha, China, in 1982, and the M.S. degree in microelectronic devices and solid-state physics from Beijing Normal University, Beijing, China, in 1988. In 1988, he joined the Peking University, Beijing, China, as a Research Engineer with the Institute of Microelectronics. Since 1990, he has been with the Institute of Acoustics, Chinese Academy of Sciences, Beijing, China, where he is currently a Senior Engineer. In 2002, he was on leave as a Visiting Scholar with the Heriot-Watt University, Edinburgh, U.K.

1244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Wafer-Level Packaging Technology for High-Q On-Chip Inductors and Transmission Lines Geert J. Carchon, Member, IEEE, Walter De Raedt, and Eric Beyne, Member, IEEE

Abstract—In the current trend toward portable applications, high- integrated inductors have gained considerable importance. Hence, much effort has been spent to increase the performance of on-chip Si inductors. In this paper, wafer-level packaging (WLP) techniques have been used to integrate state-of-the-art highon-chip inductors on top of a five-levels-of-metal Cu damascene cm Si wafers. back-end of line (BEOL) silicon process using 20The inductors are realized above passivation using thick post-processed low-K dielectric benzocyclobutene (BCB) and Cu layers. For a BCB–Cu thickness of 16 m 10 m, a peak single-ended factor of 38 at 4.7 GHz has been measured for a 1-nH inductor with a resonance frequency of 28 GHz. Removing substrate contacts slightly increases the performance, though a more significant improvement has been obtained by combining post-processed passives with patterned ground shields: for a 2.3-nH above integrated-circuit (above-IC) inductor, a 115% increase in max BW (37.5 versus 17.5) and a 192% increase in resonance frequency ( res : 12 GHz versus 5 GHz) have been obtained as compared to the equivalent BEOL realization with a patterned ground shield. Next to inductors, high-quality on-chip transmission lines may be realized in the WLP layers. Losses below 0.2 dB/mm at 25 GHz have been measured for 50- post-processed coplanar-waveguide lines, above-IC thin-film microstrip lines have measured losses below 0.12 dB/mm at 25 GHz.





Index Terms—Above integrated circuit (above-IC), inductor, post-processing, silicon, thin film, transmission line, wafer-level packaging (WLP).

I. INTRODUCTION

I

NDUCTORS integrated in today’s typical silicon processes cannot meet the high-performance specifications required for future RF integrated-circuits (ICs), as they typically use an Al–Cu metallization to pattern the spiral and underpass [1],[2]. This metal is inherently fairly resistive and, as the integration level increases, the metal thickness is typically thinned to decrease the achievable line pitch. This thinning of metal layers and their associated inter-layer dielectrics as process technologies advance creates a fundamental problem for realizing high- inductors on-chip. There are basically two options to realize the high- inductors required for future high-performance applications. In the system-in-a-package (SiP) approach, high-quality inductors (and other passive components) are realized off-chip

Manuscript received August 25, 2003; revised January 14, 2004. This work was supported in part by the European Commission under the IMPACT Project IST-2000-30016. G. J. Carchon and W. De Raedt are with the Microwave and RF Systems Group, Interuniversity Microelectronics Centre, B-3001 Leuven, Belgium. E. Beyne is with the Enabling Technologies for Distributed and Autonomous Systems Department, Interuniversity Microelectronics Centre (IMEC), B-3001 Leuven, Belgium. Digital Object Identifier 10.1109/TMTT.2004.825656

Fig. 1. Schematic cross section of the “inductor above passivation” concept: thick thin-film layers are post-processed on top of the passivation.

using a passive integration technology such as low-temperature co-fired ceramic (LTCC) or multilayer thin-film multichip module (MCM-D) technology [3]–[8]. In this case, a full co-design between the active and passive components is required. The SiP approach results in higher performance inductors, as compared to on-chip Si solutions as low-loss dielectrics and thick Cu layers can be readily used. In addition, due to the lower cost per unit area, size constraints for the off-chip passive components are not as severe as for an on-chip solution, hereby further increasing the performance that may be achieved. In this way, factors above 100 have previously been demonstrated [3]. Another approach is to increase the performance of on-chip inductors. This may be done by replacing the conventional Al–SiO technology with low-K materials and thick Cu metallization [1],[9]–[13], however, thick Cu is not a standard back-end process and the dielectric in between the spiral and lossy silicon substrate is still relatively thin. An alternative is the use of micromachining techniques to remove the lossy substrate underneath the spiral inductor in a post-processing step. This may be done from the top [14] or backside [15] of the Si wafer. Another possibility is to create an air gap in between the spiral inductor and substrate using air-bridge technology [16]. These options are mechanically less stable and, due to the air gap, are not preferred for subsequent packaging. A more attractive and cost-effective solution for on-chip inductors is to realize them above the passivation using thin-film post-processing techniques [17]. A schematic cross section of the concept is shown in Fig. 1. Thin-film technology offers the advantage of high precision, low temperature, and low cost, in this way, thick-Cu interconnects and benzocyclobutene (BCB) dielectrics have been used successfully in the past for the realization of high-

0018-9480/04$20.00 © 2004 IEEE

CARCHON et al.: WLP TECHNOLOGY FOR HIGH-

ON-CHIP INDUCTORS AND TRANSMISSION LINES

1245

TABLE I BCB AND WLP-M1 THICKNESSES REALIZED IN FLOW-1 AND FLOW-2. THE LAYER LOCATION IS ILLUSTRATED IN FIGS. 1–3

passives off-chip and SiP-based RF up to millimeter-wave circuits [3],[18]. When applied above IC, thin-film wafer-level packaging (WLP) technology offers novel opportunities to the functionality of ICs, besides the redistribution of bonding pads, as the added metallizations may be used for the integration of high- on-chip inductors and low-loss interconnects. As metal layers are added above passivation, one may also reduce the number of back-end of line (BEOL) metals, hereby reducing costs. The process is further compatible with an Al, as well as a Cu BEOL. In [19], 4- m electroplated Cu and 9- m polyimide has been of 17. In [20] and [21], Cu and BCB used, resulting in a ( ) are used to fabricate inductors with factors as high as 26 on a 20- cm Si wafer, however, floating substrates without back- and front-end devices were used. In this paper, measured single-ended factors up to 38 are demonstrated for inductors realized on top of a five-levels-of-metal (5LM) Cu–oxide BEOL. The influence of patterned ground shields and substrate contacts on the performance of post-processed inductors is discussed and a comparison between measurements and three-dimensional (3-D) simulations is performed. High-performance above-IC transmission lines have also been realized. The above-IC technology is described in Section II. The measured inductor performance is discussed in Section III, whereas in Section IV, above-IC inductors are compared with equivalent realizations in the 5LM BEOL. As shown in Section V, 3-D simulations may be used to accurately predict the performance of the above-IC inductors. The obtained above-IC transmission-line performance is discussed in Section VI. II. TECHNOLOGY DESCRIPTION The inductors realized in this paper have been fabricated on top of a 5LM Cu damascene BEOL process using 20- cm silicon wafers. The BEOL Cu layers (M1–M5, with M5 being the top metal layer) have a thickness of 625 nm and an interlevel dielectric of 475 nm, hereby creating a standoff of approximately 6 m between the SiN passivation and substrate. The WLP inductors and transmission lines have been realized on top of the passivation using two process flows. The realized layer thicknesses are given in Table I. In flow-1, 5- m photo-BCB (BCB-1) is deposited first, and the electrical contacts to the underlying BEOL are opened using conventional photolithography. WLP-M1 (5- m Cu) then directly connects to the top BEOL layer. BCB-2 protects WLP-M1 from oxidation, a Cu–Ni–Au top metal makes the flow compatible with wire bonding. The inductors and interconnects are

Fig. 2. FIB cross section of a flow-1 stack of WLP-M1 and WLP-M2 on top of M1–M5: conventional BCB vias are used in BCB-1 and BCB-2.

Fig. 3. FIB cross section of a flow-2 stack of WLP-M1 and WLP-M2 on top of M1–M5: conventional via (BCB-2) and HARVi (BCB-1).

preferably realized in WLP-M1; WLP-M2 may be used to create overpasses. In case flip-chip bonding is used, WLP-M2 acts as under-bump metallurgy for the solder bumps. As the aspect ratio of standard photo-BCB vias is in the 1 : 4 range, large vias are needed when the BCB thickness is increased above 10 m. To keep the via size and pad capacitance small when the BCB thickness is increased, a special high aspect ratio via (HARVi) is used in flow-2: first, a metal stud is plated on top of the BEOL, then BCB-1 is deposited. Due to planarization, only a thin BCB layer is present on top of the HARVi, which can be opened using conventional photolithography. The method results in high yield via connections with diameters as small as 10 m for a 16- m-thick BCB layer. A focused ion beam (FIB) cross section of a flow-1 and flow-2 stack of WLP-M1 and WLP-M2 on top of M1–M5 is shown in Figs. 2 and 3. III. ABOVE-IC INDUCTOR PERFORMANCE A. Inductor Layouts The layout parameters of the inductors, discussed in this study, are given in Table II. The meaning of the layout parameters is graphically illustrated in Fig. 4. To connect the inner part of the inductor with the outer part, an underpass in the BEOL layers or a post-processed overpass (WLP-M2) can be used (Fig. 4). Unless otherwise stated, the inductors described in this paper contain substrate contacts underneath the ground pads and an overpass on WLP-M2 is used

1246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE II INDUCTOR LAYOUT PARAMETERS, MEANING IS GRAPHICALLY ILLUSTRATED IN FIG. 4

Q

Fig. 5. Measured factor for a 1-nH inductor (L3): substrate contacts at both ports in flow-1 (-4-), flow-2 (--); substrate contact at 1 port in flow-1 (- -), and flow-2 (--). TABLE III MEASURED INDUCTOR PERFORMANCE FOR FLOW-1 AND FLOW-2, A M5 BEOL UNDERPASS HAS BEEN USED IN PARALLEL WITH A WLP-M2 OVERPASS, SUBSTRATE CONTACTS ARE PRESENT AT BOTH PORTS

Fig. 4. Inductor L5 realized in flow-2: an underpass on the fifth BEOL metal layer (M5) is used. A patterned polysilicon ground shield is present below the inductor.

in combination with an underpass on the fifth BEOL metal. This implies that the capacitance, introduced when the inductor contacts the underlying BEOL, is included in the measurements. B. Measured Inductor Performance Measurements have been performed using picoprobes and an HP8510 network analyzer. After an initial short-open-load-thru (SOLT) calibration on the calibration substrate, the pad parasitics are removed by measuring an on-wafer short and open. The resulting reference plane location is indicated in Fig. 4. The probe contact resistance was in the milliohm range. The inductor’s factor has been determined from the measurements using the relation

(1)

The measured performance of inductor L3, realized in flow-1 and flow-2, is shown in Fig. 5. The layouts use an overpass on metal-2 in parallel with an underpass on the fifth BEOL metal layer. Using the 5- m-thick Cu layer (flow-1), a maximum factor of [email protected] GHz has been obtained; increases to [email protected] GHz for the 10- m-thick Cu realization (flow-2), factor tops 30 over the 2.1–5.4-GHz frequency while the band. If a substrate contact is only present at one port, increases further to 26 (flow-1) and 38 (flow-2).

Q

Fig. 6. Measured factor of a 2.3-nH inductor (L4): flow-1 without (-4-) and with substrate contacts (--), flow-2 without (- -) and with substrate contacts (--).

The measured performance of the other inductors (substrate contacts at both ports) is summarized in Table III. It may be obin flow-2 is approximately 35% higher than served that those obtained in flow-1, while the inductance decreases by approximately 3% due to the increased metal thickness. C. Influence of Substrate Contacts As shown in [22], substrate contacts influence the performance of the on-chip BEOL inductors; however, the influence on above-IC inductors has not yet been demonstrated. The measured factors for inductor L4 (flow-1 and flow-2) with and without substrate contacts are shown in Fig. 6, the corresponding -parameters are shown in Fig. 7. It may be ob-

CARCHON et al.: WLP TECHNOLOGY FOR HIGH-

S

ON-CHIP INDUCTORS AND TRANSMISSION LINES

1247

S

Fig. 7. and of a 2.3-nH inductor (L4) realized in: (left) flow-1 and (right) flow-2 with (grey) and without (black) substrate contacts at the inputs. Fig. 9. Extracted intrinsic inductance as a function of frequency for a 2.3-nH inductor (L4): (-4-) flow-1 and (--) flow-2 without a shield, (- -) flow-1 and (--) flow-2 with a shield.

shield lowers the series inductance. Especially for flow-2, the effect is not very pronounced, which indicates that the generation of eddy currents in the patterned ground shield is relatively low. The intrinsic inductance has been calculated using

(2)

Q

Fig. 8. Measured factor of a 2.3-nH inductor (L4): (-4-) flow-1 and (--) flow-2 without a shield, (- -) flow-1 and (--) flow-2 with a shield.

served that, for inductors realized on 20cm Si, substrate contacts do not significantly influence the performance of the above-IC inductors; however, a floating substrate consistently , mainly due to slightly lower resulted in a slightly higher parasitic capacitances to ground. This was also observed when the substrate contact was only present at one port, as can be seen in Fig. 5. D. Influence of a Patterned Polysilicon Ground Shield As shown in [23], patterned ground shields may be used to increase the performance of BEOL inductors by decreasing the substrate induced losses. The influence of a ground shield on the performance of post-processed passives has, however, not been demonstrated. In this work, a patterned polysilicon ground ). shield has been used (sheet resistance 8 The obtained performance is shown in Fig. 8: at low frequencies, the presence of the shield slightly degrades the factor due to the presence of eddy currents in the shield; however, by approximately 10%. This effect the shield increases becomes even more pronounced at higher frequencies, e.g., at 7 GHz, the factor increases by as much as 90% for the realization in flow-2. This difference becomes even more pro[24],[25] is computed (as will be discussed nounced when in Section IV). As opposed to inductors integrated in the BEOL, adding the ground shields only results in a limited reduction of the resonance frequency. This is due to the presence of a thick BCB-1 layer. Fig. 9 gives the extracted intrinsic inductance for inductor L4 with and without a ground shield. For both realizations, the

E. BEOL Underpass Versus WLP-M2 Overpass The inner part of the spiral may be connected to the outer part using a WLP-M2 overpass or an underpass realized in the BEOL metallizations (Fig. 4). The latter option allows to reduce the number of post-processing steps (as WLP-M2 may be omitted), and hereby reduces costs. The penalty is a decreased performance of the inductors [17] due to the presence of a more lossy BEOL underpass and an increased parasitic capacitance to the substrate. IV. COMPARISON OF POST-PROCESSED INDUCTORS WITH BEOL INTEGRATED INDUCTORS To compare the performance of post-processed inductors with inductors integrated in the 5LM Cu–oxide BEOL, identical layouts have been realized in the BEOL and WLP layers. The use of WLP-integrated inductors significantly improves the inductor performance (Fig. 10): realizing spiral L4 in BEOL , using M5 with 20- m-wide M4 underpass has WLP-M1 (flow-1) with 20- m-wide M5 underpass in parallel with a 30- m-wide WLP-M2 overpass increases to 21. A flow-2 version with WLP-M2 overpass and M5 underpass has a of 28 with a resonance frequency of 15 GHz, a 50% increase as compared to the BEOL realization. As previously mentioned, patterned ground shields may be used to improve the performance of on-chip inductors. The performance for inductor L5, realized in the BEOL or WLP layers, is shown in Fig. 11. When the inductor is realized by shunting with GHz. Combining the M4 and M5, same polysilicon ground shield with the WLP BCB–Cu-layers significantly increases the performance: a flow-2 realization of the same inductor layout has (a 215% increase, as

1248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Q

Fig. 10. Measured factor of a 2.3-nH inductor (L4) realized in M5 with M4 underpass (--), flow-1 with M5 underpass (-4-) and added WLP-M2 overpass (- -), flow-2 with WLP-M2 overpass and M5 underpass (- -).

Q

Fig. 12. Extracted for a 2.3-nH inductor (L4) realized in (--) M5 without shield, (- -) M4/M5 with polysilicon ground shield, (--) WLP flow-2 without shield, and (-4-) WLP flow-2 with polysilicon ground shield.

Q

Fig. 13. Measured (-) versus simulated (Ansoft HFSS) factor for a 2.3-nH inductor (L4) in flow-1 without (--) and with a ground shield (-4-).

Q

Fig. 11. Measured factor of a 2.3-nH inductor (L5) realized in M4/M5 with M3 underpass (--), flow-1 (- -) and flow-2 (--). A patterned polysilicon ground shield is present underneath the inductors.

compared to the BEOL version) with GHz (a 135% increase, as compared to the BEOL realization). The above clearly illustrates that spiral inductors integrated using WLP techniques have a significantly better performance as compared to inductors integrated in the Cu–oxide BEOL. The operating frequency range of the inductors is also significantly increased. The high performance that may be obtained by combining post-processed inductors with patterned ground shields be[24],[25] is considered comes even more apparent when (Fig. 12): by placing an ideal (lossless) capacitor in parallel can be calculated from with the inductor, the factor the 3-dB bandwidth of the corresponding LC resonator. Hence, gives the best-case resonator factor (e.g., for use as an LC tank in a voltage-controlled oscillator (VCO) or bandpass filter) that may be obtained on-chip using the given inductor. , whereas Realizing spiral L4 in BEOL M5 has a flow-2 realization has . Adding the shields significantly increases the performance. Realizing spiral L5 in M4/M5 (but only near the resonance frequency). results in The best result is obtained by combining the shield with posttops 30 above processed passives: for a flow-2 realization, 2.1 GHz with a maximum of 37.5, a 115% increase, as compared

Q

Fig. 14. Measured (-) versus simulated (Ansoft HFSS) factor for a 2.3-nH inductor (L4) in flow-2 without (--) and with a ground shield (-4-).

to the BEOL realization. Note that the operating frequency also increases. V. ABOVE-IC INDUCTOR PERFORMANCE PREDICTION The post-processed inductor performance may be accurately predicted using 3-D simulations (Ansoft HFSS was used). The obtained agreement between measured and simulated factors for a 2.3-nH inductor with and without patterned ground shield, realized in flow-1 and flow-2, is given in Figs. 13 and 14. The obtained agreement between the measured and simulated -parameters and intrinsic inductance is given in Figs. 15 and 16, respectively. A good agreement may be observed.

CARCHON et al.: WLP TECHNOLOGY FOR HIGH-

ON-CHIP INDUCTORS AND TRANSMISSION LINES

1249

TABLE IV BCB

AND METAL THICKNESSES USED FOR THE REALIZATION OF THE ABOVE-IC TRANSMISSION LINES. THE LAYER LOCATION IS ILLUSTRATED IN FIG. 17

Fig. 15. Measured (-) versus simulated () S -parameters for a 2.3-nH inductor without a ground shield (L4) and with a ground shield (L5) realized in flow-2.

Fig. 18. Measured and simulated (Ansoft HFSS) losses for CPW-1 (--), CPW-2 (- -), and TFML-1 (-4-) on 20- 1 cm Si. Technology cross section and layout parameters are given in Fig. 17 and Table IV.

Fig. 16. Intrinsic inductance as a function of frequency for a 2.3-nH inductor realized in flow-2, extracted from (-) measurements and simulations (-4-) with and (--) without a patterned ground shield.

lower than reported in [27]–[29]. A good agreement between measurements and simulations has also been obtained. VII. CONCLUSIONS

Fig. 17. Schematic cross section of the realized: (left) CPW and (right) TFML layer build-up: W is the width of the signal line, S is the distance between the strip and ground (CPW).

To keep the simulation time manageable, the shield dimensions were increased tenfold to a width of 10 m and a slot of 5 m. VI. POST-PROCESSED TRANSMISSION LINES The WLP layers may also be used for the realization of high-frequency low-loss transmission lines. Coplanar waveguide (CPW), as well as thin-film microstrip lines (TFMLs) may be realized (schematic cross sections given in Fig. 17). The realized layer thicknesses are given in Table IV. The groundplane of the TFML lines (WLP-M0) consists of 2- m Al. The line losses have been extracted using [26]. The excellent performance of the above-IC transmission lines is illustrated in Fig. 18 for the 50- CPW and TFML. Losses as low as 0.2 dB/mm@ 25 GHz have been measured for CPW lines, whereas 40- m-wide TFMLs have losses of approximately 0.12 dB/mm @ 25 GHz. This is considerably

It has been shown that WLP techniques enable the realization of high-quality inductors and transmission lines on standard Si substrates. Due to the availability of thick Cu and low-K dielectrics, the performance increases considerably when compared to inductors integrated into the BEOL. This will allow the lowering of the noise figure of low-noise amplifiers and the reduction of the phase noise and power consumption of VCOs. The operating frequency range also increases, as compared to inductors integrated in the BEOL, hereby making highquality inductors and transmission lines available well into the microwave frequency range. The performance of the above-IC integrated passives have been demonstrated by integrating state-of-the-art high- inductors on top of a 5LM Cu damascene BEOL silicon process using 20- cm Si wafers. The inductors are realized above the passivation using thick post-processed BCB and Cu. For a BCB–Cu thickness of 16 m 10 m, a peak factor of 38 at 4.7 GHz has been obtained for a 1-nH inductor with a resonance frequency of 28 GHz; the factor further tops 30 over the 2.1–8.6 –GHz frequency range. The absence of substrate contacts or the use of a WLP integrated overpass allows to improve the performance of the passives; however, a major improvement may be obtained by combining patterned ground shields with post-processed passives. This becomes especially apparent is considered: a 115% increase in and a 192% when have been obtained for a 2.3-nH post-processed increase in inductor with shields, as compared to the M4/M5 BEOL realization.

1250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Next to inductors, high-quality on-chip transmission lines have been realized in the WLP layers with measured 50- CPW line losses below 0.2 dB/mm@25 GHz and measured 50TFML losses below 0.12 dB/mm@25 GHz on 20- cm Si. A good agreement between measured and 3-D simulated performance for inductors and transmission lines has also been demonstrated.

[14] H. Jiang, Y. Wang, J.-L. A. Yeh, and N. C. Tien, “On-chip spiral inductors suspended over deep copper-lined cavities,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 2415–2423, Dec. 2000. [15] J. M. Lopez-Villegas, J. Samtier, C. Cané, P. Losantos, and J. Bausells, “Improvement of the quality factor of RF integrated inductors by layout optimization,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 76–83, Jan. 2000. [16] J.-B. Yoon, C.-H. Han, E. Yoon, and C.-K. Kim, “High-performance three-dimensional on-chip inductors fabricated by novel micromachining technology for RF MMIC,” in IEEE MTT-S Int. Microwave Symp. Dig., Anaheim, CA, June 13–19, 1999, pp. 1523–1526. [17] G. Carchon, S. Jenei, L. Carbonell, M. Van Hove, S. Decoutere, W. De Raedt, K. Maex, and E. Beyne, “High- RF inductors on standard silicon realized using wafer-level packaging techniques,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 8–13, 2003, pp. 1287–1290. [18] G. Carchon, S. Brebels, O. Vendier, and W. De Raedt, “Multi-layer thin-film MCM-D for the realization of - and -band functions,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 8–13, 2003, pp. 1151–1154. [19] J. W. M. Rogers, V. Levenets, C. A. Pawlowicz, N. G. Tarr, T. J. Smy, and C. Plett, “Post-processed CU inductors with application to a completely integrated 2 GHz VCO,” IEEE Trans. Electron Devices, vol. 48, pp. 1284–1287, June 2001. [20] X. Huo, K. J. Chen, and P. C. H. Chan, “Silicon-based high- inductors incorporating electroplated copper and low-K BCB dielectric,” IEEE Electron Device Lett., vol. 23, pp. 520–522, Sept. 2002. [21] G. Carchon, W. De Raedt, and E. Beyne, “High- inductors on low resistivity silicon through wafer post-processing,” in IEEE Int. Microelectronics and Packaging Soc. Conf., Denver, CO, Sept. 4–6, 2002, pp. 604–609. [22] J. N. Burghartz, A. E. Ruehli, K. A. Jenkins, M. Soyuer, and D. NguyenNgoc, “Novel substrate contact structure for high- silicon-integrated spiral inductors,” in Int. Electron Devices Meeting, Washington, DC, Dec. 7–10, 1997, pp. 55–58. [23] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF ICs,” IEEE J. Solid-State Circuits, vol. 33, pp. 743–752, 1998. [24] T. Chen, K. Kim, and K. O, “Application of a new circuit design oriented extraction technique to inductors in silicon ICs,” in Int. Electron Devices Meeting, San Francisco, CA, Dec. 6–9, 1998, pp. 527–530. [25] K. O, “Estimation methods for quality factors of inductors fabricated in silicon integrated circuit process technologies,” IEEE J. Solid-State Circuits, vol. 33, pp. 1249–1252, Aug. 1998. [26] G. Carchon and B. Nauwelaers, “Accurate transmission line characterization on high and low-resistivity substrates,” in IEEE MTT-S Int. Microwave Symp. Dig., Phoenix, AZ, May 20–25, 2001, pp. 1539–1542. [27] H. Happy, G. Six, M. Vanmackelberg, A. Cappy, and G. Dambrine, “Ultra low loss transmission lines on low resistivity silicon substrate,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, June 11–16, 2000, pp. 1809–1812. [28] G. Six, M. Vanmackelberg, H. Happy, G. Dambrine, S. Boret, and D. Gloria, “Transmission lines on low resistivity silicon substrate for MMIC’s applications,” presented at the Eur. Microwave Conf., 2001. [29] F. Bouchriha, K. Grenier, D. Dubuc, P. Pons, R. Plana, and J. Graffeuil, “Minimization of passive circuits losses realized on low resistivity silicon using micro-machining techniques and thick polymer layers,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, June 8–13, 2003, pp. 959–962.

Q

ACKNOWLEDGMENT The authors acknowledge the support of S. Jenei, K. Vaesen, X. Sun, N. Van Hoovels, L. Carbonell, M. Van Hove, S. Decoutere, and K. Maex, all with the Interuniversity Microelectronics Centre (IMEC), Leuven, Belgium. REFERENCES

Q

induc[1] R. Groves, J. Malinowski, R. Volant, and D. Jadus, “High tors in a SiGe BiCMOS process utilizing a thick metal process add-on module,” in IEEE Bipolar/BiCMOS Circuits and Technology Meeting, Minneapolis, MN, Sept. 26–28, 1999, pp. 149–152. [2] G. Carchon and W. De Raedt, “Integrated inductors,” in Integrated Passive Component Technology, 1st ed, L. W. Schaper and R. K. Ulrich, Eds. Piscataway, NJ: IEEE Press, 2003, ch. 10, pp. 191–245. [3] G. Carchon, P. Pieters, K. Vaesen, W. De Raedt, B. Nauwelaers, and E. Beyne, “Multi-layer thin film MCM-D for the integration of high-performance wireless front-end systems,” Microwave J., vol. 44, pp. 96–110, 2001. [4] G. Carchon, K. Vaesen, S. Brebels, W. De Raedt, E. Beyne, and B. Nauwelaers, “Multilayer thin-film MCM-D for the integration of highperformance RF and microwave circuits,” IEEE Trans. Comp. Packag. Technol., vol. 24, pp. 510–519, Sept. 2001. [5] A. Sutono, D. Heo, Y. E. Chen, and J. Laskar, “High- LTCC-based passive library for wireless system-on-package (SOP) module development,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1715–1724, Oct. 2001. [6] J. van Beeck, M. van Delden, A. Jansman, A. Boogaard, A. Kemmeren, N. Pulsford, and A. den Dekker, “The integration of RF passives using thin-film technology on high-ohmic Si in combination with thick-film interconnect,” in Int. Microelectronics and Packaging Soc. Conf., Baltimore, MD, Oct. 9–11, 2001, pp. 467–470. [7] T. G. S. M. Rijks, J. T. M. van Beek, A. B. M. Jansman, M. K. Kammerer, and H. A. van Esch, “RF integrated passives in three dimensions,” in Int. Microelectronics and Packaging Soc. Conf., Baltimore, MD, Oct. 9–11, 2001, pp. 471–476. [8] R. C. Frye, “MCM-D implementation of passive RF components: Chip/package tradeoffs,” in IEEE Integrated Circuit/Package Design Integration Symp., Santa Cruz, CA, Feb. 2–3, 1998, pp. 100–104. [9] J. N. Burghartz, M. Soyuer, and K. A. Jenkins, “Microwave inductors and capacitors in standard multilevel interconnect silicon technology,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 100–104, Jan. 1996. [10] J. N. Burghartz, D. C. Edelstein, K. A. Jenkins, C. Jahnes, C. Uzoh, E. J. O’Sullivan, K. K. Chan, M. Soyuer, P. Roper, and S. Cordes, “Monolithic spiral inductors fabricated using a VLSI Cu–Damascene interconnect technology and low-loss substrates,” in Int. Electron Devices Meeting, San Francisco, CA, Dec. 8–11, 1996, pp. 99–102. [11] S. Jenei, S. Decoutere, G. Winderickx, H. Struyf, Z. Tokei, I. Vervoort, I. Vos, P. Jaenen, L. Carbonell, B. De Jaeger, R. A. Donaton, S. Vanhaeilemeersch, K. Maex, and B. Nauwelaers, “High add-on module in thick Cu/SILK single damascene,” in Int. Interconnect Technology Conf., Burlingame, CA, June 2–4, 2001, pp. 107–109. [12] D. Coolbaugh, E. Eshun, R. Groves, D. Harame, J. Johnson, M. Hammad, Z. He, V. Ramachandran, K. Stein, S. S. Onge, S. Subbanna, D. Wang, R. Volant, X. Wang, and K. Watson, “Advanced passive devices for enhanced integrated RF circuit performance,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, June 2–7, 2002, pp. 187–190. [13] A. Das, H. M. J. Mondal, D. Kaczman, C. Shurboff, and S. Cosentino, “Review of SiGe process technology and its impact on RFIC design,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, June 2–7, 2002, pp. 171–174.

Q

Q

Q

V

Q

Q

Q

Q

Geert J. Carchon (S’97–A’01–M’03) received the M.Sc. and Ph.D. degrees in electronic engineering from the Katholieke Universiteit Leuven, Leuven, Belgium, in 1996 and 2001, respectively. His doctoral dissertation was entitled “Measurement, Modeling and Design of Monolithic and Thin-Film Microwave Integrated Circuits.” Since 2001, he has been with the Microwave and RF Systems Group, Interuniversity MicroElectronics Centre (IMEC), Leuven, Belgium, where he currently heads the Microwave Design and Modeling Team. His research interests include RF and millimeter-wave thin-film integrated passives and modules, RF microelectromechanical systems (MEMS), RF MEMS packaging, and the integration of passive above-IC functions.

CARCHON et al.: WLP TECHNOLOGY FOR HIGH-

ON-CHIP INDUCTORS AND TRANSMISSION LINES

Walter De Raedt received the M.S. degree in electrical engineering from the Katholieke Universiteit Leuven, Leuven, Belgium, in 1981. He subsequently joined the Electronics, Systems, Automation, and Technology (ESAT) Laboratory, as a Research Assistant, where he was involved with direct write E-beam technology. Since 1984, he has been with the Interuniversity MicroElectronics Centre (IMEC), Leuven, Belgium, where he has been involved with research on MMICs and submicrometer technologies for advanced high electron-mobility transistor (HEMT) devices. In 1997, he joined the High Density Interconnect and Packaging Group, IMEC, where he was involved with integrated passives and interconnections for RF front-end systems. He currently heads the Microwave and RF Systems Group, IMEC.

1251

Eric Beyne (M’01) received the M.S. degree in electrical engineering and Ph.D. degree in applied sciences from the Katholieke Universiteit Leuven, Leuven, Belgium, in 1983 and 1990, respectively. From 1983 to 1985, he was a Research Assistant with the Department of Electrical Engineering, Katholieke Universiteit Leuven. In 1986, he joined the Interuniversity Microelectronics Centre (IMEC), Leuven, Belgium, as he worked toward the Ph.D. degree on the interconnection of high-frequency digital circuits. He is currently the Head of the High Density Integration and Packaging (HDIP) Group, IMEC, where he is responsible for projects on multichip modules (MCMs) and advanced packaging. Dr. Beyne is a member of the International Microelectronics and Packaging Society (IMAPS)-Benelux Committee.

1252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Planar Distributed Structures With Negative Refractive Index Atsushi Sanada, Member, IEEE, Christophe Caloz, Member, IEEE, and Tatsuo Itoh, Fellow, IEEE

Abstract—Planar distributed periodic structures of microstrip-line and stripline types, which support left-handed (LH) waves are presented and their negative refractive index (NRI) properties are shown theoretically, numerically, and experimentally. The supported LH wave is fully characterized based on the composite right/left-handed transmission-line theory and the dispersion characteristics, refractive indexes, and Bloch impedance are derived theoretically. In addition, formulas to extract equivalent-circuit parameters from full-wave simulation are given. Open (microstrip) and closed (stripline) structures with 5 mm2 unit cell operating at approximately 4 GHz are a 5 designed and characterized by full-wave finite-element-method 6 unit-cell NRI lens structure interfaced simulations. A 20 with two parallel-plate waveguides is designed. The focusing/refocusing effect of the lens is observed by both circuit theory and full-wave simulations. Focusing in the NRI lens is also observed experimentally in excellent agreement with circuit theory and numerical predictions. This result represents the first experimental demonstration of NRI property using a purely distributed planar structure. Index Terms—Left-handed (LH) materials, metamaterials, negative refractive indexes (NRIs).

I. INTRODUCTION

T

HE NEGATIVE refractive index (NRI) is a consequence of negative permittivity and negative permeability [1]. Significant research on NRI materials, also called left-handed (LH) materials, has been undertaken recently [2]–[17] following the first experimental evidence given in [2] with a material constituted of metal wires [18] and the split-ring resonators (SRRs) [19] providing negative permittivity and negative permeability, respectively. However, materials using such resonant elements are intrinsically very lossy and narrow-band. On the other hand, another nonresonant approach of realization of LH materials based on transmission-line (TL) theory has been proposed and found to lead lower loss and wider bandwidth structures compared with previous resonant-type LH materials [5]–[7]. The advantages of the TL approach have been emphasized in one-diManuscript received August 25, 2003. This work was supported by the Multiuniversity Research Initiative under the Scalable and Reconfigurable Electromagnetic Metamaterials and Devices Program, by the Department of Defense under Contract N00014-01-1-0803, and by the U.S. Office of Naval Research. A. Sanada is with the Department of Electrical and Electronic Engineering, Yamaguchi University, Yamaguchi 755-8611, Japan and also with the Adaptive Communications Research Laboratories, Advanced Telecommunication Research Institute International, Kyoto 619-0288, Japan (e-mail: [email protected]). C. Caloz and T. Itoh are with the Electrical Engineering Department, University of California at Los Angeles, Los Angeles, CA 90095 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825703

mensional (1-D) TL implementations with practical applications such as backward-to-forward leaky-wave antennas [8], [9], tight/dual-band couplers [10], and zeroth-order resonators [11] using microstrip lines or coplanar waveguides (CPWs). Two-dimensional (2-D) TL-based metamaterials have also been presented by extending 1-D LH TLs to 2-D TL circuit networks. A 2-D LH TL circuit using LC lumped-element components has been implemented [7] and its NRI focusing property [1], [12] has been shown experimentally by an NRI slab lens. A purely distributed 2-D NRI structure would be more desirable because it would provide flexibility in design and fabrication and scalability to any frequency. Such a distributed structure would also be closer to real material than circuit-type lumpedelement configuration. Thus far, only a few structures of the 2-D distributed LH TL have been proposed [13], [14] and demonstrated numerically, however, no experimental result showing NRI property in a 2-D distributed structure has been yet reported. In this paper, purely distributed 2-D planar LH periodic structures of microstrip line (open) and stripline (closed) types [13] are introduced and its NRI properties are shown theoretically, numerically, and experimentally. The proposed structures are essentially similar to the high-impedance surface structure [15], which was originally used as a reflector and was intended to operate in the Bragg or stopband region. The structures presented exhibit strongly enhanced left handedness due to series capacitance provided by extra metal caps in additional layer. Moreover, the reactance of the caps lowers the LH operation frequency, which is difficult to achieve with a single-layer structure. In the following sections, the dispersion characteristics, refractive index, and Bloch impedance of the structures are derived based on the composite right/left-handed (CRLH) TL theory [13], [16] and the NRI properties of the structures are characterized theoretically. Equations to extract equivalent-circuit parameters of the structures are also provided from the dispersion and Bloch impedance characteristics given by full-wave simulation. Planar distributed structures of open and closed types are designed and their NRI properties are characterized by full-wave finite-element-method (FEM) simulations. In addition, the equivalent-circuit parameters of the structures are extracted from full-wave simulation results. Finally, an NRI lens using the open structure interfaced with two parallel-plate waveguides (PPWs) is designed and fabricated. The focusing/refocusing effect is observed both by circuit and full-wave simulations. A focus in the fabricated NRI lens is also observed by near-field measurement and the results are compared with the theoretical and numerical prediction.

0018-9480/04$20.00 © 2004 IEEE

SANADA et al.: PLANAR DISTRIBUTED STRUCTURES WITH NRI

Fig. 1.

1253

Open (microstrip line) structure. (a) General view. (b) Unit cell.

Fig. 2. Open hexagonal structure. (a) General view. (b) Unit cell.

Fig. 3. Closed (stripline) structure. (a) General view. The top ground plane is drawn transparently for easy view. (b) Unit cell.

II. PLANAR LH DISTRIBUTED STRUCTURES The proposed planar LH distributed periodic structure of open type is shown in Fig. 1(a) and its unit cell is shown in Fig. 1(b). The unit cell consists of a top square metal patch with a via to the ground plane at the center. The top patch provides capacitive couplings with the adjacent patches and the via provides an inductance to the ground, which yields the LH nature. The metal square caps, which are 45 rotated and lie just under the top patches overlapping the adjacent top patches, form parallel-plate capacitors. The metal caps drastically enhance the capacitance to the adjacent cells and, consequently, the LH nature is enhanced. The operation frequency is also pushed down to lower frequencies, which is difficult to achieve with a singlelayer structure.

Although the mode guided by the structure is microscopically hybrid, it is essentially compatible with the TEM mode and can be excited easily by a microstrip line or PPW. The structure becomes isotropic in two dimensions when the guided wavelength is large compared with the unit cell size. Besides, isotropy can be further increased by extending the unit cell into a hexagonal unit cell, as shown in Fig. 2. On the other hand, one can intentionally make the structure anisotropic by using a rectangular unit cell or changing the cap shape to form different capacitance values in different directions [20]. The structure can also be implemented in a stripline configuration by combining two open structures mirrored with the symmetrical plane of the top patch plane, as shown in Fig. 3. The fundamental propagation characteristics of the closed structure are essentially the same as those of the open structure. However,

1254

Fig. 4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Equivalent circuit of the unit cell of the 2-D CRLH TL.

since this structure is closed by two ground planes, it supports a pure LH mode in the whole spectral domain, whereas the LH mode of the open structure couples with the RH surface wave . These mode in the long wavelength wave region where characteristics will be shown in Section III.

Fig. 5. Dispersion diagram of the structure. Solid line: balanced case (L = = 0:5 pF, L = 1:0 nH, C = 0:5 pF). Dashed line: unbalanced case (L = 0:6 nH, C = 1:0 pF, L = 1:0 nH, C = 0:5 pF). The 0 , X , and M represent the high symmetry points (k a = k a = 0), (k a = ; k a = 0), and (k a = k a = ), respectively in the spectral domain. 1:0 nH, C

III. THEORY A. Dispersion Relation The equivalent circuit of the unit cell of the proposed 2-D structures is shown in Fig. 4. The unit cell consists of a series capacitance and a shunt inductance (LH components), as well as a series inductance and a shunt capacitance (RH components), which is referred to as the CRLH TL [8], [16], [17]. The series capacitance and shunt inductance provide the LH nature at lower frequencies and the series inductance and shunt capacitance also provide the RH nature at higher frequencies. Here, we only deal , with a square isotropic unit cell ( , , , ) and a lossless case for simplicity. Expressing the circuit by a four-port -matrix and applying the Bloch–Floquet periodic boundary condition, we have the dispersion relation by solving the eigenvalue problem [17], [21] as

and where

(7) and

(1) and (2) where and are the wavenumbers in the - and -direcand are the series impedance and shunt tions and admittance of the branches. Equation (1) applies to any 2-D peand . In the particriodic structure with immittances ular case of the CRLH unit cell of Fig. 4, the immittances are given by

(6)

,

, and represent the high symmetry points , , and , respectively, in the spectral domain. Typical dispersion relations calpoint culated from (4)–(6) is shown in Fig. 5. The , , and , , and angular frequencies ( , and ) are derived from (4)–(6) as (8)

(9)

and The wavenumbers obtained from (1) as

(3) along the Brillouin zone [22] are (10)

(4)

(5)

It can be observed in Fig. 5 that the structure supports a fundamental backward wave (LH mode) in the frequency range to since this mode exhibits opposite signs of from and slope , i.e., antiparallel phase and group velocities . On the other hand, in the frequency

SANADA et al.: PLANAR DISTRIBUTED STRUCTURES WITH NRI

1255

range from to , we have the forward wave (RH mode ). Below with parallel group and phase velocities; and above , there exist stopbands due to the LH (high pass) and RH (low pass) natures of the CRLH unit cell, respectively to , becomes imag[8]. In the frequency range from inary and, therefore, we have a bandgap. In the particular case where the inductance and capacitance ratios of the LH and RH components of the unit cell are identical, i.e., (11) and degenerate and the bandgap vanishes. In this case, the resonant circuits in the series and shunt . We will branches have an identical resonant frequency of call a CRLH TL satisfying (11) a balanced CRLH TL [17]. The group velocity in the path is given from (2) and (4) as (12)

where , , , and in henrys per meter, farads per meter, henrys times meter, and farads per meter, respectively. It can be shown that, in the takes the nonzero value balanced case, at the point (13) whereas ’s at and points always become zero when (11) does not hold (unbalanced case). This means that, under (11), we have a energy transmission at the transition frequency from the LH to RH modes. In the balanced case, when the RH components values and are reduced, the group velocity at the point becomes large according to (13). However, in reality, the RH components values cannot be reduced smaller than the natural parasitic series inductance and shunt capacitance. Since the product of the parnever becomes smaller than asitic RH component values and the group velocity at the point is always smaller according to (12). than half the velocity of light

Fig. 6. NRI of the structure computed from Fig. 5. Balanced case (L = 1:0 nH, C = 0:5 pF, L = 1:0 nH, C = 0:5 pF). Unbalanced case (L = 0:6 nH, C = 1:0 pF, L = 1:0 nH, C = 0:5 pF).

The sign of is to be taken as negative in the LH frequency to ) and positive in the RH range (from range (from to ). Refractive indexes calculated from the dispersion diagram of Fig. 5 is shown in Fig. 6. Here, the refractive and directions indexes of the LH mode in the are shown. The absolute value of the refractive indexes (electromagnetic (EM) densities) decreases with increasing frequency and becomes smaller than one in the fast wave region where the phase velocity of the LH mode becomes larger than that of air , i.e., ). As frequency increases, decreases, ( as shown in Fig. 5), the refractive indexes along both paths become closer and, therefore, the structure is seen as an isotropic medium by EM waves. C. Bloch Impedance The Bloch impedance [23] is an characteristic impedance defined to the voltage and current waves at the input reference terminals of a unit cell and can be directly calculated from the ratio and current in the equivalent circuit of of the node voltage Fig. 4. The Bloch impedance along one direction ( or ) can be obtained by considering the Bloch–Floquet periodic boundary condition with open circuits at the ports in the other direction as

B. Refractive Index (16)

The refractive index of the structures is obtained from the dispersion relation of (2), (4), and (6) as (14)

where (17)

(15)

Note that the ambiguity in determining and can be resolved by considering the Bloch impedance: , whereas from (16), the Bloch impedance becomes zero for . Therefore, if the Bloch impedance it becomes infinite for

1256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

, The four circuit parameters , , and to the frequencies ,

,

, and by (7) as

are related

(24) Fig. 7. Integration area S and paths C and C (open structure) for the power, current, and voltage calculation. The top patch is drawn transparently. S is a half-infinite area at the port and C is from the ground plane to the center edge are the unit of the cap. C is on the ground plane in the port. n and n vectors normal to the ground plane and port plane, respectively.

Note that only three quantities of (24) are independent since . With an additional relation we have a relation of (17), i.e., (25)

is zero at one of the point frequencies ( or ), this is and vise-versa. The Bloch impedance can be calculated by three different manners from combinations of the voltage , current , and power at each port as

(18)

, , , and from , , we can determine , and . , and can be calculated with the The frequencies , , , and point angular frequencies, , , and directly obtained by solving the eigenvalue problem for the structure using full-wave analysis. From the dispersion relation of (4) and satisfy and (5), (26)

(19) (20)

(27)

These , , and values can be calculated with the help of Fig. 7 from the field in the structure

By solving (26) and (27) simultaneously after eliminating by using the relation , we have (28)–(30), is shown at the bottom of the following page. In addition, given from the Bloch impedance of (16) as follows:

(21)

(31)

(22) (23)

where is the cross section of the port, and are the integration paths for the current and voltage shown in Fig. 7, reand are the unit vectors normal to the spectively, and port and ground plane, respectively. corresponds to the total current on the ground plane across the input port, and corresponds to the voltage at the center of the cap from the ground in the reference plane of the port.

Here, is assumed, which will be shown in later simulation to be the case of our structure. Therefore, all the circuit , and are given from (24) and (25) as parameters , ,

(32)

D. Circuit Parameters Extraction In the foregoing sections, we have characterized the dispersion relation and Bloch impedance as a function of the circuit , , and . By taking the inverse of these parameters , , , and from the disrelations, we can determine , persion relation and Bloch impedance, which can be obtained directly from full-wave simulations for real structures.

IV. SIMULATIONS A. Unit Cell Unit cells for the open and closed structures shown in Fig. 8 are designed. The period of the unit cell is mm mm)

SANADA et al.: PLANAR DISTRIBUTED STRUCTURES WITH NRI

Fig. 8. Unit cell of the structure. The period of the unit cell is 5.0 mm. (a) Dimensions of the top and cap (unit: millimeters). 5.0 mm (b) Side view of the open structure. (c) Side view of the closed structure. The design parameters are h = 0:127 mm and h = 1:697 mm. The diameter of the via is 0.2 mm.

2

1257

Fig. 9. Dispersion diagrams of the structures of Fig. 8 obtained by full-wave simulation. (a) Closed structure. (b) Open structure. Dashed lines are for the open structure without caps.

B. Dispersion Characteristics and Refractive Index and the dimensions of the top patch and cap are shown in this figure. The top patches and caps are on different layers of the substrate. The thicknesses of the upper and lower substrates are mm and mm, respectively. The relative permittivity of the substrates is chosen as 2.2. The diameter of the via is 0.2 mm and the length is, consequently, mm. If the thickness of the substrate is increased, the parasitic shunt capacitance becomes smaller becomes large. The closed structure and shunt inductance unit cell is composed of two mirrored open structure unit cells of exactly the same dimension, as shown in Fig. 8, with the symmetrical plane of the top patch surface.

The dispersion diagram for the unit cell of Fig. 8 is calculated by full-wave FEM simulations using commercial software (Ansoft HFSS). The simulated dispersion diagrams for the open and closed structures are shown in Fig. 9(a) and (b), respectively, and the field distributions of each mode are shown in Fig. 10. For the closed structure, as shown in Fig. 9(a), a pure LH mode is observed as the fundamental mode, as is in the circuit theory. The next higher mode appearing just above the LH mode is a degenerated TE mode and does not correspond to the RH mode that appears in the circuit model. It can be seen from the field distributions that, for the TE mode, there is almost no magnetic field circulating around the via, showing that there is almost no

(28) (29)

(30)

1258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 11. Refractive indexes of the open and closed structures calculated from X path. Dashed line: the dispersion characteristics of Fig. 9. Solid line: 0 M 0 path.

0

0

Fig. 10. Field distributions of the closed structure on the ground plane (k a = =20, k = 0). The lower half of the symmetric structure is depicted. (a) Dominant LH mode (f = 5:286 GHz). (b) TE mode originally degenerated with the dominant LH mode at the 0 point (f = 5:206 GHz). (c) RH mode (f = 10:012 GHz).

current flow along the via. The next higher mode corresponds to the RH mode appearing in the circuit model. The open structure has essentially the same characteristics and supports a fundamental LH mode, as shown in Fig. 9(b). However, when is , the LH mode couples close to that in the vacuum with the air mode. If the capacitance enhancement caps are removed as in the high-impedance surface of [15], the LH frequency band becomes approximately twice as high in frequency, as shown in is enhanced Fig. 9(b), which suggests that the capacitance by a factor of four in the proposed structure. The refractive indexes of the open and closed structures calculated from the dispersion diagram of Fig. 9 are shown in Fig. 11. Both structures exhibit an NRI. For the closed structure, the refractive index takes a value larger than 1 when GHz (corresponding to in Fig. 9), as shown in (14). On the other hand, for the open structure, the refractive index is always smaller than 1 due to the coupling with the surface-wave mode. The difference between the refractive inand directions is smaller than 7.5% dexes in the when , which shows that the structure is essentially isotropic.

C. Bloch Impedance and Circuit Parameters The Bloch impedances of the open and closed structures are calculated from (18)–(20) with the port power, current, and

Fig. 12. Bloch impedance and Z values in the spectral domain calculated from full-wave simulation. Solid line: those from power and current. Dashed line: those from voltage and current. Dotted line: those from power and voltage.

voltage of the structures computed by computing the integrals of (21)–(23) obtained by the full-wave simulation. The calculated Bloch impedance of the closed structure is , , and shown in Fig. 12. The three impedances agree well with each other. The impedance calculated from the Bloch impedance is also shown in Fig. 12. The impedance takes an almost constant value, as expected, . The Bloch impedance and the average value is , showing that this corresponds to the becomes zero at the , as series resonance of the unit cell component, i.e., described in Section III-C. The equivalent parameters , , , and are calculated according to (18)–(23). In Fig. 9, GHz, GHz, one can find GHz. From these values, we obtain from and (21) and (22) GHz and GHz. Consequently, all the circuit parameters can be calculated from nH, pF, nH, and (23) as pF.

SANADA et al.: PLANAR DISTRIBUTED STRUCTURES WITH NRI

1259

Fig. 15.

Fig. 13. Dispersion diagrams for the open and closed structures. Solid line: theoretical values from (4)–(6). Dashed line: full-wave simulation.

Passage of rays through an LH medium.

pF from (29). The dispersion characteristics calculated from these parameter values are also plotted in Fig. 13, which agree well with the full-wave simulation results. V. PLANAR DISTRIBUTED NRI LENS In order to demonstrate the NRI property of the proposed structure, an NRI lens [1], [12] constituted by an LH structure sandwiched between two PPWs is designed. A. Operation Principle At the interface between the LH and RH media, as shown in is refracted in the angle Fig. 15, a ray with incident angle obeying Snell’s law (33)

Fig. 14. Bloch impedance and Z values for the open structure. Solid line: those from power and current. Dashed line: those from voltage and current. Dotted line: those from power and voltage.

The dispersion diagram calculated from (4)–(6) with these component values are shown in Fig. 13. The dispersion characteristics agree well with the full-wave simulation results for the LH mode. For the open structure, the Bloch impedance and circuit parameters are also calculated with the same manner. The point frequency cannot be directly obtained from the dispersion curve because of the couplings of the LH/RH modes with the surface-wave modes. Even in this case, one can determine the frepath quency by extrapolating the dispersion curve of the calculated from the Bloch considering the restriction that impedance has to be constant. For instance, the Bloch impedpoint frequencies are plotted in Fig. 14. ances for several The other and point parameters are fixed to GHz and GHz from the dispersion point characteristics in Fig. 9. As seen in this figure, the becomes constant in the spectral dofrequency for which main is GHz. From this results, we have GHz and GHz, therefore, nH, pF, nH, and

and are where the refractive indexes of the LH and RH media, respectively. from a point source in the RH Therefore, two rays with medium meet in the LH medium at the distance (34) where is the distance of the source from the interface in the RH medium. In the condition where (35) holds, becomes and, therefore, becomes independent of the incident angle, therefore, a point source focuses in the LH .A medium without aberration at the same distance as second focus also appears at a distance of (36) from (36) from the second interface, where medium.

is the thickness of the LH

B. NRI Lens Design The NRI slab lens of an array of the open LH structure of Fig. 8 is shown in Fig. 16. Two PPWs on both sides of the LH slab are used as the RH structure. The extracted equivanH, pF, lent-circuit parameters are nH, and pF, as shown in Section IV-C.

1260

Fig. 16.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Planar distributed NRI lens.

Fig. 18. Voltage distributions of the NRI lens circuit model of Fig. 17 in a perfectly matched case. (f = 3:737 GHz). (a) Normalized magnitude. (b) Phase.

Fig. 17. Circuits for the NRI lens analysis. (a) CRLH unit cell. (b) PPW unit cell. (c) NRI lens circuit.

The operation frequency is chosen as GHz, which will be used in circuit and full-wave simulations and also in experiments. At this frequency, the refractive index and Bloch impedance of the structure calculated from the circuit parameand , respectively. In order ters are to match the PPW to the LH structure, the PPW has to have the same impedance per port and the refractive index with negative . sign At the interfaces, the series capaciinstead tance of the LH unit cell has to have of at the interface. Therefore, in the full-wave simulation and experiments, the extended cap structure with 2.5-mm extension, shown in Fig. 16, is used at the interface of the LH and RH structures to increase the capacitance value and improve the matching. Due to this extended cap penetrating the interface of the LH and RH structures, it is not convenient to fabricate the LH and RH structures individually in different substrates and interface them afterward. Therefore, in the experiments that will be shown later, the upper layers of the

LH and RH portions is fabricated on a single substrate with caps on the lower side and top patches and upper conductors of the PPWs on the other side, and then, the lower substrates of the LH and PPW portions are laminated afterward. Consequently, in order to match the LH and RH structures, only the permittivity and thickness of the lower substrates of the PPWs are changed for fabrication convenience. C. Simulations The focusing/refocusing phenomenon is observed by circuit simulation with the extracted circuit parameters. A 20 6 LH unit-cell array circuit is interfaced with two arrays of RH unit cells of the same size (20 6), as shown in Fig. 17. The circuit parameters for the RH unit cell are determined as nH and pF to match and of the LH lens mm is a period of the unit cell). A voltage source is ( connected to the nodes in the middle of one of the RH circuits (three cells distant from the first interface; the tenth and eleventh nodes in the -direction and the third and fourth nodes in the -direction). All the unit cells at the edges are terminated by . Fig. 18(a) and (b) shows the magnitude and phase distributions of the voltages at the nodes in the circuit,

SANADA et al.: PLANAR DISTRIBUTED STRUCTURES WITH NRI

Fig. 19. Voltage distributions of the NRI lens circuit model of Fig. 17 using the PPW circuit parameters corresponding to the full-wave simulation (f = 3:737 GHz). (a) Normalized magnitude. (b) Phase.

respectively. Voltage concentrations are clearly observed in the LH circuit and also in the RH circuit at approximately the position of three cells distant from both the interfaces, as given in (31) and (33), showing the NRI characteristics of the LH circuit. In addition, from the phase distribution, the wavefront facing the focus and refocus is seen in both the LH and second RH circuits. A slight aberration is observed there, which is considered due to the anisotropy of the structure; different refractive indexes in different directions, as seen in Fig. 11. In addition to the focus/refocus, field concentrations along the interfaces are observed corresponding to a plasmonic surface wave at the interface of LH and RH media [24], [25], which is not discussed in this paper. Fig. 19 also shows the magnitude and phase distributions of the LH lens interfaced with two mismatched PPWs, which will be the case shown in the next full-wave simulation. nH and In this case, the parameters of pF are chosen, therefore, the refractive index and port and the impedance are characteristic impedance per each port is . It can be seen from this figure that the focusing/refocusing effect can also be observed in spite of the mismatched condition. Full-wave simulations are also carried out for the entire structure of the NRI lens, as shown in Fig. 16. Here, a half region

1261

Fig. 20. Full-wave simulated electric-field distributions of the planar distributed NRI lens (f = 3:737 GHz). A vertical current line source is used to excite the PPW. (a) Normalized magnitude. (b) Phase.

of a 19-cell-wide NRI lens structures is computed with a perfect magnetic conductor (PMC) boundary condition at the symmetrical plane to reduce computation time. Two two-layered PPWs are used as the RH structures to be interfaced to the NRI lens considering fabrication convenience. The thicknesses mm and permittivity of the upper substrate are , respectively, and those of the lower substrate and mm and , respectively. The efare fective dielectric constant of the PPW is and, therefore, the refractive index . The characteristic impedance is , therefore, the impedance per of the PPW is each port is ( ; is the number of cells). Radiation boundary conditions are applied along the - and -directions of the structure, and the perfectly matched layer (PML) boundary condition is applied at the top end of the structure. A vertical current line source is applied at the position 15.0 mm away from the interface to excite the PPW mode. Fig. 20 shows the magnitude and phase of the simulated elecGHz. tric field on the ground plane at the frequency Field concentrations in the LH structure and the PPW are observed at the predicted locations. In addition, the phase distribution shows the phase development for focusing/refocusing, as seen by the circuit simulations. The field distribution in the

1262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

vertical coaxial probe on an automated moving stage to pickup the voltage at the capacitor. The magnitude and phase of the GHz are measured electric field at the frequency shown in Fig. 22(a) and (b), respectively. The field concentration in the middle of the NRI lens is observed as shown in Fig. 22(a). In addition, the wavefront facing to the focus is also seen in Fig. 22(b). The focusing effect that is in excellent agreement with circuit theory and the full-wave simulation is observed, which confirms the NRI of the structure. VI. CONCLUSION Fig. 21. Prototype of the NRI lens (20 section.

Fig. 22.

2 3 cells). (a) Top View. (b) Cross

Measured electric-field distribution on the LH structure.

In this paper, planar distributed structures of open and closed types with enhanced series capacitances have been introduced and their NRI property has been shown. The theoretical characterization of the planar structure has been carried out based on the circuit theory and the dispersion characteristics, refractive index, and Bloch impedance have been theoretically obtained. The equations to extract the equivalent-circuit parame, and from the dispersion characteristics and ters , , Bloch impedance obtained by full-wave simulation have been provided. 5 5 mm unit cells of open and closed types have been designed and their NRI properties have been characterized by full-wave simulations. By using the open-type planar structure, an NRI slab lens has been designed and its focusing and refocusing phenomena have been confirmed by circuit theory, full-wave simulation, and experiments. The focusing has been clearly observed by the designed slab lens and the NRI property of the structure has been confirmed. This is the first experimental result of the NRI property realized by a passive planar distributed structure.

(f = 3:737 GHz). A vertical electric probe is used for the measurement.

(a) Magnitude. (b) Phase.

entire region is in good agreement with the circuit simulation results in Fig. 19. D. Experiments The NRI lens of Fig. 16 (20 6 unit cells) is fabricated in a two-layer substrate. The prototype is shown in Fig. 21. The top patches and upper conductors of the PPWs are patterned on one side of a single upper substrate with thickness of mm and permittivity of . The caps are patterned on the other side of the upper substrate. The lower substrates are laminated individually in the LH lens and PPW portions. The thickness and permittivity of the lower substrate of the LH mm and , respeclens portion are tively, and those of the PPW regions are mm , respectively. Accordingly, the refractive and index and characteristic impedance per port of the PPWs are and ( mm is the period of the unit cell and mm is the width of the PPW), respectively. The PPW is excited by a coaxial cable through a hole in the ground plane located 15.0 mm distant from the interface. The total size of the structure is 140 140 mm . The electric-field distribution 1.0 mm above the NRI lens structure along the gap of the top patches is measured by using a

REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, Jan.–Feb. 1968. [2] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [3] N. Engheta, “Metamaterials with negative permittivity and permeability: background, salient features, and new trends,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2003, pp. 187–190. [4] R. W. Ziolkowski and C. Y. Cheng, “Tailoring double negative metamaterials responses to achieve anomalous propagation effects along microstrip transmission lines,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2003, pp. 203–206. [5] C. Caloz and T. Itoh, “Application of the transmission line theory of lefthanded (LH) materials to the realization of a microstrip LH transmission line,” in IEEE AP-S Int. Symp. Dig., vol. 2, June 2002, pp. 412–415. [6] A. A. Oliner, “A periodic-structure negative-refractive-index medium without resonant elements,” in IEEE AP-S/URSI Int. Symp. Dig., June 2002, p. 41. [7] A. K. Iyer and G. V. Eleftheriades, “Negative refractive index media using periodically L–C loaded transmission lines,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2002, pp. 1067–1070. [8] C. Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of meta-materials,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2003, pp. 195–198. [9] A. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index material,” J. Appl. Phys., vol. 92, no. 10, pp. 5930–5935, Nov. 2002. [10] C. Caloz, A. Sanada, L. Liu, and T. Itoh, “A broadband left-handed (LH) coupled-line backward coupler with arbitrary coupling level,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2003, pp. 317–320.

SANADA et al.: PLANAR DISTRIBUTED STRUCTURES WITH NRI

[11] A. Sanada, C. Caloz, and T. Itoh, “Novel zeroth-order resonance in composite right/left-handed transmission line resonator,” in Proc. Asia–Pacific Microwave Conf., Nov. 2003, pp. 1588–1591. [12] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966–3969, Oct. 2000. [13] A. Sanada, C. Caloz, and T. Itoh, “2D distributed meta-structures with negative refractive properties,” in IEEE AP-S Int. Symp. Dig., vol. 1, June 2003, p. 4.10. [14] A. Gbric and G. V. Eleftheriades, “Dispersion analysis of a microstripbased negative refractive index periodic structure,” IEEE Microwave Wireless Comp. Lett., vol. 13, pp. 155–157, Apr. 2003. [15] D. Sievenpiper, L. Zhang, R. F. J. Broas, N. G. Alexópolous, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 2059–2074, Nov. 1999. [16] C. Caloz and T. Itoh, “Transmission line approach of left-handed (LH) materials and microstrip implementation of an artificial LH transmission line,” IEEE Trans. Antennas Propagat., to be published. [17] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microwave Wireless Comp. Lett., vol. 14, pp. 68–70, Feb. 2004. [18] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Low frequency plasmons in thin-wire structures,” J. Phys. Condens. Matter, vol. 10, pp. 4785–4809, Mar. 1998. , “Magnetism from conductors and enhanced nonlinear phe[19] nomena,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 2075–2084, Nov. 1999. [20] C. Caloz, I. Lin, and T. Itoh, “Orthogonal anisotropy in 2D PBG structures and metamaterials,” in IEEE AP-S Int. Symp. Dig., vol. 1, June 2003, p. 199.11. [21] R. E. Collin, Field Theory of Guided Waves Second Edition. New York: IEEE Press, 1991. [22] C. Kittel, Introduction to Solid State Physics, 7th ed. New York: Wiley, 1995. [23] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992, pp. 550–557. [24] C. Caloz, A. Sanada, and T. Itoh, “Surface plasmons at the interface between right-handed and left-handed 2D metamaterials,” in IEEE AP-S Int. Symp. Dig., vol. 3, Columbus, OH, June 2003, pp. 363–366. [25] D. R. Smith, D. Shurig, M. Rosenbluth, S. Shultz, S. A. Ramakrishna, and J. B. Bendry, “Limitation on subdiffraction imaging with a negative refractive index slab,” Appl. Phys. Lett., vol. 82, no. 10, pp. 1506–1507, Mar. 2003.

Atsushi Sanada (S’93–M’95) received the B.E., M.E., and D.Eng. degrees in electrical engineering from Okayama University, Okayama, Japan, in 1989, 1991, and 1994, respectively. In 1994, he joined the Faculty of Computer Science and System Engineering, Okayama Prefectural University, as a Research Associate. In 1999, he joined the Faculty of Engineering, Yamaguchi University, Ube, Yamaguchi, Japan, where he is currently a Research Associate. From December 1994 to March 1995 and from September 2002 to August 2003, he was a Visiting Research Associate with the University of California at Los Angeles (UCLA). His research is concerned with microwave metamaterials, high-T , superconducting microwave devices, magnetostatic wave devices, holographic radar systems and microwave power combining. Dr. Sanada is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. From 1999 to 2003, he was a vice secretary of the Technical Group on Microwave Engineering, IEICE.

1263

Christophe Caloz (S’99–M’03) was born in Sierre, Switzerland, in 1969. He received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. He was with the EPFL, where he developed new numerical approaches of photonic crystals by combining solid state physics and phased arrays principles. He is currently a Research Engineer with the University of California at Los Angeles (UCLA). His recent research includes multilayer and anisotropic photonic bandgap (PBG) structures, components and circuits based on novel CRLH concepts, and metamaterials for microwave circuits and antennas applications. He has authored more than 70 papers in refereed journals and international conferences.

Tatsuo Itoh (S’69–M’69–SM’74–F’82) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. From September 1966 to April 1976, he was with the Electrical Engineering Department, University of Illinois at Urbana-Champaign. From April 1976 to August 1977, he was a Senior Research Engineer with the Radio Physics Laboratory, SRI International, Menlo Park, CA. From August 1977 to June 1978, he was an Associate Professor with the University of Kentucky, Lexington. In July 1978, he joined the faculty at The University of Texas at Austin, where he became a Professor of Electrical Engineering in 1981 and Director of the Electrical Engineering Research Laboratory in 1984. During the summer of 1979, he was a Guest Researcher with AEG-Telefunken, Ulm, Germany. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at The University of Texas at Austin. In September 1984, he was appointed Associate Chairman for Research and Planning of the Electrical and Computer Engineering Department, The University of Texas at Austin. In January 1991, he joined the University of California at Los Angeles (UCLA) as Professor of Electrical Engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics. He was an Honorary Visiting Professor with the Nanjing Institute of Technology, Nanjing, China, and at the Japan Defense Academy. In April 1994, he was appointed an Adjunct Research Officer with the Communications Research Laboratory, Ministry of Post and Telecommunication, Japan. He currently holds a Visiting Professorship with The University of Leeds, Leeds, U.K. He has authored or coauthored 310 journal publications, 640 refereed conference presentations, and has written 30 books/book chapters in the area of microwaves, millimeter waves, antennas, and numerical electromagnetics. He has generated 60 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers of Japan, and Commissions B and D of USNC/URSI. He served as the editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He serves on the Administrative Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was vice president of the IEEE MTT-S in 1989 and president in 1990. He was the editor-in-chief of IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was elected an Honorary Life Member of the IEEE MTT-S in 1994. He was elected a member of the National Academy of Engineering in 2003. He was the chairman of the USNC/URSI Commission D (1988–1990) and chairman of Commission D of the International URSI (1993–1996). He is chair of the Long Range Planning Committee of the URSI. He serves on advisory boards and committees of a number of organizations. He has been the recipient of numerous awards including the 1998 Shida Award presented by the Japanese Ministry of Post and Telecommunications, the 1998 Japan Microwave Prize, the 2000 IEEE Third Millennium Medal, and the 2000 IEEE MTT-S Distinguished Educator Award.

1264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Microwave Noise Modeling for InP–InGaAs HBTs Jianjun Gao, Xiuping Li, Hong Wang, and Georg Boeck, Senior Member, IEEE

Abstract—Analytical expressions for the noise parameters of microwave InP double heterojunction bipolar transistors (DHBTs) are presented in this paper. These expressions are derived from an accurate small-signal and noise equivalent-circuit model, which takes into account the influences of the base–collector capacitance and the base resistance distributed nature. Pad capacitances and series inductances are also included. Further simplified expressions for noise parameters in the low-frequency range are given. Good agreement is obtained between measured and calculated 5 m2 results up to 20 GHz for InP–InGaAs DHBTs with a 5 emitter area over a wide range of bias points. Index Terms—Heterojunction bipolar transistor (HBT), noise modeling, parameter extraction.

I. INTRODUCTION

I

nP-BASED heterojunction bipolar transistors (HBTs) have shown excellent microwave and noise performance and are very attractive for millimeter-wave and opto-electronic applications. The complete characterization of these devices in terms of noise and scattering parameters is necessary for computeraided design (CAD) of monolithic microwave integrated circuits (MMICs) or opto-electronic integrated circuits (OEICs). The full noise characterization of an HBT requires the determination of the following four noise parameters: ; 1) minimum noise figure ; 2) noise resistance ; 3) optimum source conductance 4) optimum source susceptance . In order to optimize the noise performance of an InP-based HBT for low-noise application, analytical expressions for the noise parameters are very attractive and useful for understanding the physical mechanisms and for evaluating the influence of the different parameters of the small-signal equivalent-circuit model. Hawkins derived simple analytic expressions for the minimum noise figure and the optimum source impedance based on an intrinsic equivalent circuit with included base resistance [1]. Pucel and Rohde have improved the model with the derivation of an analytical expression for the equivalent noise resistance [2]. In [3], a set of expressions for HBTs is derived including nonideal junctions, emitter and base resistances. Voinigescu et al. [4] present the fully scalable noise parameter equation for the

low-frequency range, but assume no correlation between noise sources. Unfortunately, these expressions are based on a simple noise model that neglects the pad capacitances and series inductances, and the distributed nature of the base–collector capacitance and base resistance. Actually, these expressions are not suitable for the calculation of the high-frequency noise parameters and, therefore, have to be improved. In this paper, a set of new expressions for the four noise parameters of InP–InGaAs double heterojunction bipolar transistor (DHBT) is derived from an accurate noise equivalent-circuit model without any assumptions and approximations. The effects that become important at higher frequencies such as the correlation between base and collector current noise, the base–collector capacitance, base resistance distributed nature, pad capacitances, and series inductances are taken into account. The structure of this paper is as follows. Sections II and III are dedicated to the derivation of analytical expressions for the corresponding noise parameters based on an accurate noise model. An improved direct extraction method for small-signal parameters is given in Section IV. A comparison between the new expressions and experimental data measured on an InP–InGaAs DHBT is presented in Section V. A conclusion is given in Section VI. II. SMALL-SIGNAL AND NOISE EQUIVALENT-CIRCUIT MODEL The complete HBT small-signal and noise equivalent-circuit model is shown in Fig. 1. The circuit model comprises the well-known T-type small-signal equivalent circuit, and six , , , , , and . Since the T-shaped noise sources equivalent circuit is more closely related to the original derivation of the common-base -parameters of bipolar transistors, it is usually employed in the literature for the purpose of small-signal modeling of HBTs. It also involves less simplifying assumptions as the equivalent circuit. The two correlated current noise sources and represent the internal noise sources of the intrinsic HBT. These two noise sources are characterized by their mean quadratic values in a centered on the frequency and can be given by bandwidth the following expressions: (1)

Manuscript received August 27, 2003; revised December 9, 2003. J. Gao and G. Boeck are with the Institute of High-Frequency and Semiconductor System Technologies, Technische Universität Berlin, 10587 Berlin, Germany (e-mail: [email protected]). X. Li is with the Electrical and Electronics Department, Yonsei University, Seoul 120-749, Korea. H. Wang is with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798. Digital Object Identifier 10.1109/TMTT.2004.825730

(2) The cross correlation between [3], [5]

0018-9480/04$20.00 © 2004 IEEE

and

can be expressed by

(3)

GAO et al.: MICROWAVE NOISE MODELING FOR InP–InGaAs HBTs

1265

Fig. 1. HBT small-signal and noise equivalent-circuit model.

The four noise sources , , behavior of the access resistances simply given by

, and represent the noisy , , , and and are

(4) where is the electronic charge, is the Boltzmann’s constant, is the absolute temperature, and is the resistance value. is the transit time delay and and are the base and collector dc currents, respectively. In the small-signal and noise equivalent-circuit model , , and represent the inductances of the (Fig. 1), , , and base, collector, and emitter feed lines, represent the pad capacitances, and are the extrinsic and intrinsic base resistances, and are the collector is the extrinsic base–collector and emitter resistances, and are the intrinsic base–emitter capacitance, and and base–collector capacitances, respectively. It is noted that is neglected in this the extrinsic base–collector resistance is very large and does not model because the value of affect the frequency response as long as we are only concerned with forward operation. III. DERIVATION OF NOISE PARAMETERS The noise equivalent-circuit model can be divided into the following three parts: 1) input network; 2) output network; 3) middle network. The input network, which includes base parasitic elements only and series inductance ) is a noiseless (pad capacitance network. The output network consists of the collector parasitic

, series inductance , and series elements (pad capacitance resistance ). The middle network includes the intrinsic part, extrinsic resistances and , and the emitter series inductance . Based on the noise correlation matrix technique [6], the extraction of the four unknown noise parameters can be carried out as follows. 1) Calculation of the admittance noise correlation matrix of and (dashed box the HBT intrinsic part without with two noise sources and ) as follows: (5) (6) (7) (8) where represents the thermal voltage . 2) Transformation of the admittance noise correlation matrix to the impedance noise correlation matrix, and addition , then transformation of the impedance of the intrinsic noise correlation matrix to the admittance noise correla. tion matrix and addition of the extrinsic capacitance Therefore, the admittance noise correlation matrix of the to intrinsic part can be obtained by expressions to , as given in Appendix A. 3) Transformation of the admittance noise correlation matrix to the chain noise correlation matrix by easy addition of and . This formulation is the extrinsic resistances very suitable for the calculation of the four noise parameters. The chain noise correlation matrix of the middle

1266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

network can be expressed by to , as given in Appendix B. 4) Calculation of the chain noise correlation matrix of the middle and output network in cascade. For the chain noise correlation matrix of two cascaded two ports, we obtain

TABLE I HBT PARASITIC PARAMETERS

(9) where

is the chain matrix of the middle and output networks in cascade, and the plus sign is used to denote the Hermitian conjugation. The expressions for the resulting chain noise correlation matrix and the noise parameters (without the input network) are given in Appendix C. Substituting (B1)–(B4) into (C7)–(C10), the noise parameters of the cascade of the input and middle networks become

TABLE II INTRINSIC PARAMETERS

(10) (11) (17) and (12) and (13), shown at the bottom of this page. 5) Addition of the input network. is invariant with respect to lossless transforSince mation at the input and output ports of a two-port, is also invariant with respect to lossless transformation [7], and can be calculated as (14)

In terms of the above-mentioned transformation of the noise parameters along a lossless network, the reference plane can be moved easily to the input port and and can be included as follows:

(18) For low frequencies, the influence of the input and output networks can be neglected. The current gain is close to one, and the correlation between the noise sources is zero. Therefore, (15)–(18) simplify to

(19) (20) (21)

(15) (16)

(22)

(12) (13)

GAO et al.: MICROWAVE NOISE MODELING FOR InP–InGaAs HBTs

1267

Fig. 3. Comparison of measured and calculated noise parameters based on the new expressions. : experimental data. —: calculated data from (15)–(18). - - -: calculated data from [3]. Bias condition I = 50 A and V = 2 V.

After the pad capacitances and series inductances have been deembedded, the open-circuit -parameters of the small-signal equivalent circuit can be expressed as (23) Fig. 2. Comparison of modeled and measured S -parameter for the InP HBT. Bias condition: (a) I = 50 A, V = 2 V, (b) I = 100 A, V = 2 V, (c) I = 150 A, V = 2 V.

(24) (25)

It is noticed that, for the determination of the noise parameters in the low-frequency range, only the four resisand and intrinsic retances (extrinsic resistances and ) and the sum of two base–collector sistances and are necessary. Of course, this capacitances approximation does not include noise.

IV. SMALL-SIGNAL MODEL PARAMETER EXTRACTION In order to calculate the noise parameters using the new expressions, an accurate extraction method of the small-signal parameters is needed. An improved direct extraction method is proposed in this paper. The pad capacitances are determined by measuring an open structure that consists of only the pads. The parasitic feeding inductances are determined by measuring a test structure that consists of the pads, device feed-lines, and a short replacing the HBT.

(26) The emitter series resistance and base–emitter junction dyat namic resistance can be obtained from the real part of low frequencies (27) The plot of versus would give the values of and . and collector resistance The extrinsic base resistance are obtained using cutoff-mode -parameter measurements , zero , and zero ) [8]. Compared to the open(zero collector method, the advantage of this cutoff method is that and can be separated at high frequencies. No forward base current flow is needed and, therefore, the device operates on a constant temperature during the whole measurement cycle.

1268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 4. Comparison of measured and calculated noise parameters based on the new expressions. : experimental data. —: calculated data from (15)–(18). - - -: calculated data from [3]. Bias condition I = 100 A and V = 2 V.

The extraction of can be carried out by using curve-fitting techniques at different reversed-bias base–emitter voltages in , zero the low-frequency range under cutoff condition (zero , and variable ). By applying this method, different values , , and are tested until the plot of versus of is a straight line [see (28)]. Therefore, can be calculated in the whole operation region after , , and have been determined as follows: (28)

Subtracting , rameters become

,

,

, and

from (1)–(4), the -pa-

(29) (30) (31) (32) The intrinsic elements are determined as (33)

Fig. 5. Comparison of measured and calculated noise parameters based on the new expressions. : experimental data. —: calculated data from (15)–(18). - - -: calculated data from [3]. Bias condition I = 150 A and V = 2 V.

(34)

and (35)–(37), shown at the bottom of the following page. V. EXPERIMENTAL VERIFICATION In order to verify the equations derived in Section III for the four noise parameters, InP–InGaAs DHBTs have been characterized. Details of the device structure and fabrication technique have been described elsewhere [9]. The -parameter measurements for model extraction and verification were made up to 40 GHz using an Agilent 8510C network analyzer. DC bias was supplied by an Agilent 4156A. Microwave noise parameter measurements are carried out on-wafer over the 2–20-GHz frequency range using an ATN microwave noise measurement system NP5. The extracted values of the bias-independent small-signal elements are summarized in Table I. Once the values of the parasitic elements are known, all bias-dependent elements can be easily calculated using (33)–(37). The intrinsic parameters , , , , , , and at a constant collector–emitter V and A A A voltage are given in Table II. It can be observed that the intrinsic reand capacitance are nearly constant at these sistance bias conditions.

GAO et al.: MICROWAVE NOISE MODELING FOR InP–InGaAs HBTs

1269

Fig. 6. Comparison of measured and calculated noise parameters based on the new expressions versus V

Fig. 2(a)–(c) compares the measured and modeled -parameters for the InP HBT in the frequency range of 1–40 GHz under three different bias conditions ( A and V). An excellent agreement over the whole frequency range is obtained. The corresponding cutoff freare 45, 50, and 55 GHz, respectively, under quencies A and three different bias conditions ( V). In Figs. 3–5, we compare the measured and computed noise parameters versus frequency for the InP HBT under the same bias conditions ( A and V). Good agreements are observed. The new expressions are also compared with the model proposed by Escotte et al. [3]. The solid lines correspond to the values calculated from from (15)–(18), while the dashed lines correspond to the values calculated

and I at 16 GHz.

from [3]. It can be clearly found that the new expressions are more accurate than [3]. Due to the broad-band nature of the remains constant over a very two noise mechanisms, broad frequency range, as can be seen in Figs. 3(a), 4(a), and 5(a). The minimum noise figure increases moderately at higher frequencies. In contrast with GaAs HBTs, it can be observed that InP HBTs have a higher minimum noise figure , , and higher magnitude of optimum higher noise resistance reflection coefficient due to the higher intrinsic base resistance of InP HBT, which results in higher thermal noise. Fig. 6 shows the comparison of measured and calculated noise parameters versus the collector–emitter voltage and base current . An excellent agreement can be observed. It is noticed that remains invariant with , and decreases with decreasing . and become lager if and

(35)

(36) (37)

1270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 7. Comparison of measured and calculated noise parameters based on the new expressions versus frequency. : experimental data. —: calculated data from (19)–(22). - - -: calculated data from [4]. Bias condition V = 2 V.

increase. The phase of remains invariant with respect to and decreases with increasing . In Fig. 7, the calculated noise parameters for the low-frequency range [see (19)–(22)] are compared with measured data versus frequency for the InP HBT under the same bias condiA and V). A good agreement tions ( can be observed. The above computed data are also compared with the expressions proposed by Voinigescu et al. [4]. It shows that the new expressions are more accurate than the expressions in [4]. To design an optimum low-noise HBT for MMIC application, we have investigated the effect of each circuit parameter on a minimum noise figure. As a result, we have found that a significant reduction of the base resistance will be the most important factor for the InP HBT process technique. Low base resistance and high associate gain could be realized with a submicrometer emitter stripe width in combination with a high base doping.

has been obtained. In the lower gigahertz range, the new expressions can be significantly simplified. Due to the pure analytical nature of the new model, the efficiency of circuit simulation tools can be improved considerably after implementing the equations.

APPENDIX A Admittance noise correlation matrix of the intrinsic part

(A1) and (A2)–(A4), shown at the top of the following page, where – are given as (A5)

VI. CONCLUSION In this paper, we have proposed a set of new analytical expressions for the noise parameter of InP DHBTs in the microwave frequency range. These expressions are derived on the base of an accurate small-signal and noise T-network model and on an improved small-signal parameter-extraction method without any assumptions and approximations. The validity of the new approach is proven by comparison with measured - and noise-parameters up to 20 GHz in a multibias region. A good agreement

(A6) (A7) and superscript

denotes the intrinsic part.

GAO et al.: MICROWAVE NOISE MODELING FOR InP–InGaAs HBTs

1271

(A2) (A3)

(A4)

(C5)

APPENDIX B

with (C5) shown at the top of this page, and

Chain noise correlation matrix of the middle network (C6) (B1) (B2)

The expressions for the four noise parameters of the noise equivand ) are alent-circuit model without an input network ( derived as

(B3) (C7)

(B4)

(C8)

where superscript denotes the middle network and , , , and are the -parameters of the intrinsic part (dashed and as follows: box) extended by

(C9) (C10)

(B5) (B6) (B7) (B8) with

APPENDIX C The matrix

can be expressed as (C1) (C2) (C3) (C4)

REFERENCES [1] R. J. Hawkins, “Limitations of Nielsen’s and related noise equations applied to microwave bipolar transistors, and a new expression for the frequency and current dependent noise figure,” Solid State Electron., vol. 20, pp. 191–196, 1977. [2] R. A. Pucel and U. L. Rohde, “An exact expression for the noise resistance R for the Hawkins bipolar noise model,” IEEE Microwave Guided Wave Lett., vol. 3, pp. 35–37, Feb. 1993. [3] L. Escotte et al., “Noise modeling of microwave heterojunction bipolar transistors,” IEEE Trans. Electron Device, vol. 45, pp. 883–889, May 1995. [4] S. P. Voinigescu et al., “A scalable high-frequency noise model for bipolar transistors with application to optimal transistor sizing for low-noise amplifier design,” IEEE. J. Solid-State Circuits, vol. 32, pp. 1430–1439, Sept. 1997. [5] M. Rudolph, R. Doerner, L. Klapproth, and P. Heymann, “An HBT noise model valid up to transit frequency,” IEEE Electron Device Lett., vol. 20, pp. 24–26, Jan. 1999. [6] G. Caruso and M. Sannino, “Computer-aided determination of microwave two-port noise parameters,” IEEE Trans. Microwave Theory Tech., vol. MTT-26, pp. 639–642, Sept. 1978. [7] M. S. Gupta and P. T. Greiling, “Microwave noise characterization of GaAs MESFET’s: Determination of extrinsic noise parameters,” IEEE Trans. Microwave Theory Tech., vol. 36, pp. 745–751, Apr. 1988. [8] J. Gao, X. Li, H. Yang, H. Wang, and G. Boeck, “An approach to determine R and R for InP HBT using cutoff mode measurement,” in Eur. GAAS Conf. Microwave Week, 2003, pp. 145–147.

1272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[9] H. Wang, G. I. Ng, H. Zheng, Y. Z. Xiong, L. H. C. Yuan, K. Radhakrishnan, and S. F. Yoon, “Demonstration of aluminumfree metamorphic InP/In0.53Ga0.47As/InP double heterojunction bipolar transistors on GaAs substrates,” IEEE Electron Device Lett., vol. 21, pp. 379–381, Sept. 2000.

Jianjun Gao was born in Hebei Province, China, in 1968. He received the B.Eng. and Ph.D. degrees from the Tsinghua University, Beijing, China, in 1991 and 1999, respectively, and the M.Eng. degree from the Hebei Semiconductor Research Institute, Hebei, China, in 1994. From 1999 to 2001, he was a Post-Doctoral Research Fellow with the Microelectronics Research and Development Center, Chinese Academy of Sciences, where he developed pseudomorphic high electron-mobility transistor (pHEMT) optical modulator drivers. In 2001, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Singapore, as a Research Fellow, where he was involved with semiconductor device modeling and on-wafer measurement. Since 2003, he has been a Research Associate with the Institute for High-Frequency and Semiconductor System Technologies, Technische Universität Berlin, Berlin, Germany, where he is involved with InP HBT modeling and circuit design for high-speed optical communication.

Xiuping Li received the B.S. degree from Shandong University, Jinan, Shandong, China, in 1996, and the Ph.D. degree from the Beijing Institute of Technology, Beijing, China, in 2001. From 1996 to 2001, she was involved with multilayer microstrip antenna design and analysis. From 2001 to 2003, she was with the Positioning and Wireless Technology Center, Nanyang Technological University, Singapore, where she was a Research Fellow involved in the research and development of RF identification (RFID) systems. In the Microelectromechanical Systems (MEMS) Group, her research included micromachined filter design, fabrication, and coaxial and on-wafer measurement. Since 2003, she has been a Research Professor with the Electrical and Electronics Department, Yonsei University, Seoul, Korea. Her current research interests include RF and microwave devices for communications, microwave filters and antennas, and coaxial and on-wafer measurement.

Hong Wang received the B.Eng. degree from Zhejiang University, Hangzhou, China, in 1988, and the M.Eng. and Ph.D. degrees from the Nanyang Technological University (NTU), Singapore, in 1998 and 2001, respectively. From 1988 to 1994, he was with the Institute of Semiconductors, Chinese Academy of Sciences, where he developed InP-based opto-electronic integrated circuits (OEICs). From 1994 to 1995, he was a Royal Research Fellow with British Telecommunications Laboratories, Ipswich, U.K., where he was involved with the development of InP-based heterostructure field-effect transistors (HFETs). Since 1996, he has been with the Microelectronics Centre, Nanyang Technological University, where he is currently an Assistant Professor. He has authored or coauthored over 70 technical papers related to his research. His current research interests are InP- and GaAs-based compound semiconductor device physics, fabrication technology, and characterization.

Georg Boeck (M’93–SM’00) was born in Wertingen, Germany, in 1951. He received the Dipl.-Ing. degree in electrical engineering and the Doctoral degree from the Technische Universität Berlin, Berlin, Germany, in 1977 and 1984, respectively. In 1984, he joined Siemens Research Laboratories, Munich, Germany, where his research concerned fiber optics and GaAs electronics. From 1988 to 1991, he was a Full Professor of electronic devices and circuits with the Fachhochschule Regensburg, Regensburg, Germany. Since 1991, he has been a Full Professor of microwave engineering with the Technische Universität Berlin, Berlin, Germany. His main areas of research are characterization, modeling, and design of microwave semiconductor devices, and monolithic integrated circuits (RF integrated circuits (RFICs), MMICs) up to the millimeter-wave range.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1273

9.3–10.4-GHz-Band Cross-Coupled Complementary Oscillator With Low Phase-Noise Performance Lin Jia, Jian-Guo Ma, Senior Member, IEEE, Kiat Seng Yeo, and Manh Anh Do

Abstract—A fully integrated 10-GHz-band voltage-controlled oscillator (VCO) has been designed and fabricated using commercial 0.18- m CMOS technology. The complementary cross-coupled differential topology is adopted in the design. The measured phase-noise is around 89 dBc/Hz at the offset frequency of 100 kHz from the center frequency of 9.83 GHz, the output frequency tuning range of the fabricated VCO is 1.1 GHz ranging from 9.3 to 10.4 GHz, and the power consumption of the core VCO circuit is 5.8 mW. The design is the first one that adopts the complementary cross-coupled circuit structure for 10-GHz-band oscillators, and whose performances of the VCO are the best ones for 10-GHz-band oscillators, compared with the 10-GHz-band CMOS oscillators reported earlier. Index Terms—Complementary cross-coupled differential topology, LC tank voltage-controlled oscillator (VCO), linear time variant (LTV), lower phase noise, lower power consumption, RF integrated circuit (RFIC), wide tuning range, 0.18- m CMOS technology.

I. INTRODUCTION

I

N RECENT years, the 10-GHz-band optical communication system (SONET) market has been growing rapidly and moving toward high data-rate applications. High-frequency low phase-noise oscillators are important to the building blocks in a transceiver design for these applications. CMOS processes in excess of 50 GHz to make the processes typically achieve an alternative for the low-noise RF circuit operating at these higher frequencies [3]–[5]. CMOS oscillators with integrated inductors and p /n-well varactor are well suited for such applications. Even though a significant amount of research has been carried out in the past, the CMOS voltage-controlled oscillator (VCO) operating in such a high-frequency band is still a challenge for RF integrated circuit (RFIC) designers since more stringent requirements are imposed on VCOs at higher frequencies. The main issue for recent VCO research is to achieve a monolithic integration between low phase noise with a wide frequency tuning range and low power consumption at given operating frequencies. Two papers had been reported on 10-GHz-band VCO adopted CMOS technologies, one is an LC delay line VCO with a 225-MHz tuning range, 75-dBc/Hz phase nose at the 100-kHz offset frequency and 70-mW power consumption [1], the other one is the ring-coupled quadrature VCO with 2.5-GHz tuning range, 80-dBc/Hz phase noise at 100-kHz offset frequency, and 45-mW power consumption [2].

Manuscript received August 26, 2003; revised November 25, 2003. The authors are with the Centre for Integrated Circuits and Systems, Nanyang Technological University, Singapore, 639798 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825621

This paper describes a fully integrated CMOS LC VCO designed and fabricated by a 0.18- m CMOS technology operated at 10 GHz. The design is based on the cross-coupled complementary oscillator configuration with around a 1.1-GHz tuning range, from 9.3 to 10.4 GHz, low phase noise of 89 dBc/Hz at the offset frequency of 100 kHz as the oscillation frequency is 9.83 GHz, and the power consumption of the core circuit is 5.8 mW. To our knowledge, the performances of this design are better than that of the reported 10-GHz-band CMOS oscillators in the literature. II. CIRCUIT DESIGN AND THEORY The circuit diagram is shown in Fig. 1(a). A fully integrated complementary cross-coupled configuration is chosen because of the following advantages [6]. • The complementary structure offers higher transconductance at a given current, which results in faster switching of a cross-coupled differential pair. • It performs better rise- and fall-time symmetry, which renoise with the other sults in less upconversion of low-frequency noise sources. • The dc voltage dropping across the channel is larger for the all-NMOS structure since the dc value of the drain voltage . There is, therefore, a stronger velocity saturation is and a larger . It is well known that the active devices (NMOS1, NMOS2, PMOS1, and PMOS2) serve as the negative resistor to compensate for the energy loss from the tank due to the tank effective resistor. The cross-coupled VCO operates as switches [3]. of the NMOS transistors are Firstly, the oscillator forces noted to be equal in magnitudes, but with opposite signs to generate a differential voltage across the resonator. At the differential zero voltage, four switching transistors (NMOS1, NMOS2, PMOS1, and PMOS2) are all in the saturation region and form a small-signal negative conductance that breed the startup of the oscillation. As the differential oscillation voltage crosses (the threshold voltage of NMOS), (the voltage difference between gate-to-source voltage and drain-to-source voltage) of , forcing it into the triode region, NMOS1 exceeds of NMOS2 falls below , driving the device into a deeper saturation region, and then NMOS2 turns off. Simultaneously, as the falling differential oscillation voltage (the threshold voltage of PMOS, a negative crosses (the voltage difference between value in our design), source-to-gate voltage and source-to-drain voltage of PMOS2) , forcing it into the triode region, at the same exceeds time, of PMOS1 forces itself into deeper saturation, and

0018-9480/04$20.00 © 2004 IEEE

1274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 2. Equivalent circuit of the LC tank VCO. (a) Without a noise source. (b) With noise sources.

(a)

(b) Fig. 1. (a) Schematic of the cross-coupled complementary LC VCO with the parasitic elements. (b) Differential zero. Fig. 3.

then PMOS1 turns off. Thus, the complementary LC tank VCO operates when both NMOS and PMOS pairs are all in the saturation region beforehand, then NMOS1 and PMOS2 are at the off states, while other NMOS2 and PMOS1 are at the on states. Such a switching process is periodical throughout the , operation of the VCO depicted in Fig. 1(b). The current as indicated in Fig. 1(a), drives the LC tank VCO into the stable operation. III. PHASE-NOISE ANALYSIS A. Associate Noise Sources of LC Tank VCO Fig. 2 depicts the total noise sources existing in an LC tank VCO. In general, those noise sources are viewed as the three and main contributions of the equivalent positive resistor

Complementary LC tank VCO with the total noise sources.

the equivalent negative resistor , as shown in Fig. 2, and the contribution of the tail transistor’s equivalent resistor , as shown in Fig. 3. The power spectral densities (PSDs) of these noise sources are required to evaluate the phase noise of the oscillator through linear time variant (LTV) methodology. 1) Noise Sources of the Tank: The main noise sources existing in the tank are shown in Fig. 3. The effective resistance of the tank is expressed [6] as follows: (1) where and are the series resistance of the inductor and is the substrate resistance of the the varactor, respectively, inductor, and is the capacitance of the varactor.

JIA et al.: 9.3–10.4-GHz-BAND CROSS-COUPLED COMPLEMENTARY OSCILLATOR WITH LOW PHASE-NOISE PERFORMANCE

The noise contribution from the effective resistance of the tank is presented by the following current PSD:

(2) 2) Noise Sources of the Active Devices: The current PSD of can be modeled [5] as follows:

1275

B. Calculation of the Phase-Noise Using LTV Analysis Phase noise of an oscillator due to arbitrary noise source is given by [9], [10] (8)

Here, and are the channel induced noise and gate induced noise of the MOS devices, respectively, and they are modeled by [6]

where is the current impulse injected at the node of the is the impulse sensitivity function (ISF). The circuit, and ISF is essentially a transfer function between an arbitrary noise source and an excess phase at the output of the oscillator. is the maximum charge swing, and , where is the voltage swing across the capacitor at the node of the circuit. It can be derived from (8) that the phase noise of an oscillator due to thermal noise is [9], [10]

(4)

(9)

(5)

and the single-side bandwidth (SSB) phase noise of an oscillator due to flicker noise is

(3)

where

and are the output conductance and transconducwhere tance of the MOS devices when they work in the saturation region; for this case, the zero crossing of the differential tank and [3]. for a short voltage is used to evaluate channel transistor, hence, [6]. Therefore, the total noises of the active devices in the LC tank VCO can be expressed as follows:

(6) 3) Noise Source of the Tail Transistor: The tail current source is operated at the double frequency of the oscillation is frequency of the LC tank VCO since the tail node pulled up when each one of the differential NMOS and PMOS turns on. According to [6], the noise of the tail current source has no effect on the differential noise in the vicinity of noise current, and results in less up-conversion of the [6]. In addition, the main noise contribution caused by the tail transistor is the flick noise, i.e., one enlarges the area of this transistor, but keeps the same aspect ratio to reduce the noise. Hence, it can be neglected in our analysis. Hence, the total noise of the LC tank VCO can be expressed by [7]

(7)

(10) The quantity is the rms value of the ISF. In this study, all ISFs were obtained using Spectre Time Simulations (periodic steady state) by injecting a small current pulse into an oscillator node over one oscillation cycle, and observing the output phase shifts several cycles later. In general, these noise sources are cyclostationary because of the periodic changes in current and voltages of the active devices. Consequently, the cyclostationary nature of the noise sources must be considered in a completive analysis. The ISF contains only the sensitivity to noise as a function of time, but it does not reveal the information on the time duration, for which a noise source is present. Hence, the effective ISF is given by [9] (11) represents the noise modulation function (NMF) where [7]. In this design, the simulated ISF with an injected charge of 0.1 pC is shown in Fig. 4(a). The NMOS and PMOS NMFs are presented in Fig. 4(b), and the effective ISFs are shown in of all active deFig. 4(c). According to (11), the effective and are vices’ noise sources obtained, respectively, when the LC VCO operates at 9.83 GHz. Therefore, the phase noise of 93 dBc/Hz at the offset frequency of 100 kHz is calculated by (9). As such, the phase noises of 95 and 89 dBc/Hz are calculated when the VCO operates at 9.3 and 10.4 GHz, respectively. The noise contributions of all noise sources existing in LC tank VCO and the effective ISF of the active device are summarized in Table I. The PSD of the active devices (NMOS and PMOS) is much bigger than that of the tank. Hence, the noises from the active devices

1276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 5.

Simulated inductance and Q value of the inductor.

Fig. 4. (a) ISF (0 (x)) of NMOS and PMOS. (b) NMF ( (x)) of NMOS and PMOS. (c) Effective ISF (0 (x)) of NMOS and PMOS.

TABLE I PSD OF ALL NOISE SOURCES AND EFFECTIVE ISF

are the main contributors to the phase noise of the VCO, and all parameters in Table I are used to calculate the phase noise by (9). IV. EXPERIMENTAL RESULTS A commercial 0.18- m CMOS process (CSM 0.18- m technology) is used to design and implement the project. Six metal layers are used in this process. The technology has a cutoff freof 58 GHz, a maximum frequency of 67 GHz quency [11], and the supply voltage of 1.8 V. Inductors are implemented by stacking the fifth metal layer and top metal layer (sixth metal layer) to prevent the loss of the metal and substrate. The simulation of this inductor predicts an inductance of 0.5 nH and an effective value of 10 at 9.83-GHz operation frequency, which is shown in Fig. 5. The p /n-well diode varactor of the design

Fig. 6. Die photograph of the LC oscillator.

pF with the value incorporates an capacitance of of around 38 at 9.83 GHz, and the dynamic range is approximately 2.5 with V V [11]. 0.6 mm , as The area of the die is approximately 0.5 shown in Fig. 6. The VCO is measured on the wafer level. A ground–signal–ground (GSG) RF probe is used at the output of the oscillator (output1 or output2, as shown in Fig. 6). The GPG dc probe connected to the bias network is adopted for power to filter the original noise from the dc supply equipsupply ment HP4142. The phase noise and PSD have been measured using an HP8564E spectrum analyzer, whose phase-noise characteristic for the 9.83-GHz oscillator is shown in Fig. 7. The phase noise is 91 dBc/Hz at the 100-kHz offset frequency away from the oscillator center frequency of 9.3 GHz, 89 dBc/Hz at the 100-kHz offset frequency away from the oscillation frequency of 9.83 GHz, and 84 dBc/Hz at the 100-kHz offset frequency away from the oscillation frequency of 10.4 GHz. The figure-of-merit (FoM) characteristic is expressed as [12] (12)

JIA et al.: 9.3–10.4-GHz-BAND CROSS-COUPLED COMPLEMENTARY OSCILLATOR WITH LOW PHASE-NOISE PERFORMANCE

1277

The phase noise using LTV analysis has a good agreement compared to the measured phase noise at the 100-kHz offset frequency, and the maximum difference between both is approximately 5 dBc/Hz. The performance of the 10-GHz VCO is an excellent one for 0.18- m CMOS technology compared with the reported results in the literature. V. CONCLUSION

Fig. 7.

Measured phase noise at 9.83 GHz.

A 1.1-GHz tuning range from a 9.3–10.4-GHz VCO with the low phase noise of 89 dBc/Hz at an offset frequency of 100 kHz away from the center frequency of 9.83 GHz has been designed and fabricated by using Chartered Semiconductor Manufacturing (CSM) 0.18- m technology. The power consumption of the core circuit of the VCO is 5.8 mW, and the output peak-to-peak voltage is around 1.1 V. Thus far, this design is the first one to adopt complementary cross-coupled differential for a 10-GHz-band CMOS VCO, and the performance of the designed VCO is the best one for a 10-GHz CMOS VCO. Furthermore, this design is very useful for the 10-Gb/s clock and data recovery integrated circuit (IC) and SONET communication applications. ACKNOWLEDGMENT The authors would like to thank the foundry of Chartered Semiconductor Manufacturing (CSM), Singapore, for supporting the die fabrication of the designs. REFERENCES

Fig. 8. Tuning characteristics and FoM of the VCO.

TABLE II SUMMARIZATION OF THE VCO PERFORMANCE

Here,

is the oscillation frequency of the VCO given by [6], is the offset frequency, and is the power consumption. Fig. 8 depicts the curves of the oscillation frequency and FoM versus the controlled voltage (which is the voltage difference between the cathode and anode of the pn varactors) from measurement, the tuning range around 1.1 GHz and FoM value of 165 at 9.83-GHz operation frequency are achieved. The phase-noise performances from the measurement and LTV method for a 9.83-GHz VCO are summarized in Table II.

[1] J. E. Rogers and J. R. Long, “A 10 Gb/s CDR/DEMUX with LC delay line VCO in 0.18 m CMOS,” in Int. Solid-State Circuits Conf., 2002, pp. 204–205. [2] T. P. Liu, “1.5 V 10–12.5 GHz integrated CMOS oscillators,” in VLSI Circuit Tech. Dig. Symp., 1999, pp. 55–56. [3] T. Cho, E. Dukatz, M. Mack, D. MacNally, M. Marringa, S. Metha, C. Nilsion, L. Plouvier, and S. Rabii, “A single-chip CMOS direct-conversion transceiver for 900 MHz spread-spectrum digital cordless phones,” in Int. Solid-State Circuits Conf., 1999, pp. 228–229. [4] H. Samavati, H. Rategh, and T. H. Lee, “A fully integrated 5 GHz CMOS wireless LAN receiver,” in Int. Solid-State Circuits Conf., 2001, pp. 208–209. [5] F. O. Eynde, J. Schmit, V. Charlier, R. Alexande, C. Sturman, K. Coffin, B. Mollekens, J. Cranincks, S. Terrijin, A. Monterastelli, S. Beerens, P. Goetshalckx, M. Ingels, D. Joos, S. Guncer, and A. Pontioglu, “A fully integrated single-chip SOC for Bluetooth,” in Int. Solid-State Circuits Conf., 2001, pp. 196–197. [6] A. Hajimiri and T. H. Lee, The Design of Low Noise Oscillators. Boston, MA: Kluwer, 1999. [7] D. Ham and A. Hajimiri, “Concepts and methods in optimization of integrated LC VCOs,” IEEE J. Solid-State Circuits, vol. 36, pp. 896–909, June 2001. [8] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press. [9] A. Hajimiri and T. H. Lee, “A general theory of phase-noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, pp. 179–194, Feb. 1998. [10] , “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, pp. 717–724, May 1999. [11] 0.18 m Process Design Manual, Chartered Semiconductor Manufacturing, Singapore, 2002, pp. 1–120. [12] P. Kinget, Integrated GHz Voltage Controlled Oscillators. Norwell, MA: Kluwer, 1999, pp. 353–381. [13] J. Craninckx and M. Steyaert, “Low-noise voltage-controlled oscillator using enhanced LC tanks,” IEEE Trans. Circuits Syst. II, vol. 42, pp. 794–804, Dec. 1995.

1278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Lin Jia received the B.S. degree in semiconductor physics and devices from the Shenyang Polytechnic University, Shenyang, China, in 1991, the M.S. degree in semiconductor physics from JiLin University, Changchun, China, in 1994, and is currently working toward the Ph.D. degree at the Nanyang Technological University, Singapore. In 1994, she joined the Beijing Power Electronics Research and Development Center (BPEC), where she was a Device Modeling and Simulation Engineer. From 1998 to 2001, she was a Senior Modeling and Simulation Engineer with the Digital DNA Laboratory, Motorola, Beijing, China, where she was involved with deep-submicrometer CMOS. Since 2001, she has been a Research Associate with the Centre for Integrated Circuits and Systems (CICS), Nanyang Technological University, where she is involved with the research and design of RFIC building blocks by deep-submicrometer CMOS technology, BiCMOS technology, and the phase noise reduction technique and its implementation in RF CMOS VCOs.

Jian-Guo Ma (M’96–SM’97) received the B.Sc. and M.Sc. degrees (with honors) from the Lanzhou University of China, Lanzhou, China, in 1982 and 1988, respectively, and the Doctoral degree in engineering from the Gerhard-Mercator University, Duisburg, Germany, in 1996. Prior to joining the Nanyang Technological University, Singapore, in 1997, he was with the Technical University of Nova Scotia, Halifax, NS, Canada. He is currently an Associate Professor and Director of the Center for Integrated Circuits and Systems, Nanyang Technological University. He has authored or coauthored over 130 technical papers and two books. He holds six patents in CMOS RFICs. His research interests are RFIC designs for wireless applications, RF characterization and modeling of semiconductor devices, RF interconnects and packaging, system-on-a-chip (SoC) and applications, and electromagnetic compatibility/electromagnetic interference (EMC/EMI) in RFICs.

Kiat Seng Yeo received the B.E. degree in electronics (with honors) and Ph.D. degree in electrical engineering from the Nanyang Technological University, Singapore, in 1993 and 1996, respectively. In 1996, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, as a Lecturer, and became an Assistant Professor in 1999 and an Associate Professor in 2002. He provides consulting to statutory boards and multinational corporations in the areas of semiconductor devices and electronic circuit design. He has been extensively involved in the modeling and fabrication of small MOS/bipolar integrated technologies over the last ten years. His research interests also include the design of new circuits and systems (based on scaled technologies) for low-voltage low-power applications, RFIC design, IC design of BiCMOS/CMOS multiple-valued logic circuits, domino logic, and memories, and device characterization of deep submicrometer MOSFETs.

Manh Anh Do received the B.E. degree in electronics (with honors) and Ph.D. degree in electrical engineering from the University of Canterbury, Canterbury, New Zealand, in 1973 and 1977, respectively. From 1977 to 1989, he was a Research and Design Engineer and Production Manager with Radio Engineering Ltd., a Research Scientist with the Fisheries Research Centre, and a Senior Lecturer with the National University of Singapore. In 1989, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Singapore, as a Senior Lecturer, and became an Associate Professor in 1996 and a Professor in 2001. Since 1995, he has been Head of the Division of Circuits and Systems, School of Electrical and Electronic Engineering, NTU. He has been a consultant for numerous projects in the Singapore electronic industry, and was the principal consultant for the design, testing, and implementation of the $200 million Electronic Road Pricing (ERP) island-wide project in Singapore from 1990 to 2001. His current research interests are digital and mobile communications, RFIC design, mixed-signal circuits, and intelligent transport systems. He has specialized in sonar design, biomedical engineering, and signal processing. Dr. Do is a Chartered Engineer in the U.K. and a Professional Engineer in Singapore. He is a Fellow of the Institution of Electrical Engineers (IEE), U.K.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1279

Varactor-Tuned Combline Bandpass Filter Using Step-Impedance Microstrip Lines Byung-Wook Kim and Sang-Won Yun, Member, IEEE

Abstract—In this paper, a varactor-tuned combline bandpass filter using step-impedance microstrip lines is considered so that the absolute passband bandwidth can be maintained nearly constant within the tuning range. The difference between the odd- and even-mode characteristics of the coupled microstrip line makes it difficult to design a tunable bandpass filter with minimum degradation in passband performance. By using step-impedance microstrip lines, couplings between resonators can be controlled so that the constant bandwidth requirement could be satisfied with reasonable design parameter values. Lumped inductors are used for input and output coupling networks. Design equations are derived, and experimental results are compared with theoretical ones based on these equations. Index Terms—Comb filters, coupled transmission lines, microstrip filters, tunable filters, varactors. Fig. 1.

Conventional varactor-tuned combline bandpass filter.

I. INTRODUCTION

T

HE varactor-tuned combline bandpass filter is a popular choice among several varactor-tuned RF tunable bandpass filters [1]–[4]. Hunter and Rhodes’ design method of this filter was published in [1]. Fig. 1 shows a conventional varactor-tuned combline bandpass filter [1]. The resonators consist of multiwire transmission lines shortened at the same ends and loaded with varactor diodes as tuning elements at opposite ends. The combline tunable bandpass filter is inherently compact, and broad tuning ranges can be achieved while retaining minimum degradation in passband performance [1]. In most practical applications, it is desired to maintain a constant absolute passband bandwidth independent of tuned frequency. To assure constant filter response shape and bandwidth, coupling coefficients must vary inversely with the tuning frequency [5]. Since the electricand magnetic-coupling coefficient of the combline structure will have different phases, this structure will satisfy the constant bandwidth requirement by selecting properly chosen electrical lengths of line elements. The optimum electrical length is approximately 53 at the center frequency of the tuning range [1]. However, when the combline tunable bandpass filter is designed using microstrip lines, it is observed that the absolute passband bandwidth is not maintained constantly without longer electrical lengths of line elements. This is due to the difference between the odd- and even-mode electrical characteristics [6]. Generally, the electric length of the odd mode is

Manuscript received September 4, 2003; revised October 28, 2003. This work was supported by the Korea Science and Engineering Foundation under the Engineering Research Center Program through the Millimeter-Wave Innovation Technology Research Center, Dongguk University, Seoul, Korea. The authors are with the Department of Electronic Engineering, Sogang University, Seoul 100-611, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825626

Fig. 2. Proposed varactor-tuned step-impedance microstrip lines.

combline

bandpass

filter

using

shorter than that of the even mode, and the resonance frequency will be determined with the electrical length of the even mode [5], [6]. Therefore, a varactor-tuned combline bandpass filter using uniform-impedance microstrip lines will satisfy the constant bandwidth requirement with longer electrical length of line elements. This reduces the tunable range and requires very small equivalent capacitance of varactor diode. To resolve these shortcomings, we will consider a varactor-tuned combline bandpass filter using step-impedance microstrip lines. Transmission lines with step-impedance resonators (SIRs) have been frequently used as resonators [7]. Some advantages such as a shorter resonator length, harmonics suppression characteristics, and steeper attenuation poles are reported in the literature [8], [9]. In this paper, a varactor-tuned combline bandpass filter using step-impedance microstrip lines is considered so that the absolute passband bandwidth can be maintained nearly constant within the tuning range. Fig. 2 shows the combline tunable

0018-9480/04$20.00 © 2004 IEEE

1280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

bandpass filter using step-impedance microstrip lines proposed in this paper. By allowing larger gaps near short-circuited points, magnetic couplings between microstrip lines will be reduced. Therefore, the constant bandwidth requirement can be satisfied with shorter electrical lengths of line elements. To maintain constant filter response shape and bandwidth, the must vary directly with the tuning frequency [5]. external In this paper, lumped inductors are used for input and output coupling networks. It will be shown that a properly chosen position and value of the lumped inductor will satisfy the constant bandwidth requirement. II. THEORY AND DESIGN EQUATIONS The design of a varator-tuned combline bandpass filter using step-impedance microstrip lines is similar to that presented in [1] and [10], but impedance values and lengths of each stepimpedance microstrip line are determined so that the filter response shape and bandwidth could be maintained nearly constant within the tuning range. The position and value of the lumped inductor for input and output coupling networks are also determined to provide nearly constant filter response shape and bandwidth. A. Constant Bandwidth Requirement For narrow-bandwidth applications, it has been shown that the coupling coefficient and external are convenient design parameters. To maintain constant filter response shape and bandwidth, coupling coefficient must vary inversely with the tuning frequency and external must also vary directly with the tuning frequency, as expressed in the following equations [5]:

Fig. 3. (a) Symmetrical pair of resonators. (b) Definitions of the voltages and currents at each port.

and are the odd- and even-mode admittances of lowand are the odd- and even-mode impedance lines, and electrical lengths of low-impedance lines (Fig. 3). and will satisfy (4) as follows: (4) where

(1) (2) where the ’s are the inverter values, ’s are the slope paramis the fractional bandwidth, ’s are eters of the resonators, is the adthe element values of low-pass prototype filter, mittance of source/load, and denotes the center frequency of the tuned frequency. B. Coupling Coefficient

(5) where

To calculate the coupling coefficient, we consider symmetrical pairs of resonators [5] such as shown in Fig. 3(a), and the voltages and currents at each port are defined as shown in Fig. 3(b). The relationship between the voltages and currents is derived as [11], [12]

(3)

where

and are the odd- and even-mode admittances of highimpedance lines and and are the odd- and even-mode electrical lengths of high-impedance lines (Fig. 3). Substitute (4) to (3) to obtain

KIM AND YUN: VARACTOR-TUNED COMBLINE BANDPASS FILTER USING STEP-IMPEDANCE MICROSTRIP LINES

1281

Fig. 4. Equivalent circuit of a symmetrical pair of resonators.

The equivalent circuit of a symmetrical pair of resonators can be obtained from (5) such as shown in Fig. 4, where and are

(6)

Fig. 5. Frequency variations of coupling coefficients: Marked dot lines are calculated values according to (8) and unmarked solid lines are desired ones based on (1). Geometrically parameters of symmetrical pairs of resonators [5] are those presented in Table I.

the open-circuited point of the line element and the point where the input/output coupling inductor is positioned, and (Fig. 2). III. EXPERIMENTAL RESULTS

(7) The coupling coefficient

can be expressed as (8)

where is the slope parameter of the resonator, which can be derived from (6), and is given as

and

is the equivalent capacitance of the varactor diode.

C. External A lumped inductor can be used for input and output coupling networks, such as illustrated in Fig. 2. Consider a symmetrical pair of resonators consists of the first and last resonators. The can then be expressed as external (9) where is the slope parameter of the first/last resonator seen from the point where the input/output coupling inductor is positioned and given as

pling inductor,

is the inductance of the input/output couis the even-mode electrical length between

A varactor-tuned combline bandpass filter using step-impedance microstrip lines has been designed and tested according to the following specifications: tuning range 1.85–2.15 GHz; number of poles four; passband bandwidth 100 MHz; type 0.01-dB Chebyshev. The varactor diode used in this design is 1sv277.1 The substrate mm).2 Initially, the used is Taconic TLC 32 ( characteristic impedance values of the step-impedance lines of (Width the resonators are selected conveniently as mm) for high-impedance lines and (Width mm) for low-impedance lines. Symmetrical pairs of resonators are then constructed to determine the lengths of each step-impedance line and the gaps between each step-impedance line [5]. These values are determined using (8) so that the coupling coefficient satisfy the constant bandwidth requirement. Fig. 5 shows the calculated coupling coefficients between each resonator along with desired values based on (1). The constant bandwidth property of the external , which is dedicated to the input/output coupling inductor, has been verified by a configuration with a symmetrical pair of resonators. They represent the first and last resonators. The position and inductance value of the input/output coupling inductor has been determined according to (2) and (9), as illustrated in Fig. 6. Finally, the widths of each microstrip line are readjusted using the Getsinger method [10]. The design values are summarized in Table I. Note that the lengths of the high-impedance lines of each resonator are not the same (Fig. 2). have been The coupling coefficient and external calculated twice. In the first calculation, initially chosen widths (i.e., 1.1 mm for high-impedance lines and 2.7 mm for 1Toshiba 2Taconic,

Corporation, New York NY. Petersburgh, NY.

1282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 6. Frequency variation of the external Q factor. The solid line shows the desired values according to (2), while the marked dashed line shows calculated values according to (9) for a symmetrical pair of resonators [5] with geometrical parameters given for the first and last resonators in Table I.

TABLE I DESIGN VALUES OF THE FOUR-POLE VARACTOR-TUNED COMBLINE BANDPASS FILTER USING STEP-IMPEDANCE MICROSTRIP LINES. THE GEOMETRICAL PARAMETERS ARE ILLUSTRATED IN FIG. 2

Fig. 8. Experimental four-pole varactor-tuned combline bandpass filter using step-impedance lines.

not shown because similar graphs such as Figs. 5 and 6 are resulted in calculations. The simulated, as well as the experimental, performance of this filter are presented in Fig. 7. Simulations were performed using commercially available software such as Momentum.3 Simulated and experimental results show that the 3-dB passband bandwidth varies less than 3.2% within the 250-MHz tuning range at 2 GHz. This passband performance within the tuning range, electrical lengths of line elements, and required equivalent capacitances of the varactor diodes are comparable to the varactor-tuned combline bandpass filter realized in stripline. Fig. 8 shows the photograph of the designed tunable bandpass filter. IV. CONCLUSION

Fig. 7. Simulated and experimental results (S ; S ) of the varactor-tuned combline bandpass filter using step-impedance microstrip lines. The thick lines are measured values and the thin lines are simulated ones. The 3-dB passband bandwidth varies less than 3.2% within the 250-MHz tuning range at 2 GHz.

low- impedance lines) are used as the widths of step-impedance lines of symmetrical pairs of resonators, then lengths of each step-impedance line, gaps between each resonator, the position of the input/output coupling inductor, and the inductance value of the input/output coupling inductor have been determined. After readjusting the widths of each step-impedance line, the have been recalculated coupling coefficient and external so as to readjust gaps between each resonator, and the inductance value of the input/output coupling inductor. In this paper, the results presented in Figs. 5 and 6 are obtained after readjusting each parameters. The results in the first calculation are

In this paper, a design of a varactor-tuned combline bandpass filter using step-impedance microstrip lines has been presented. By introducing high-impedance lines near the short-circuited points, the constant bandwidth requirement has been satisfied using reasonable design parameter values. A lumped inductor is used for input and output coupling networks. By using a properly chosen value and the position of the inductor, external satisfies the constant bandwidth requirement. The experimental result based on the derived equations shows the validity of the proposed design method. REFERENCES [1] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filter,” IEEE Trans. Microwave Theory Tech., vol. MTT-30, pp. 1354–1360, Sept. 1982. [2] M. Makimoto and M. Sagawa, “Varactor tuned bandpass filters using microstrip-line ring resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., May 1986, pp. 411–414. [3] Y.-H. Shu, J. A. Navarro, and K. Chang, “Electronically switchable and tunable coplanar waveguide-slotline bandpass filters,” IEEE Trans. Microwave Theory Tech., vol. 39, pp. 548–554, Mar. 1991. [4] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 1157–1160, July 2000. 3Agilent

Technologies, Palo Alto, CA.

KIM AND YUN: VARACTOR-TUNED COMBLINE BANDPASS FILTER USING STEP-IMPEDANCE MICROSTRIP LINES

[5] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [6] R. E. Collin, Foundation for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [7] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microwave Theory Tech., vol. 45, pp. 1078–1085, July 1997. , “A design method of bandpass filters using dielectric-filled [8] coaxial resonators,” IEEE Trans. Microwave Theory Tech., vol. MTT-33, pp. 152–157, Feb. 1985. [9] T. Ishizaki and T. Uwano, “A stepped-impedance comb-line filter fabricated by using ceramic lamination technique,” in IEEE MTT-S Int. Microwave Symp. Dig., May 1994, pp. 617–620. [10] W. J. Getsinger, “Coupled rectangular bars between parallel plates,” IRE Trans. Microwave Theory Tech., vol. MTT-10, pp. 65–72, Jan. 1962. [11] E. M. T. Jones and J. T. Bolljahn, “Coupled-strip-transmission-line filters and directional couplers,” IRE Trans. Microwave Theory Tech., vol. MTT-4, pp. 75–81, Apr. 1956. [12] G. I. Zysman and A. K. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IEEE Trans. Microwave Theory Tech., vol. MTT-17, pp. 753–759, Oct. 1969.

1283

Byung-Wook Kim received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1994 and 1996, respectively, and is currently working toward the Ph.D. degree in electronic engineering at Sogang University. From 1996 to 2001, he was a Research Engineer with the Korea Research Institute of Standards and Sciences (KRISS). His research interests include microwave and millimeter-wave devices and numerical analysis.

Sang-Won Yun (S’78–M’85) received the B.S. and M.S. degrees in electronic engineering from the Seoul National University, Seoul, Korea, in 1977 and 1979, respectively, and the Ph.D. degree in electrical engineering from the University of Texas at Austin, in 1984. Since 1984, he has been a Professor with the Department of Electronic Engineering, Sogang University, Seoul, Korea. From January 1988 to December 1988, he was a Visiting Professor with the University of Texas at Austin. His research interests include microwave and millimeter-wave devices and circuits. Dr. Yun is a vice president of the Korea Electromagnetic Engineering Society (KEES). He was a chairman of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Korea Chapter.

1284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Analysis of Microwave MESFET Power Amplifiers for Digital Wireless Communications Systems Chien-Chang Huang, Han-Ting Pai, and Kuan-Yu Chen

Abstract—The analysis of microwave MESFET power amplifiers (PAs) for digital wireless communications by using the hybrid Volterra-series method is presented in this paper. Compared with the traditional approach in the pure frequency-domain operation, the hybrid method has the advantage of fast computation for the continuous spectral signals with the same accuracy. The major modification is the equivalent baseband formulations in the time domain for the digital modulation signal, and the frequency-domain treatment with the nonlinear system via Volterraseries expansion. The MESFET two-dimensional current model is utilized and detailed current expressions are given to account for the gate–drain cross-term effects, while the nonlinear capacitors are also considered to describe the MESFET nonlinear characteristics completely. Circuit-level simulation yields the output modulation signal parameters with the measured data confirmation. System performance degradations due to nonlinear distortions of the MESFET PA are also performed for the communication link with the additive white Gaussian noise channel model. These results would be very useful in PA designs for digital wireless communications systems. Index Terms—Computer-aided analysis, digital radio, MESFET power amplifiers (PAs), nonlinear distortion, Volterra series.

I. INTRODUCTION

T

HE GREAT progress of digital wireless communications for various multimedium applications urges the mobile transceivers in the trends of smaller sizes, longer working time, and higher data-rate services. These demands are accomplished by using some quadrature amplitude modulation (QAM)-based modulation techniques [1] that need more linear characteristics for the RF transceivers. The low nonlinear-distortion designs, however, are basically in conflict with the high power-aided-efficiency requirements—especially for power amplifiers (PAs). Thus, the PA designs are in a tradeoff manner, and iterative processes with accurate circuit simulation are needed. The nonlinear analysis technique then plays an important role for the PA design in the digital modulation environments. Quantitative specifications of modulation signal impairments such as adjacent-channel power ratio (ACPR) and error vector magnitude (EVM) are listed in standards as in [2]. Moreover, the influences of circuit specifications on the system performance should be Manuscript received September 15, 2003; revised January 6, 2004. This work was supported by the National Science Council, Taiwan, R.O.C., under Grant NSC 89-2213-E-161-013 and Grant NSC 92-2213-E-155-042. C.-C. Huang and K.-Y. Chen are with the Department of Communication Engineering, Yuan Ze University, Tao-Yuan 320, Taiwan, R.O.C. (e-mail: [email protected]). H.-T. Pai was with the Department of Communication Engineering, Yuan Ze University, Tao-Yuan 320, Taiwan, R.O.C. He is now with the SE Technologies Corporation, Hsin-Chu 300, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2004.825646

evaluated in the circuit-design level and verified in the system integration level. Quite a few techniques have been studied for the nonlinear aspects of digital communications in system or circuit levels. The AM–AM and AM–PM behavior modeling of RF/microwave circuits is a straightforward and fast method for acquiring the nonlinear system outputs [3]–[7]. However, it can only be used for the system integration simulation, not for the circuit-level analysis and design. The iterative-based solving schemes, such as harmonic balance and its variants [8]–[12], utilize the Newton method or optimization techniques for the simultaneous nonlinear algebraic equations to obtain the steady-state responses with multitone or continuous spectral signals. In this kind of approach, the initial guess and related convergence problems should be treated carefully to ensure the solution correctness. On the other hand, the Volterra-series method [13]–[18] takes the advantage of simplicity by avoiding the nonlinear equation evaluation, and becomes attractive for circuit-design and system integration applications under weakly nonlinear conditions. However, if the spectral points goes to a large number as the details of modulation signals concerned, it would carry great deals of computation cost [17], [18]. This drawback makes it not so practical in the computer-aided design (CAD) applications. This paper presents a hybrid Volterra-series method in MESFET PA analysis suited for continuous spectral features of modulation signals, and calculates the signal quantitative impairment expressions and system performance degradation due to nonlinear distortions in digital wireless communications. It uses both time- and frequency-domain operations instead of the pure frequency-domain one. The in-phase and quadrature-phase (I/Q) signal representations in the time domain for the modulation signal is employed, and processed with the nonlinear circuits in the frequency domain via Volterra analysis. This scheme accelerates the computation speed dramatically compared with the traditional method. The MESFET PA with the North American Digital Cellular (NADC) system signals is analyzed to the third-order nonlinear effects to show the advantages of the new method, while the MESFET nonlinear drain current model includes the gate–drain cross-term effects for more accurate intermodulation predictions [19], [20]. Various signal parameters such as the output power, ACPR, and EVM differential quadrature phase-shift keying (DQPSK) for a modulation format are acquired. Good agreement is achieved for the simulation results between the pure frequency-domain approach [18] and the proposed hybrid method with a great computation speed improvement. These results are further verified by the experiments.

0018-9480/04$20.00 © 2004 IEEE

HUANG et al.: ANALYSIS OF MICROWAVE MESFET PAs FOR DIGITAL WIRELESS COMMUNICATIONS SYSTEMS

Additional system simulation can be performed with integration of some communication channel models such as the additive white Gaussian noise (AWGN) channel and ideal demodulation processors. The system performance degradation of the data error rate due to nonlinear distortions then is evaluated quantitatively with the actual PA characteristics. This provides the useful information on the compromise of various specifications such as power-aided efficiency (PAE), ACPR, and output power in the circuit design for a specific system performance. These results are very useful in the PA designs for digital wireless communications systems. This paper is organized as follows. Section II describes the theoretical analysis and detail formulations of the hybrid Volterra-series method. The implementation related issues including the solving procedures and complexities are discussed in Section III. The numerical and experimental studies of the MESFET PA with NADC signals are given in Section IV to validate the proposed method. Further simulations on the system performance degradation due to the nonlinear distortion associated with the AWGN channel model are also shown in Section IV. Finally, some concluding remarks are given in Section V. II. ANALYSIS A. Nonlinear Current Expansions

1285

and

(6) The drain current (2) is categorized into three current contrirepresents the transconductance current butions, i.e., with the channel delay time , is the controlled by conductance current of the drain–source node pair, and models the cross effects for the two control voltages and on the drain current [19]. It is convenient to call and as the “self-term” currents, and as the “cross-term” current. Equation (6) represents the gate–source and gate–drain ports nonlinear capacitances modeled by the description [21]. Note Schottky-barrier varactors in the that the nonlinear orders are determined by the voltage products in (2)–(6) with the values of for the self-term currents/charges and for the cross-term currents.1 Expand (2) and (6), then collect the same order terms, one can acquire the nonlinear currents and charges as

According to the Volterra theory, the output response of nonlinear amplifiers can be decomposed in a series expansion as [14], [21] (7)

(1) where the subscript is the order of nonlinear effects. Note that the circuit output variable is the voltage at certain node. Other nodal voltages are in the same infinite representations as in (1). The nonlinear modeling of the MESFET is characterized by the Taylor series including transconductances, conductances, and capacitances expressed as

(8)

(2) (9)

(3)

and

(4) (10) Note that (7) and (8) involve the self-terms of the drain current while (9) treats the cross-terms. In the following, passband modulation signals are applied for (7)–(10), and their corresponding 1For

(5)

x

example, the term

v

= 2 and j = 2, y = 1.

v

has the order of four because of

i

= 1,

1286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

nonlinear current expressions in the equivalent baseband forms are derived. B. Passband Modulation Signals and Equivalent Baseband Representations

(16b)

Now consider the input signal, take the gate-source voltage as an example, in the digital modulation formats with carrier frequency as

(11) where subscript “1” represents the linear degree, subscripts and show the I/Q parts of the modulation signal, and subscript indicates that the signal is in the carrier frequency of . The signal can be simplified to the equivalent baseband form as (16c)

(12) with the definitions of

(13) (16d) The higher order nonlinear voltages can also be expressed in the same manner as follows:

(14)

where

(15)

Substitute the expressions of (13) and (15) into (7) and extract their equivalent baseband forms in various orders for different carrier frequencies, one can acquire the nonlinear current comto the third order as ponents of

(16a)

In the same way, and are expressed in the equivalent baseband representations as (16). The cross-term cur, however, results a little complicated expression in rent the baseband equivalence, and is rearranged in a tabular form to the third order, as shown in Table I. Observe the current components, one can find the secondorder nonlinearity yields the harmonic currents around dc and bands, and the third-order nonlinearity generates the fundamental and third harmonic currents, etc. These current expressions can be expanded to any order for different harmonic frequencies. The resulting nonlinear currents are then transformed to the frequency domain and treated as the excitations of the voltage responses for various orders. The final output is the summation of the different order responses. These procedures are quite similar to the traditional approach called nonlinear current method [14], [21] in the nonlinear transfer function evaluations that are all operated in the frequency domain. III. IMPLEMENTATION A. Solving Procedures The solving procedures of the hybrid Volterra-series method can be summarized as the following steps. Step 1) Choose the controlling nodal voltages of the nonlinear elements and the output-port voltage as the circuit variables.

HUANG et al.: ANALYSIS OF MICROWAVE MESFET PAs FOR DIGITAL WIRELESS COMMUNICATIONS SYSTEMS

1287

TABLE I EQUIVALENT BASEBAND EXPRESSION OF CROSS-TERM CURRENT i IN TABULAR FORM

Fig. 1. Hybrid Volterra-series solving scheme.

Fig. 2. Simulation flowchart of the digital wireless communications systems including the nonlinear effects of MESFET PAs.

(IFFT) computations. All of the above operations are easy to implement for the CAD applications. B. Complexities

Step 2) Find the linear voltage responses of the circuit in the frequency domain. Step 3) Transform the frequency-domain voltages to the time domain; evaluate the second-order nonlinear currents (or charges) of the nonlinear elements in the equivalent baseband forms as (16) and Table I. Step 4) Transform the time-domain nonlinear currents (or charges) to the frequency domain for various carrier frequencies. Step 5) Calculate the corresponding nonlinear voltage responses in the equivalent baseband forms due to nonlinear currents in the frequency domain. Step 6) Repeat the recursive procedures from Step 3) to 5) for higher order nonlinear effects. The solving steps are also illustrated as in Fig. 1, where the operations on the left-hand-side column are the nonlinear current calculations, and the right-hand-side column operations are the evaluations of the voltage responses with the aid of the modified nodal analysis (MNA) [22]. The modulation signals are treated in the time and frequency domains alternatively by using the fast Fourier transform (FFT) and inverse fast Fourier transform

Recall the pure frequency-domain approach, the output response is obtained by the nonlinear transfer functions and the corresponding input signals as [14], [21]

(17) where the output frequency is the summation of the input frein the third order. The major problem quencies , , and of the pure frequency-domain approach is the great deal of complexity for the independent coefficient evaluation in the continuous spectrum signal even with the utilization of symmetry properties. For a th-order nonlinear transfer function with spectral points, the complexity denoted as is expressed as [23] (18) whereas the hybrid approach complexity with th-order nonlinearity and points of time-domain sampled data is derived as (19)

1288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 3. SIEMENS CLY5 MESFET equivalent-circuit model.

where represents the total complexity of FFT and IFFT operations [24], and the other summing term in parentheses is indicated as the computation of the time-domain current expression. Note that the spectral point number is reduced from the number of for filtering purposes, where the spectral points are transformed from the equal length timedomain sampled data. grew more slowly than as the timedomain sampled point increased, and the nonlinear order is in the multiplier for instead of the number of multipliers for . A great computation speed improvement for the hybrid method can then be expected.

IV. SIMULATION AND EXPERIMENTAL RESULTS A. Simulation Environment A general-purpose program based on the above algorithm has been developed in-house with the nodal descriptions for circuit entry purposes. With some other components, the system simulation can also be performed, as shown in Fig. 2, for calculating the transmitter output spectrum, ACPR, EVM, and the receive data error rate. The transmit filter acts as the pulse shaper with the square-root raised-cosine filtering for band-limit transmission, whereas the receive end uses the same response filter for optimum receiving. The communication channel can be designated in noise-free or AWGN conditions. A MESFET PA with the third-order nonlinear effect is analyzed to examine the validity of the proposed method by comparing the results with the pure frequency-domain approach [18] in the same ampli-DQPSK modulation fier configuration. The signal is in the scheme according to the NADC standard [2] with random data input. The data rate is 48.6 kb/s with 0.35 rolloff factor, resulting a 30-kHz bandwidth occupation. The simulated data length is 64 symbols with 16 sampling points in each symbol, and 258 of spectral points are calculated with 380-Hz frequency resolution. The carrier frequency is 900 MHz.

B. MESFET Equivalent-Circuit Model The MESFET of Siemens CLY5 with 16 250 m gatewidth V and V is used to exbiased at amine the simulation results. With the aid of the manufacture datasheet, the package- and chip-level circuit models are established, as shown in Fig. 3, where the intrinsic element follows the conventional linear MESFET model [25], except the nonlinear component characteristics expanded in power series. The linear component values are acquired from the datasheet and optimized with the measured -parameter. The nonlinear current coefficients are extracted by using the low-frequency harmonic measurement with the associated phase polarity information [26]. The nonlinear capacitors of the gate–source and gate–drain ports are expressed in the power series based on the Schottky-barrier varactor characteristics with the optimization of the linear capacitances. The final component values of the equivalent-circuit model are listed in Table II. C. Circuit Simulations The MESFET PA shown in Fig. 4 is simulated using the constructed model and the developed analysis tool, where the RF , and are used for the biased purpose choke (RFC), and are input/output matching elements. The and RFCs are the inductors resonant at approximately 900 MHz. In the simulation, all the passive components are substituted by their equivalent-circuit models validated with the -parameter measurement and optimization processes up to 3 GHz to account for the termination effects in higher harmonics. As the input power in 9 dBm, the computed output powers are 23.01 and 23.02 dBm for the pure frequency-domain and the hybrid methods in the third-order nonlinear calculations, respectively. The output signal parameters are also obtained in the of 22.2 dB, of 22.5 dB, and the EVM of 5.43% for the pure frequency-domain method. The , results from the hybrid method are 22.8 dB in

HUANG et al.: ANALYSIS OF MICROWAVE MESFET PAs FOR DIGITAL WIRELESS COMMUNICATIONS SYSTEMS

1289

TABLE II EQUIVALENT-CIRCUIT PARAMETERS FOR THE MESFET OF SIEMENS CLY5 Z AND BIASED AT V OF 1.5 V AND V OF 3.0 V, WHERE Z E E ARE THE CHARACTERISTIC IMPEDANCES AND ELECTRICAL LENGTHS AT 10 GHz OF THE IDEAL TRANSMISSION LINES IN UNITS OF AND DEGREES, RESPECTIVELY

0

0

0

Fig. 5. Normalized: (a) I-channel and (b) Q-channel waveforms of the MESFET PA output calculated by the pure frequency-domain and the hybrid methods. Input power is 9 dBm.

(a)

22.6 dB in , and 5.26% in EVM. The shown data are quite agreed between these two simulation approaches with a little numerical rounding error from the FFT/IFFT operations. The normalized I/Q channel waveforms of the amplifier output calculated by the two methods are shown in Fig. 5. The almost identical waveforms again validate the proposed method. For the computation efficiency in the third-order nonlinear simulation, the complexity of the pure frequency-domain Volterra series method according to the description of Section III-B is 2 895 620 for 258 spectral points, whereas the hybrid method complexity is 64 512 for 1024 sampling points. The great computation efficiency improvement can then be achieved. The real test on a PC takes approximately 5 min to perform the third-order nonlinear simulation for the pure frequency-domain approach. Meanwhile, the hybrid method spends approximately 6 s to complete the same work. They are tested on a Pentium III PC with 1-GHz CPU speed and 512-MB memory spaces. D. Experiment Verification

(b) Fig. 4. (a) Schematic diagram. (b) Photograph of the MESFET PA.

To further verify the simulation results, the real NADC signal from the Agilent ESG-4432B signal generator is applied to the PA, and the output signal is monitored by the vector signal analyzer of Agilent 89441A. The calculated and measured output spectra are plotted using the averaged operation, as shown in Fig. 6, where the measured power is 23.10 dBm. The measured rms EVM is approximately 4.55% with of 21.5 dB and of 23.4 dB, respectively. The measured power spectra and EVM are shown quite closed with

1290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 6. Simulated and measured output power spectra of the MESFET PA. Input power is 9 dBm.

Fig. 8. Symbol error rate simulations for linear and nonlinear cases with different input power levels.

E. System Simulations TABLE III SIMULATION RESULTS OF TRADITIONAL AND HYBRID METHODS FOR THE THIRD-ORDER NONLINEAR EFFECTS WITH EXPERIMENT COMPARISON, P = 9 dBm

The evaluation of the system performance degradation due to the MESFET PA nonlinear distortion is performed with the AWGN communication channel and ideal demodulation processors by using the Monte Carlo method [27], where the circuit configuration and MESFET nonlinear characteristics are the same as the previous example. The simulation results are shown in Fig. 8 with the linear case comparison. Though the symbol error rate obviously deteriorates as the nonlinear effects are added in a system, the quantitative performance evaluation is valuable for a system designer. In this simulation study, one can find that the nonlinear effects need more 0.7 dB SNR at the 10 symbol error rate compared with the linear case as dBm. As the input power increases, more nonlinear distortions, but higher PAE, are observed, the required SNRs for the same data error rate performances increase 1.6 and 3.1 dB for each 1-dB increasing step from 9-dBm input power level. These degradations give the useful information to the engineers on the compromise of various specifications such as PAE, ACPR, and output power in the circuit design for a specific data error rate. In other word, the influences of the circuit performance on the whole system can be taken into consideration quantitatively in the circuit-design level. This issue would be more important for portable designs due to the crucial power-saving requirements. V. CONCLUSION

Fig. 7. Power drive-up curves including the output power, gain, and PAE for the MESFET PA with NADC signal excitation at 900 MHz.

the calculated results. For comparative convenience, the simulation and measurement data are summarized in Table III. The additional power performances of the designed PA are illustrated by means of the drive-up curves, as shown in Fig. 7, including the output power, gain, and PAE, with the NADC signal excitation at 900 MHz. The power sweeping data for the simulated and measured results agree quite well that the proposed analysis method is again validated.

This paper has proposed a hybrid Volterra-series method to simulate the nonlinear characteristics of microwave MESFET PAs in digital wireless communications systems. The major modification in the hybrid approach is the time-domain equivalent baseband representation for the digital modulation signal with the frequency-domain Volterra expansions for the circuit responses to improve the computation efficiency. The MESFET current model including the self- and cross-term effects is utilized to enhance the simulation accuracy, while the nonlinear gate–source and gate–drain capacitances are also considered. The nonlinear current expressions in the time-domain equivalent baseband forms for the hybrid Volterra analysis are derived carefully. Numerical results show the good

HUANG et al.: ANALYSIS OF MICROWAVE MESFET PAs FOR DIGITAL WIRELESS COMMUNICATIONS SYSTEMS

agreement between the pure frequency-domain and hybrid approaches for the MESFET PA output spectrum, ACPR, and EVM in an NADC signal excitation, with great computation efficiency improvement. These simulation results are further confirmed by the experiments. With the aid of this method, the system performance degradation in data error rate under nonlinear distortions is also evaluated. This provides the important information on the compromise of various specifications in the circuit-design level for the specific system performance. The proposed method would then be very useful in PA designs for digital wireless communications systems. REFERENCES [1] L. Hanzo, W. Webb, and T. Keller, Single- and Multi-Carrier Quadrature Amplitude Modulation, Principles and Applications for Personal Communications, WLAN’s and Broadcasting. Piscataway, NJ: IEEE Press, 2000. [2] Cellular System Dual-Mode Mobile-Station Base-Station Compatibility Standard, EIA/TIA Standard IS-54, 1992. [3] J. S. Kenney and A. Leke, “Power amplifier spectral regrowth for digital cellular and PCS applications,” Microwave J., pp. 74–92, Oct. 1995. [4] J. Boccuzzi, “Performance evaluation of nonlinear transmit power amplifiers for North American Digital Cellular portables,” IEEE Trans. Veh. Technol., vol. 44, pp. 220–228, May 1995. [5] S.-W. Chen, W. Panton, and R. Gilmore, “Effects of nonlinear distortion on CDMA communication systems,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 2743–2750, Dec. 1996. [6] K. G. Gard, H. M. Gutierrez, and M. B. Steer, “Characterization of spectral regrowth in microwave amplifiers based on the nonlinear transformation of a complex Gaussian process,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 1059–1069, July 1999. [7] V. Aparin, “Analysis of CDMA signal spectral regrowth and waveform quality,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 2306–2314, Dec. 2001. [8] G. W. Rhyne and M. B. Steer, “Generalized power series analysis of intermodulation distortion in a MESFET amplifier: Simulation and experiment,” IEEE Trans. Microwave Theory Tech., vol. MTT-35, pp. 1248–1255, Dec. 1987. [9] J. H. Haywood and Y. L. Chow, “Intermodulation distortion analysis using a frequency-domain harmonic balance technique,” IEEE Trans. Microwave Theory Tech., vol. 36, pp. 1251–1257, Aug. 1988. [10] K. Kundert, J. White, and A. Sangiovanni-Vincentelli, Steady-State Methods for Simulating Analog and Microwave Circuits. Norwell, MA: Kluwer, 1990. [11] E. Ngoya and R. Larcheveque, “Envelop transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, 1996, pp. 1365–1368. [12] V. Rizzoli, C. Cecchetti, D. Masotti, and F. Mastri, “Nonlinear processing of digitally modulated carriers by the inexact-Newton harmonicbalance technique,” Electron. Lett., vol. 33, pp. 1760–1761, July 1997. [13] E. Bedrosian and S. O. Rice, “The output properties of Volterra systems (nonlinear systems with memory) driven by harmonic and Gaussian inputs,” Proc. IEEE, vol. 59, pp. 1688–1707, Dec. 1971. [14] J. J. Busogang, L. Ehrman, and J. M. Graham, “Analysis of nonlinear systems with multiple inputs,” Proc. IEEE, vol. 62, pp. 1088–1119, Aug. 1974. [15] R. A. Minasian, “Intermodulation distortion analysis of MESFET amplifiers using the Volterra series representation,” IEEE Trans. Microwave Theory Tech., vol. MTT-28, pp. 1–8, Jan. 1980. [16] S. A. Maas, “Modeling the gate I/V characteristics of a GaAs MESFET for a Volterra series analysis,” IEEE Trans. Microwave Theory Tech., vol. 37, pp. 1134–1136, July 1989. , “Volterra analysis of spectral regrowth,” IEEE Microwave Guided [17] Wave Lett., vol. 7, pp. 192–193, July 1997. [18] C.-C. Huang, “On the nonlinear distortion of QPSK-based digital modulation signals in microwave MESFET amplifiers,” Microwave Opt. Technol. Lett., vol. 28, pp. 212–214, Feb. 2001.

1291

[19] J. C. Pedro and J. Perez, “Accurate simulation of GaAs MESFETs intermodulation distortion using a new drain-source current model,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 25–33, Jan. 1994. [20] Y. Yang and B. Kim, “A new linear amplifier using low-frequency second-order intermodulation component feedforwarding,” IEEE Microwave Guided Wave Lett., vol. 9, pp. 419–421, Oct. 1999. [21] S. A. Maas, Nonlinear Microwave Circuits. Dedham, MA: Artech House, 1988. [22] C. W. Ho, A. E. Ruehli, and P. A. Brennan, “The modified nodal approach to network analysis,” IEEE Trans. Circuit Syst., vol. CAS-22, pp. 504–509, June 1975. [23] V. J. Mathews and G. L. Sicuranza, Polynomial Signal Processing. New York: Wiley, 2000. [24] W. H. Press, B. P. Flannery, S. A. Tenkolsky, and W. T. Vetterling, Numerical Recipes in C. Cambridge, MA: Cambridge Univ. Press, 1988. [25] G. Dambrine, A. Cappy, F. Heliodoreand, and F. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microwave Theory Tech., vol. 36, pp. 1151–1159, July 1988. [26] C.-C. Huang and H.-T. Pai, “A recursive scheme for MESFET nonlinear current coefficient evaluation applied in Volterra-series analysis,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 2003, pp. 463–466. [27] M. C. Jeruchim, P. Balaban, and K. S. Shanmugan, Simulation of Communication Systems. New York: Plenum, 1992.

Chien-Chang Huang received the Electronic Engineering degree from the National Taipei Institute of Technology, Taipei, Taiwan, R.O.C., in 1984, and the M.S. and Ph.D. degrees in electrical engineering from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1990 and 1994, respectively. From 1994 to 1996, he was an Associate Researcher with the Transmission Laboratory, Telecommunication Laboratories, Tao-Yuan, Taiwan, R.O.C., where he developed RF circuits and subsystems for personal communications systems. He is currently a faculty member with the Department of Communication Engineering, Yuan Ze University, Tao-Yuan, Taiwan, R.O.C. His research interests include microwave device characterization and modeling, computer-aided analysis of RF/microwave circuits, and wireless communications.

Han-Ting Pai received the B.S. degree in electronic engineering from the I-Shou University, Kao-Hsiung, Taiwan, R.O.C., in 1999, and the M.S. degree in electrical engineering from the Yuan Ze University, TaoYuan, Taiwan, R.O.C., in 2003. He is currently with the SE Technologies Corporation, Hsin-Chu, Taiwan, R.O.C., where he is involved with characterizations and measurements for microwave semiconductor devices. His research interests include electromagnetic theory and nonlinear microwave devices modeling.

Kuan-Yu Chen was born March 28, 1980, in Taipei, Taiwan, R.O.C. He received the B.S. degree in electrical engineering from Feng Chia University, Taichung, Taiwan, R.O.C., in 2002, and is currently working toward the M.S. and Ph.D. degrees in communication engineering at the Yuan Ze University, Tao-Yuan, Taiwan, R.O.C. His research interests include nonlinear microwave device modeling, linearization techniques for PAs, and RF integrated circuit (RFIC) designs for wireless communications.

1292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Crosstalk Between Finite Ground Coplanar Waveguides Over Polyimide Layers for 3-D MMICs on Si Substrates John Papapolymerou, Senior Member, IEEE, George E. Ponchak, Senior Member, IEEE, Edan Dalton, Andrew Bacon, and Manos M. Tentzeris, Senior Member, IEEE

Abstract—Finite-ground coplanar (FGC) waveguide lines on top of polyimide layers are frequently used to construct three-dimensional Si–SiGe monolithic microwave/millimeter-wave integrated circuits on silicon substrates. Requirements for high-density, low-cost, and compact RF front ends on silicon can lead, however, to high crosstalk between FGC lines and overall circuit performance degradation. This paper presents theoretical and experimental results and associated design guidelines for FGC line coupling on both high- and low-resistivity silicon wafers with a polyimide overlay. It is shown that a gap as small as 6 m between two adjacent FGC lines can reduce crosstalk by at least 10 dB, that the nature of the coupling mechanism is not the same as with microstrip lines on polyimide layers, and that the coupling is not dependent on the Si resistivity. With careful layout design, isolation values of better than 30 dB can be achieved up to very high frequencies (50 GHz). Index Terms—Coplanar waveguide (CPW), coupling, crosstalk, finite difference time domain (FDTD), finite ground coplanar (FGC) waveguide, monolithic microwave integrated circuit (MMIC), polyimide.

I. INTRODUCTION

W

ITH THE ever-increasing demand to produce high-density, low-cost, and compact RF front ends for high data rate, wireless communication systems, and high-resolution radars, there has been a large amount of research, both in industry and in academia, to develop high-quality microwave circuits and modules on silicon substrates. The choice of the silicon substrate is primarily driven by the low processing cost and the capability to monolithically integrate SiGe devices that have exhibited cutoff frequencies up to 350 GHz [1]. The latter advancement has paved the way for the realization of a fully monolithic microwave system-on-a-chip (SOC) that can operate up to millimeter-wave frequencies in contrast to a

Manuscript received September 15, 2003; revised December 18, 2003. This work was supported by the National Science Foundation (NSF) under CAREER Award 9984761, by the NSF under Small Grant for Exploratory Research Award 0196376, by the Georgia Electronics Design Center, and by the Georgia Tech Packaging Research Center. J. Papapolymerou, E. Dalton, and M. M. Tentzeris are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332-0250 USA. G. E. Ponchak is with the Glenn Research Center, National Aeronautics and Space Administration, Cleveland, OH 44135 USA. A. Bacon was with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332-0250 USA. He is now with Northrop Grumman, Baltimore, MD 21090 USA. Digital Object Identifier 10.1109/TMTT.2004.825714

system-on-a-package (SOP) where the active devices are fabricated separately from the rest of the circuitry and integrated at the end. The development, however, of high-frequency active devices is not the only requirement for the realization of such a complicated SOC. The integration of a large number of passive components (e.g., transmission lines, inductors, capacitors, filters) with small loss and minimal crosstalk is as important as the advancement in the diode and transistor technology. Since waveguide components that are known for their high-quality factors cannot be used in compact SOC architectures, novel concepts are needed to address the passive components associated issues. Of recent particular importance is the crosstalk or isolation between the various circuits, as the degree of integration has increased dramatically to minimize the development cost. The first efforts that addressed the quality-factor issues of passive components associated with silicon substrates, which typically have higher loss than other traditional microwave substrates (e.g., GaAs or alumina), focused on using high-resistivity Si wafers. A variety of passive structures have been developed on silicon with resistivities ranging anywhere from 1000 cm to 20 k cm [2]–[7]. In most cases, the performance of these elements rivaled the performance on GaAs or on insulating materials. However, the associated development cost is higher than using low-resistivity silicon, especially when active devices need to be integrated with the use of a CMOS processing technology. For these reasons, researchers also focused on developing passive elements on CMOS and BiCMOS type –20 cm) substrates with a goal to minimize the ( loss and interaction. More specifically, efforts focused mostly on using a variety of relatively thin (5–20 m) dielectric layers (e.g. polyimide, benzocyclobutene (BCB), oxide) with a goal to minimize the electromagnetic-field interaction of the passive structures with the lossy Si substrate [8]–[12]. Some efforts also focused on removing (micromachining) part of the Si substrate [13], [14] in order to totally remove the deleterious substrate effects. Two types of transmission lines for CMOS-type silicon substrates have been developed thus far, which are: 1) the thin film microstrip line (TFMS) and 2) the finite ground coplanar (FGC) line with an insulating interface layer. The TFMS is comprised of a metal layer deposited on top of the lossy silicon and the actual line deposited on top of the dielectric layers. In this way, the dielectric layers act as the substrate and the metal ground plane provides perfect shielding of the electromagnetic fields

0018-9480/04$20.00 © 2004 IEEE

PAPAPOLYMEROU et al.: CROSSTALK BETWEEN FGC WAVEGUIDES OVER POLYIMIDE LAYERS FOR 3-D MMICs ON Si SUBSTRATES

from the Si substrate. TFMS solved the problem of high loss and compact circuits. Coupling between two parallel TFMS lines embedded in thick polyimide layers was also studied recently [15] and showed that acceptable levels ( 40 dB) of isolation can be achieved if shielding structures are used. The FGC line was developed to overcome some of the problems associated with microstrip lines, such as difficulty of integrating both series and shunt elements, via-holes, backside processing, and limited impedance range for the dielectric overlay on top of the lossy silicon. The FGC line consists of one signal conductor, two slots, and two ground planes of finite width (typically 2–4 times the signal width) on top of the thin dielectric layer. Two versions of the line exist: the one with conductor backing (metal on top of the silicon) and the one without backing. The former is notorious for exciting higher order modes that can increase dispersion, as well as cross-coupling. The latter does not suffer from this problem and, indeed, the cutoff frequency of the higher order modes can be controlled by the ground-plane width. In addition, FGC line passive circuitry can be processed in one step since all metals are on the same layer; the line can support an almost pure TEM mode of propagation up to 120 GHz, and is well suited for flip-chip interconnects. Lastly, a wider impedance range can typically be achieved than with the TFMS line. To use FGC lines on silicon wafers with resistivities used for CMOS circuits, a thin dielectric layer between the FGC line and silicon is required to minimize electromagnetic-field interaction with the Si substrate. This has enabled measured attenuation levels of around 3 dB/cm at 25 GHz to be reported [16]. Care should be exercised, however, when designing FGC lines on CMOS-type silicon substrates with dielectric overlays, as considerable loss can be attained [14], [16]. Coupling is very small between FGC lines on high-resistivity silicon (HRS) substrate (no dielectric overlay) [17]. In [17], it was observed that FGC lines on HRS substrates have approximately 8 dB lower coupling than coupled coplanar lines and that their forward and backward coupling characteristics do not resemble those of other transmission lines such as microstrips. An FGC line fabricated on an insulator over a semiconductor can support, in general, three modes of propagation (a coplanar-waveguide (CPW) mode, a dielectric quasi-TEM mode, and a slow-wave mode). In addition, surface waves may exist on the substrate that may further degrade the FGC circuit isolation. However, since the FGC line has less field overlap with the surface-wave modes than the microstrip line, it interacts weakly with them [18], theoretically providing better isolation than the microstrip lines. This paper investigates the coupling effects of FGC lines on silicon substrates with a thin dielectric overlay. Preliminary results that only include the measured coupling of FGC lines on HRS with a polyimide overlay were reported in [19]. This paper presents for the first time results for FGC lines on CMOS-type substrates with a polyimide overlay and, therefore, provides a more complete understanding of the coupling effects that may exist in such structures. The parasitic crosstalk between FGC lines on low-resistivity silicon substrate is analyzed to determine the effect that the distance between adjacent finite grounds has on the coupling of their associated lines. In addition, a physical analysis of the various modes that exist on the coupled FGC lines is presented for the first time to better understand

Fig. 1.

1293

Cross-sectional view of adjacent FGC lines.

the measured results. Since FGC lines are wider than microstrip lines, space requirements could be a drawback for this type of lines in RF packages and interconnects. A minimum distance between adjacent FGC lines to prevent significant crosstalk is important to conserve area. Simulations using the finite-difference time-domain (FDTD) technique were employed to identify the spacing requirements for minimum crosstalk, and measurements were taken to verify those results. II. CIRCUIT DESCRIPTION The FGC structures were designed over a multilayered substrate comprised of a layer of polyimide over silicon. Fig. 1 illustrates a cross-sectional view of two adjacent FGC lines that lie on top of the same polyimide layer. The distance between the edges of their finite grounds is , the distance between the centers of the two FGC lines is , and the widths of the center (signal) conductor, slot, and ground-plane conductor are and respectively. All experiments were performed for adjacent FGC lines of the same geometry and impedance. The FGC structures that m, m, were analyzed had dimensions of m, m, with values of ranging and from 20 to 84 m. Five cases for the value of were studied, (common ground), m, m, i.e., m, and m. The design for the FGC lines was aided with methods found in [20]. Although the presented procedure for finding an effective dielectric constant for the multilayer substrate was derived for a CPW structure with infinitely long ground planes, it was very useful in determining approximate impedance and guided wavelengths, and can be adapted for FGC lines. It begins by transforming the individual dielectric layers of finite thickness into dielectric layers of semi-infinite thickness by using a mapping transformation that is independent of the dielectric constant for the materials and is based strictly on geometry of the CPW lines. From this data, filling factors for the individual layers are determined by comparing the capacitance of the substrate layer using the original dielectric value with the capacitance of the same substrate layer replacing the dielectric with air. The filling factors determine how much each layer contributes to the effective dielectric constant of the overall structure. Once the effective dielectric constant is found, the characteristic impedance of the line can also be determined.

1294

Fig. 2. Schematic characterization.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

of

coupled-line

structures

used

for

coupling

Fig. 4. Measured coupling parameters for FGC lines fabricated on low-resistivity Si with S = 20 m, W = 10 m, and B = 20 m. (a) D = 0. (b) D = 6 m.

Fig. 3. Measured coupling parameters for FGC lines fabricated on low-resistivity Si with S = 42 m, W = 24 m, and B = 84 m. (a) D = 0. (b) D = 6 m.

Results from this analysis, which is based on the conformal-mapping techniques presented in [20], were used as the starting point for the design of the desired FGC lines. The FGC line geometry was then analyzed and optimized with the help of a full-wave simulation tool Sonnet based on the method of moments. Sonnet simulations take into account the substrate parameters (thickness and loss), as well as the metallization m, parameters (thickness and conductivity). For the m FGC line, the simulations yielded an and a , while for the m, m, they and at a frequency of 15 GHz yielded an for a low-resistivity silicon substrate.

500- m silicon wafer. The silicon wafers had both high (greater cm) and low resistivities (less than 5 cm). than 1000 After curing the polyimide, 200 of titanium and 1.5 m of Au were evaporated onto the polyimide coated wafer and a pattern was defined with a liftoff process. Fig. 2 illustrates the layout of the fabricated lines, which have a coupling length of 7500 m. Ports 2 and 4 are on right-angle bends to prevent coupling of the ports during their excitation and facilitate the RF probe positioning. In the fabricated circuits, air bridges were placed every 1500 m along each FGC line to suppress any slotline modes from forming. The air-bridge width was 40 m and the length was equal to . To construct the air bridges, a single 3- m layer of PI-2611 polyimide with relative permittivity equal to 3.12 was spun onto the wafer and cured. Ni was evaporated reactive onto the polyimide to serve as a mask for the ion etching (RIE), which was used to etch via-holes through the PI-2611 to the ground planes of the FGC lines. The via-hole size was 40- m square and they were located 3 m away from the edges of the FGC line slots. After the via-holes were etched and the Ni mask removed, the bridges were defined by a liftoff process consisting of 200 of titanium and 1.5 m of gold to connect the plated vias. Via-holes were also created in the RF probing areas.

III. CIRCUIT FABRICATION For the fabrication of coupled FGC lines and their characterization, the thickness of the polyimide layer utilized as the insulation layer was 20 m of Dupont PI-1111 with a dielectric constant of 2.8. A single layer of this material was spun onto a

IV. MEASURED RESULTS The measured cross-coupling results for the two different FGC line geometries ( m, m, m and m, m, m) and two different

PAPAPOLYMEROU et al.: CROSSTALK BETWEEN FGC WAVEGUIDES OVER POLYIMIDE LAYERS FOR 3-D MMICs ON Si SUBSTRATES

Fig. 5. Summarized: (a) forward coupling and (b) backward coupling for FGC lines with S = 42 m and W = 24 m fabricated on high- and low-resistivity Si. Coupling shown is the maximum coupling measured for f < 10 GHz. High-resistivity data are from [19]. D > 0 corresponds to D = 6; 12; 18; and 30 m. The 4 are for the FOC lines on HRS, the  are for the FGC lines on CMOS Si.

values of separation distance for low-resistivity silicon are shown in Figs. 3 and 4. For the high-resistivity case, the results can be found in [19]. The insertion loss shows the attenuation of a signal through the 7500- m-long FGC line, but since the primary emphasis of this paper is the isolation and cross-coupling, is not plotted. First, the backward coupling defined as and the forward coupling defined as for the FGC line with m, m, m, and have the typical characteristics of monotonically increasing forward coupling with frequency and backward coupling that is periodic with frequency (several dips), as shown in Fig. 3(a). The forward coupling reaches a maximum value of 20 dB around 40 GHz. The results are similar for the same line geometry on HRS [19]. When the separation distance is increased to 6 m, the forward and backward couplings are reduced and increase with frequency, but dips are observed in the forward coupling, as shown in Fig. 3(b). Similar dips were also observed in the high-resistivity case [19] when m. The maximum value of coupling is around 30 dB at approximately 45 GHz and this is also in agreement with the high- case. This behavior (nonmonotonic increase) observed in the forward coupling for both highand low- silicon wafers is not similar to results presented in literature for coupled transmission lines such as microstrips [15], [21]. In this case, it is believed that the forward and backward coupling when is due to indirect coupling,

1295

Fig. 6. Summarized: (a) forward coupling and (b) backward coupling for FGC lines with S = 20 m and W = 10 m fabricated on high- and low-resistivity Si. Coupling shown is the maximum coupling measured for f < 10 GHz. D > 0 corresponds to D = 6; 12; 18; and 30 m. The 4 is for the FGC lines on HRS and the  is for the FGC lines on CMOS Si.

as described by Schelkunoff and Odarenko [22]. This indirect coupling is a result of a parasitic mode due to the ground planes of each FGC line that create a third transmission line. To further verify this, a full-wave electromagnetic analysis is performed in Section V. For the second FGC line geometry ( m, m, m), measured results presented in Fig. 4 show a similar behavior to that of the first FGC line. For a small separation of m, the forward coupling increases in a nonmonotonic fashion and results in coupling values less than 30 dB up to 50 GHz. It should be noted here that this transmission line has a slightly higher impedance. For the case, both forward and backward coupling are below 20 dB. Figs. 5 and 6 summarize this reduction in coupling by plotting GHz for each of the maximum coupling measured for the structures characterized. For comparative purposes, the data of exactly the same line geometries on HRS cm are also plotted. It is seen that there is a large reduction in coupling when ( and m), even when is as small as 6 m, which implies that the nature of the coupling changes when . Also, it is seen that for the electrically short coupled-line sections shown in Figs. 5 and 6, the backward coupling is approximately 3–5 dB higher than the forward coupling. For the m, m coupled lines, Fig. 5 shows that backward coupling is practically identical for the high- and low-resistivity (CMOS) cases, while for the forward coupling, the CMOS case is approximately 1–2 dB higher

1296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

(a)

(b) Fig. 7. Electric-field plots for the (a) 9.6 GHz. (b) 41 GHz.

S = 42 m, W = 24 m, and B = 84 m FGC line where D = 0 m. Field plots are taken at various frequencies.

when depending on the ground-plane width. Fig. 5 also reveals that for larger ground-plane widths and, thus, larger center-to-center distance , but for same and , both forward and backward coupling are 2–5 dB lower for both cases of

m and m coupled silicon resistivity. For the FGC lines, Fig. 6 shows that there are very small differences in the backward coupling between the high- and low-resistivity cases for different values of and . The highest difference

PAPAPOLYMEROU et al.: CROSSTALK BETWEEN FGC WAVEGUIDES OVER POLYIMIDE LAYERS FOR 3-D MMICs ON Si SUBSTRATES

1297

(a)

(b) Fig. 8. Electric-field plots for the (a) 9.6 GHz. (b) 41 GHz.

S = 42 m, W = 24 m, and B = 84 m FGC line where D = 6 m. Field plots are taken at various frequencies.

( 4 dB) was measured for the m, m, and cases. For the forward coupling case of this line, when , the CMOS results indicate a 1–2 dB increase with respect to the high- results, as was the case of the first line geometry. The measured difference in coupling of FGC lines on highand low-resistivity silicon wafers with a polyimide interface layer is very small, less than 2 dB, and there does not appear

to be a consistent trend in the difference. Considering that this small difference concerns -parameters with values from 25 to 45 dB, it appears that this difference is due to measurement and data analysis errors and that the coupling does not depend significantly on the substrate resistivity. Furthermore, this implies that the coupling mechanism is not taking place through the Si substrate. The measured coupling also shows that the forward and backward coupling is reduced by approximately

1298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

(a)

(b) Fig. 9. Electric-field plots for the (a) 9.6 GHz. (b) 41 GHz.

S = 42 m, W = 24 m, and B = 84 m FGC line where D = 12 m. Field plots are taken at various frequencies.

10 dB across the entire frequency band when for both FGC line geometries. This indicates that, for high-density RF circuits and packages, even a very small distance between adjacent FGC lines can yield a significant (over 10 dB) reduction in cross-coupling and isolation. Lastly, Figs. 5 and 6 show that

as the distances and increase, both couplings reduce significantly. However, since real estate is of primary importance in high-density circuits and interconnects, provides an excellent compromise between small-size and low FGC line cross-coupling (less than 40 dB).

PAPAPOLYMEROU et al.: CROSSTALK BETWEEN FGC WAVEGUIDES OVER POLYIMIDE LAYERS FOR 3-D MMICs ON Si SUBSTRATES

Fig. 10.

FDTD determined amplitude of S -parameters for the FGC geometry with S = 42 m, W = 24 m, B = 84 m, and D = 6 m.

Fig. 11.

FDTD determined phase of S

1299

for the for the FGC geometry with S = 42 m, W = 24 m, B = 84 m, and D = 6 m.

V. THEORETICAL ANALYSIS To understand the physics behind the coupling in the different and at specific frestructures and the dips in measured quencies for both high and low substrate resistivities, electricfield plots were generated from the ATHENA FDTD simulator m, m, and for the coupled FGC lines with m line on high- silicon with the dielectric overlay, and these are shown in Figs. 7–9 for different values of line separation . For each FGC line, the left- and right-hand-side metal ground planes were connected with 40- m air bridges that were spaced every 1500 m in a way similar to the mea-

sured setup. The simulated length of the lines was 7200 m, which is close to the 7500 m of the experimental geometry. Three different three-dimensional (3-D) simulations were performed for the calculation of the -parameters of each geometry, namely, one for a thru line, one for an even-mode excited coupled geometry, and another for an odd-mode excited coupled (and ) was used for geometry. The slope of the phase for the identification of the excitation frequencies for the parasitic modes. Two-and-one-half-dimensional (2.5-D) FDTD simulations were performed for each mode in order to identify the individual field pattern and provide intuition for the minimization of the crosstalk mechanisms. The cross-sectional electric-field

1300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

plots of Figs. 7–9 span the area of the right FGC line (“exciting” line) and half of the signal line and right ground plane of the left FGC line (“excited” line). These field plots illustrate the strength of the coupling fields from one FGC line (right) to the other (left) for different values of separation . By observing the field magnitude in the slot of the excited FGC line (on the m, left-hand side of Figs. 7–9), it is seen that with the coupling between FGC lines is noticeably stronger than the . The reason for this increased couother two cases with is attributed to surface currents developed on pling when the bottom of the common ground plane that are broken when . For , the slot between the two ground planes stops the direct flow of current from the exciting to the excited FGC line through the ground planes and, thus, acts as a shield. However, Figs. 8 and 9 show a strong slotline type mode of approximately 15 dB between the two ground planes. This strong parasitic mode is the cause of the indirect coupling that was discussed in Section IV. Since the ground planes of each FGC line are connected by air bridges (ground planes 1a and 1b and 2a and 2b are connected), the coupled FGC lines consist of a total of three metal structures and four metal structures when , which can when support two and three independent quasi-TEM modes, respectively. One of the modes in each case is the desired CPW mode (note that we are assuming that the two FGC lines have the same geometry so each supports the same CPW mode). In Figs. 10 and 11, the magnitude and phase of the coupling determined by the FDTD analysis is plotted. It should be noted that the shape of Fig. 10 is close to the shape of Fig. 3(b). The nonmono, demonstrated tonic behavior of the -parameters for m in Fig. 10, can be attributed to the excitation of for the two parasitic modes, the slotline mode (“Mode 1”) between ground 2a of the exciting (right-hand side) FGC and ground 1b ) and of the excited (left-hand side) FGC (simulated the slotline mode (“Mode 2”) between ground planes 2a and 2b of the exciting (right-hand side) FGC and the signal metal of ). The the excited (left-hand side) FGC (simulated value of “Mode 2” can be attributed to the deeper higher penetration of the electric field inside the Si substrate due to the larger metal spacing. Fig. 11 shows the change of the slope around 19 and 35 GHz justifying this asof the phase of sumption. “Mode 2” is coupled first at around 19 GHz due to and “Mode 1” is coupled at around 35 GHz. Only its higher weak surface modes have been observed with amplitudes that are significantly lower with respect to the two parasitic modes. VI. CONCLUSIONS This paper has shown for the first time that coupling between adjacent FGC lines on top of a silicon substrate with a polyimide overlay can be significantly reduced (10 dB or more) when the lines are separated by a distance as small as 6 m for both highand low-resistivity silicon wafers. Isolation values better than 40 and 30 dB were also demonstrated in both substrate cases for frequencies up to 25 and 50 GHz, respectively, for minute gaps between two FGC lines. These results can, therefore, be used as a new design guideline for high-density RF circuits and interconnects that utilize FGC lines on silicon substrates of var-

ious resistivity values. Measured results confirmed by theoretical simulations also show that the nature of the coupling is different for the FGC lines compared to TFMSs. Indeed, for small separations between adjacent FGC lines, coupling increases in a nonmonotonic fashion and exhibits various dips. Results presented in this paper also showed that for larger ground-plane widths and center-to-center FGC line distances, both forward and backward couplings are further reduced independently of the substrate resistivity. In addition, line crosstalk, in terms of forward and backward coupling values, is independent of the underlying silicon resistivity.

REFERENCES [1] G. Freeman, J. S. Rieh, B. Jagannathan, Z. Yang, F. Guarin, and A. Joseph, “Device scaling and application trends for over 200 GHz SiGe HBTs,” in Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting Dig., Grainau, Germany, Apr. 9–11, 2003, pp. 6–9. [2] J. Buechler, E. Kasper, P. Russer, and K. M. Strohm, “Silicon high-resistivity substrate millimeter-wave technology,” IEEE Trans. Microwave Theory Tech., vol. MTT-34, pp. 1516–1521, Dec. 1986. [3] C. Warns, W. Menzel, and H. Schumacher, “Transmission lines and passive elements for multilayer coplanar circuits on silicon,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 616–622, May 1998. [4] W. Heinrich, J. Gerdes, F. J. Schmuckle, C. Rheinfelder, and K. Strohm, “Coplanar passive elements on Si substrate for frequencies up to 110 GHz,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 709–712, May 1998. [5] A. Margomenos, K. Herrick, M. Herman, S. Valas, and L. Katehi, “Isolation in three-dimensional integrated circuits,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 25–32, Jan. 2003. [6] R. Rasshofer, M. Thieme, and E. Biebl, “Circularly polarized millimeter-wave rectenna on silicon substrate,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 715–718, May 1998. [7] S. Yang et al., “Characteristics of trenched coplanar waveguide for high-resistivity Si MMIC applications,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 623–631, May 1998. [8] V. Milanovic, M. Ozgur, D. C. DeGroot, J. A. Jargon, M. Gaitan, and M. E. Zaghloul, “Characterization of broadband transmission for coplanar waveguides on CMOS silicon substrates,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 632–640, May 1998. [9] G. Ponchak and A. Downey, “Characterization of thin film microstrip lines on polyimide,” IEEE Trans. Comp., Packag., Manufact. Technol. B, vol. 21, pp. 171–176, May 1998. [10] G. Ponchak and L. Katehi, “Measured attenuation of coplanar waveguide on CMOS grade Si substrates with a polyimide interface layer,” Electron. Lett., vol. 34, no. 13, pp. 1327–1329, June 1998. [11] J. Papapolymerou and G. Ponchak, “Microwave filters on a low resistivity Si substrate with a polyimide interface layer for wireless circuits,” in IEEE RFIC Symp. Dig., May 2001, pp. 125–128. [12] K. Grenier, F. Bouchribal, D. Dubuc, P. Pons, J. Graffeuil, and R. Plana, factor and losses of “Novel technological solution to improve both passive circuits on low resistivity silicon,” in Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting Dig., Grainau, Germany, April 9–11, 2003, pp. 115–117. [13] S. Robertson, L. Katehi, and G. Rebeiz, “Micromachined -band filters,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 598–606, Apr. 1996. [14] G. Ponchak, A. Margomenos, and L. Katehi, “Low loss CPW on low resistivity Si substrates with a micromachined polyimide interface layer for RFIC interconnects,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 866–870, May 2001. [15] G. Ponchak, E. Tentzeris, and J. Papapolymerou, “Coupling between microstrip lines embedded in polyimide layers for 3D-MMIC’s on Si,” in IEEE MTT-S Int. Microwave Symp. Dig., May 2001, pp. 1723–1726. [16] G. Ponchak, E. Dalton, A. Bacon, J. Papapolymerou, and E. Tentzeris, “Measured propagation characteristics of finite ground coplanar waveguide on silicon with a thick polyimide interface layer,” in Eur. Microwave Conf. Dig., Milan, Italy, Sept. 2002, pp. 167–170. [17] G. Ponchak, L. Katehi, and E. Tentzeris, “Characterization of the coupling between adjacent FGC waveguides,” Int. J. Microcircuits Electron. Packag., vol. 20, no. 4, pp. 587–592, Fourth Quarter 1997.

Q

W

PAPAPOLYMEROU et al.: CROSSTALK BETWEEN FGC WAVEGUIDES OVER POLYIMIDE LAYERS FOR 3-D MMICs ON Si SUBSTRATES

[18] M. Riaziat, R. Majidi-Ahy, and I. Feng, “Propagation modes and dispersion characteristics of coplanar waveguides,” IEEE Trans. Microwave Theory Tech., vol. 38, pp. 245–250, Mar. 1990. [19] G. Ponchak, J. Papapolymerou, and E. Tentzeris, “Coupling between finite ground coplanar waveguides embedded in polyimide layers for 3D-MMIC’s on Si,” in Eur. Microwave Conf. Dig., London, U.K., Sept. 2001, pp. 25–29. [20] K. C. Gupta, R.Ramesh Garg, I.Inder Bahl, and P.Prakash Bharitia, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996, pp. 375–404. [21] D. A. Hill, K. H. Cavcey, and R. T. Johnk, “Crosstalk between microstrip transmission lines,” IEEE Trans. Electromagn. Compat., vol. 36, pp. 314–321, Nov. 1994. [22] S. A. Schelkunoff and T. M. Odarenko, “Crosstalk between coaxial transmission lines,” Bell Syst. Tech. J., vol. 16, pp. 144–164, 1937.

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. In August 2001, he joined the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Assistant Professor. He has authored or coauthored over 70 publications in peer reviewed journals and conferences. His research interests include the implementation of micromachining techniques and microelectromechanical system (MEMS) devices in microwave, millimeter-wave, and terahertz circuits and the development of both passive and active planar circuits on Si and GaAs for high-frequency applications. Dr. Papapolymerou was the recipient of the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China (August 17–19, 2002), and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter.

George E. Ponchak (S’82–M’83–SM’97) received the B.E.E. degree from Cleveland State University, Cleveland, OH, in 1983, the M.S.E.E. degree from Case Western Reserve University, Cleveland, OH, in 1987, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1997. In 1983, he joined the staff of the Communication Technology Division, National Aeronautics and Space Administration (NASA) Glenn Research Center, Cleveland, OH, where he is currently a Senior Research Engineer. From 1997 to 1998 and 2000 to 2001, he was a Visiting Lecturer with Case Western Reserve University. He has authored and coauthored over 90 papers in refereed journals and symposia proceedings. His research interests include the development and characterization of microwave and millimeter-wave printed transmission lines and passive circuits, multilayer interconnects, uniplanar circuits, microwave microelectromechanical (MEMS) components, and microwave packaging. He is responsible for the development of GaAs, InP, and SiGe MMICs for space applications. Dr. Ponchak is a senior member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and a member of the International Microelectronics and Packaging Society (IMAPS). He was the recipient of the Best Paper of the ISHM’97 30th International Symposium on Microelectronics Award. He was editor of a Special Issue on Si MMICs of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He founded the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems and served as its chair in 1998 and 2001 and its digest editor in 2000 and 2003. In addition, he has chaired many IEEE MTT-S International Microwave Symposium workshops and special sessions. He is a member of the IEEE MTT-S International Microwave Symposium (IMS) Technical Program Committee (TPC) on Transmission Line Elements and serves as its chair. He is a member of the IEEE MTT-S Administrative Committee (AdCom) Membership Services Committee.

1301

Edan Dalton received the B.S. degree in electrical engineering from Auburn University, Auburn, AL, in 2000, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology, Atlanta. He is currently a Research Assistant with the ATHENA Research Group, Georgia Institute of Technology, and works closely with the NSF Packaging Research Center and the Yamacraw Research Center of the State of Georgia. His main research interest is time-domain electromagnetic simulation techniques with an emphasis on finite-difference time-domain (FDTD) and multiresolution time-domain (MRTD) modeling, and hybrid techniques. He also researches multilayer RF packaging and electromagnetic interference modeling.

Andrew Bacon received the B.S. (with high honors) and M.S. degrees from the Georgia Institute of Technology, Atlanta, in 2001 and 2002, respectively, both in electrical and computer engineering. He was with the MircTech Research Group, George Institute of Technology, where he was involved with folded coplanar-waveguide slot antennas and other coplanar structures. He was also involved with polyimide processing and integration of RF circuitry onto CMOS grade silicon. He is currently an Engineer with Northrop Grumman, Baltimore, MD.

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany. He has authored or coauthored over 120 papers in refereed journals and conference proceedings and six book chapters. He has helped develop academic programs in highly integrated packaging for RF and wireless applications, microwave MEMs, SOP-integrated antennas and adaptive numerical electromagnetics (FDTD, multiresolution algorithms). He is the Georgia Tech NSF-Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the Leader of the Novel Integration Techniques Sub-Thrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. Dr. Tentzeris is member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA. He is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He was the recipient of the 2003 IEEE CPMT Outstanding Young Engineer Award, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China), the 2002 Georgia Tech-Electrical and Computer Engineering (ECE) Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award, and the 1997 Best Paper Award, International Hybrid Microelectronics and Packaging Society.

1302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Signal and Noise Conversions in RF-Modulated Optical Links Mohammad Reza Salehi, Student Member, IEEE, Yannis Le Guennec, and Beatrice Cabon, Member, IEEE

Abstract—The power spectral density of the optical intensity in RF modulated optical links is investigated theoretically and experimentally in interferometric systems with a good agreement. The influence of the Henry factor of directly modulated distributed feedback laser diodes is shown on the conversion of phase noise into intensity noise for the first time. Index Terms—Direct modulation, distributed feedback (DFB) laser, intensity noise, interferometric systems, microwave photonics mixing, optical links, phase noise.

I. INTRODUCTION

T

HE semiconductor laser is one of the most important light sources in fiber-optical and integrated optical systems [1]. This is due to its high efficiency, simplicity of modulation, and compact size. Distributed feedback (DFB) lasers are fast becoming the transmitters of choice in most optical communication systems today. In optical communication systems, many types of impairments (e.g., noises) are added to the signal [2], [3]. These impairments result in a fluctuation to the signal. Thus, the statistical properties of the signal are changed and, therefore, they can degrade the system performance. Laser phase noise results from fluctuations in the difference between the phases of two identical waves separated in time. The phase noise is very important in designing optical-fiber communication systems. The dynamic range of many optical signal-processing and sensing devices incorporating two-beam interferometers, such as Mach–Zehnder (MZ), can be limited by random phase fluctuations of the optical source emission field [4], [5]. The analysis of the fluctuations of the optical power is essential to understanding the degradation of the phase noise of the optical link. Quality of the transported information is crucial as, for instance, the close-to-carrier phase noise of a local oscillator (LO). The phase noise of the LO must be preserved to match the requirements during signal processing and analysis, e.g., in radar applications. Several authors have considered the effects of phase-to-intensity noise conversion on noisy light without modulation [3]–[7]. We, and other authors, have considered optical processing of microwave subcarriers with conversion of frequency modulation (FM) into intensity modulation (IM). Optical carriers are Manuscript received October 2, 2003. The authors are with the Institut de Microelectronique, Electromagnétisme et Photonique, UMR CNRS 5130 Centre National de la Recherche Scientifique, Ecole Nationale Supérieure d’Electronique et Radioélectricité de Grenoble, 38016 Grenoble Cédex, France (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825733

then modulated by interferometers like MZ modulators [8], [9]. However, the conversion of FM noise (due to chirp of diodes) into intensity noise in these interferometric systems has never been considered before. The problem addressed here concerns the phase-to-intensity noise conversion process in microwave optical links. It occurs whenever self-delayed interference exist either due to the insertion of an optical cavity or an interferometric structure like an unbalanced MZ interferometer. In previous studies, we have considered this system for microwave-photonics signal processing. We demonstrated that an passive unbalanced Mach–Zehnder (UMZ) can generate frequency conversion of analog microwave subcarriers with or without digital modulation [9], [10], where a directly modulated laser diode (LD) with a large chirp is used for FM-to-IM conversion at the output of the MZ. The higher the chirp, the higher the FM index is and the larger the mixing conversion gain is. In this paper, we consider the conversion of FM noise and the influence of . Section II presents phase-to-intensity noise conversion with the MZ interferometer without modulation of the LD. Section III deals with FM-to-intensity noise conversion with direct modulation of an LD, and the effect of the laser chirp coefficient . Difvalues are investigated ferent frequency-modulation index and their effects on the noise power spectra are demonstrated. noise are considered. The objective is Both white noise and to characterize noise in analog RF modulated optical links under direct modulation. Investigations are made on both experimental and theoretical points-of-view. It is shown that the low-frequency noise is reduced by a proper choice of the FM index . Section IV presents an example of signal processing using a UMZ. Section V shows experimental results and excellent agreement with theory. II. CONVERSION OF PHASE-TO-INTENSITY NOISE IN AN INTERFEROMETER WITHOUT MODULATION In this case, the input optical field into the interferometer is

where is the field amplitude, is the center angular optical frequency, is the constant phase of laser, and is the instantaneous phase representing the laser phase noise. The schematic diagram of a fiber-optic system using an UMZ is shown in Fig. 1 with a delay between the two arms , is the effective index of the fiber, is the light veis the length difference. locity, and

0018-9480/04$20.00 © 2004 IEEE

SALEHI et al.: SIGNAL AND NOISE CONVERSIONS IN RF-MODULATED OPTICAL LINKS

1303

Fig. 1. Fiber-optic MZ. DFB: DFB laser. PD: photodetector.

All modeling data obtained here are related to an experimental DFB laser emitting at 1550 nm that has been used in combination with the UMZ for signal-processing purposes [9]. , detected by the optical receiver The light intensity between placed at the output of the interferometer of delay the two arms, is expressed as

The interference term

is (1)

Fig. 2. Microwave-photonics signal-processing system.

where and are constants, , is the co, is the laser linewidth that can herence time, , is the linewidth be expressed as predicted by the well-known Schawlow–Townes formula [11], and is the linewidth enhancement factor introduced by Henry [12] (also called the chirp factor) to explain the experimental , which can values reported by Fleming and Mooradian [13] be written as

where is the group velocity of the light in the active medium, is the lasing photon energy, is the spontaneous emission factor [14], is the loss, and is the output power per facet, , is the coherence where is the gain, noise. The NPSD can be written as time of

Equation (1) can be written as (2)

where noise variable

is the average optical intensity and the phaseis defined as

is the Fourier transform. where Simulation results fit experimental measurements very well and have been as will be shown in Section V. Constants extracted from fitting our experimental data, as shown in Section V. We have then obtained Hz

The noise power spectral density (NPSD) can be calculated from the normalized Fourier transform of the autocorrelation of the interference term . The autocorfunction relation function is

which leads to

Hz

s.

III. INFLUENCE OF CHIRP ON INTENSITY NOISE IN MICROWAVE MODULATED INTERFEROMETRIC SYSTEMS

can be

The schematic diagram of a microwave-photonics signal-processing system with consideration to the direct modulation of a laser is shown in Fig. 2. The optical field at the input of the interferometer has both amplitude and FMs. It is expressed as

where represents the spectrum of the instantaneous frequency fluctuation (FM-noise spectrum). The FM noise consists and a noise component of a white noise component . We have

where is the field amplitude, is the IM index, is the FM is the modulation frequency index (due to the LD chirp), is the constant phase of the laser, and (microwave range), is the instantaneous phase and represents the laser phase noise. Under small sinusoidal signal modulation, and are correlated by [15]

At quadrature operation of the interferometer, written as [5]

(3)

1304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

where is a characteristic angular frequency depending on the is high enough, but lower LD and on its bias point. When than , is approximated to (4) Our experimental DFB LD has a resonant frequency around 7 GHz at a bias point of 35 mA, a threshold current mA, a slope efficiency mW/mA, and . and GHz In the case of a fixed IM index, for our experimental laser. Above this frequency, is constant and equals one. The effects of large FM with large have been explored by investigating different modulation frequencies since decreases with [see (3)] [16]. can be written as The interference term Fig. 3. Normalized NPSD in the incoherent regime ( = 6:61 ). (a) = 2, f = 800 MHz. (b) = 28, f = 50 MHz. (c) = 140, f = 10 MHz.

(5) At quadrature operation of the interferometer, the autocorreof can be written as lation function

Fig. 4. 2,

f

(6) (see the Appendix ). The NPSD can be calculated as (7) [ , , These NPSDs under various and and in (3)] are shown in Figs. 3 and 4 for the previous . This value of makes the values of , , and comparison with experimental data of Section V feasible. The modulation will shift the low-frequency noise spectrum . For greater simto harmonics of the modulation frequency . Figs. 3 and 4 plicity, the noise power is shown around

f

Normalized NPSD in the incoherent regime ( = 6:61 ). (a) = = 14, f = 100:001 MHz. (c) = 28,

= 800:028 MHz. (b) = 50:003 MHz.

demonstrate that the variations in the NPSD with frequency depend strongly on . The close to carrier phase noise is reduced by a proper choice of (which depends on both and ). In Section IV, we show that we have interest in increasing the FM index for the purpose of better frequency mixing of analog microwave subcarriers [9]. It is shown here that we also have interest in having a large for noise reduction in interferometric systems with direct modulation. IV. EXAMPLE OF SIGNAL PROCESSING: OPTICAL MICROWAVE MIXING USING UMZ INTERFEROMETER Microwave mixing is frequency conversion of the RF signal by using an LO. In optical broad-band links, microwave mixing can be generated all optically; this avoids electrical-to-optical conversion loss. Mixing is always generated by exploiting a nonlinearity. The nonlinearity in optical processing is based on the sinusoidal function of output optical power at the output of the interferometer.

SALEHI et al.: SIGNAL AND NOISE CONVERSIONS IN RF-MODULATED OPTICAL LINKS

1305

In the case of this paper, mixing is generated by an original and method detailed in [17] and [18]. The two frequencies directly modulate a DFB LD, which is followed by a passive UMZ. Direct modulation of the LD induces FM of the light. The optical power at the output of the interferometer is also a sinusoïdal function of the optical frequency. Indeed, if we neglect the IM, the optical field emitted by the LD can be written as

(8) where is the amplitude of the optical field, and and are the FM index at frequency and . This optical field contains all the harmonics and intermodulation products of the input signals. However, the interferometer acts as a linear filter on this optical field and can consequently suppress some spectral components. Under the two conditions stated hereafter, the optical power at the output of the UMZ, calculated from the coherent beating of the optical field, has the form

Fig. 5. Schematic experimental setup. TC: temperature controller. PCS: precision current source. SG: microwave synthesizer. DFB: DFB laser. I: isolator. D: divisor. AOM: acoustooptic modulator. PD: photodetector. SA: spectrum analyzer.

(9) where is the insertion loss of the interferometer including the coupling and propagation loss. Better mixing is obtained under these two conditions. The first condition concerns specific relations between input frequencies values and the free spectral range (FSR) of the interferometer [18]

(10a) The second one concerns the transmission regime, which has to be maximum or minimum (10b) with representing the LD optical frequency. This condition is fulfilled by changing biasing current of the LD and by thermal control of both the LD and the glass substrate of the MZ. Supposing that the LO power is chosen in order to maximize the mixing power and that the RF power is low, the power detected at the mixing frequencies after quadratic photodetection can then be written as [18] (11) where relates to optical/electrical conversion and is the optical IM index that can be measured by a photodetector (PD) placed directly at the output of the LD.

Fig. 6. Spectrum at the output of the UMZ.

Here, we assumed that, at are related by

, the intensity and FM index

From (11), logically, the greater is, the more efficient the FM response due to the chirp of the LD is, and the more efficient the mixing power. V. EXPRIMENTAL RESULTS This shows experimental results for both purposes of signal processing and noise reduction. The experimental setup is shown in Fig. 5. The measurement setup is basically an MZ interferometer. The laser examined is a DFB laser with a wavelength of 1550 nm. An isolator is used in order to prevent any disturbing reflection back to the laser. A. Signal Processing: Example of Frequency Conversion The two signals RF and LO are applied by the two different microwave synthesizers, which directly modulate the DFB LD. Fig. 6 shows the detected microwave spectrum at the output of the UMZ at a maximum of transmission. Input signals are the LO signal at GHz and a binary phase-shift keying (BPSK) signal modulating an RF signal at GHz. GHz. These frequency values respect (10a) with In Fig. 6, it is shown that the subcarrier of the digital signal is GHz and effectively converted at

1306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 9. Measured NPSD in the incoherent regime ( = 6:61 ), Span = = 800:028 MHz. (b) = 10 MHz, and RBW = 10 kHz. (a) = 2, f = 100:001 MHz. (d) = 28, 9:38, f 150:011 MHz. (c) = 14, f f = 50:003 MHz (with modulation).

Fig. 7. Normalized NPSD in the coherence regime and without modulation. Measurements and calculations are compared (without modulation).

Fig. 10. Measured NPSD in the incoherent regime ( = 6:61 ), Span = 2 MHz and RBW = 10 kHz. (a) = 2, f = 800:028 MHz. (b) = 9:38, f = 150:011 MHz. (c) = 14, f = 100:001 MHz. (d) = 28, f = 50:003 MHZ (with modulation).

Fig. 8. Normalized NPSD in the coherence regime and without modulation. Measurements and calculations are compared (without modulation).

and m). The microwave synthesizer SG delivers a microwave signal at four different frequencies, i.e., and MHz, in order to have four different values of according to (3). The modulation . It is shown that the higher is, index is fixed at the lower the NPSD is. This confirm the simulation results of Figs. 3 and 4. VI. CONCLUSION

GHz. An efficient mixing process is demonstrated because the DFB laser has an enhancement factor of approximately five despite the fact that the two input RF and LO signals do not are respect (10a) well, which explains why harmonics of not well rejected. B. Noise Conversion With and Without Modulation In this experiment, we have introduced an acoustooptic modulator (AOM) in order to shift the beat note frequency around is 40 MHz instead of zero because measuring around difficult by a spectrum analyzer. First, we do not have any RF signal from the microwave synthesizer (SG), and the DFB LD is not modulated. The measured spectra are shown in Figs. 7 and 8 m. for the case of the coherent regime Fig. 8 is a zoom of Fig. 7. Simulation data with Hz and Hz are plotted with measurement curves. As can be shown, a very good agreement is obtained between simulation and experiment. Direct RF modulation of the LD driving current is then applied. Both FM and IM exist. The measurements of Figs. 9 and 10 show the influence of the modulation index on noise power for the incoherent regime (

In this paper, we have presented theoretical calculations and experimental results on optical microwave signal processing and on conversion of FM noise to intensity noise in an RF-optical link that use an UMZ interferometer and a directly modulated DFB LD. The calculations are valid for any regime of interference, coherent and incoherent. We have also shown that FM of the optical field can be exploited to generate microwave mixing by using an unbalanced interferometer and a directly modulated LD. We take advantage of the conversion of FM of the LD into IM at the interferometer output. We have shown that a digital BPSK signal can be transmitted with up/down conversion of the microwave sub-carrier, and that the greater FM is, the more efficient the mixing power. In this paper, the influence of FM on intensity noise conversion is demonstrated, and it is shown that the linewidth enhancement factor has a strong influence on the noise power measured at the output of the unbalanced MZ interferometer. A proper choice of the FM and of the subsequent FM modulation index can reduce the noise conversion in self-delayed interferometers. It has been shown that the greater FM is, the lower the noise spectral density is at the output of the interferometer. Experimental confirmations are shown in this paper.

SALEHI et al.: SIGNAL AND NOISE CONVERSIONS IN RF-MODULATED OPTICAL LINKS

We can then conclude that FM modulation of a DFB LD allows better mixing power, as well as reduced noise at the interferometer output. The simulations shown here of NPSDs can be used to estimate the laser phase-noise performance and dynamic range of optical two-beam interferometer systems. These can be applied in optical radar signal processing where signal integrity is mandatory.

1307

For this reason, the term including Also, by using , can be written as

will be neglected.

APPENDIX The autocorrelation function

is

(12) By using (5) and (12), and , we obtain

(14) By using

, (14) can be written as

(15) By using a calculation of

[19], we have (16)

(13)

Also, we have

The variations of term

(17) Equation (17) can be written as

are on a much bigger scale than those due to the term involving as follows: (18) We have (19)

1308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

By using (18) and (19), we obtain

(20)

, we have

From (20), and using

(21)

By using (16) and (21), we obtain

(22)

The fast PD used follows the fast variations of the signal, but cannot follow the variations of the phase of noise because the variations of the phase of noise are very fast. Therefore, it is necessary to consider the average only in part of the phase. From , , and are (15) and (22) (also the terms including can then be written neglected), the autocorrelation function as

REFERENCES [1] D. McDonald and R. F. O’Dowd, “Comparison of two-and three-level rate equations in the modeling of quantum-well lasers,” IEEE J. Quantum Electron., vol. 31, pp. 1927–1936, Nov. 1995.

[2] Y. L. Chang, “Optical parameter analysis of optical fiber digital communication detection circuit,” Opt. Precision Eng., vol. 9, pp. 77–79, 2001. [3] W. Marshall, B. Crosignani, and A. Yariv, “Laser phase noise to intensity noise conversion by lowest-order group-velocity dispersion in optical fiber: Exact theory,” Opt. Lett., vol. 25, no. 3, pp. 165–167, 2000. [4] B. Moslehi, “Noise power spectra of optical two-beam interferometers induced by the laser phase noise,” J. Lightwave Technol., vol. LT-4, pp. 1704–1710, Nov. 1986. [5] K. Kikuchi, “Effect of 1=f -noise on semiconductor laser linewidth residual in high power limit,” IEEE J. Quantum Electron., vol. 25, pp. 684–688, Apr. 1989. [6] W. Shieh and L. Maleki, “Phase noise of optical interference in photonic RF systems,” IEEE Photon. Technol. Lett., vol. 10, pp. 1617–1619, Nov. 1998. [7] J. L. Gimlet and N. K. Cheung, “Effect of phase-to-intensity noise conversion by multiple reflections on gigabit-per-second DFB laser transmission systems,” J. Lightwave Technol., vol. 7, pp. 888–895, June 1989. [8] J. Marti, F. Ramos, V. Polo, J. M. Fuster, and J. L. Corral, “Millimeter-wave signal generation and harmonic upconversion through PM-IM conversion in chirped fiber grating,” Fiber Integrated Opt., vol. 19, pp. 187–198, 2000. [9] G. Maury, A. Hilt, T. Berceli, B. Cabon, and A. Vilcot, “Microwave frequency conversion methods by optical interferometer and photodiode,” IEEE Trans. Microwave Theory Tech., vol. 45, pp. 1481–1485, Aug. 1997. [10] Y. L. Guennec, B. Cabon, and G. Maury, “Conversions of the microwave subcarriers of digital signals in optical links,” presented at the IEEE LEOS Conf., San Diego, CA, Nov. 2001. [11] A. L. Schawlow and C. H. Townes, “Infrared an optical masers,” Phys. Rev., vol. 112, pp. 1940–1949, Dec. 1958. [12] C. H. Henry, “Theory of the linewidth of semiconductor lasers,” IEEE J. Quantum Electron., vol. QE-18, pp. 259–264, Feb. 1982. [13] M. W. Fleming and A. Mooradian, “Fundamental line broadening of single-mode (GaAl)As diode lasers,” Appl. Phys. Lett., vol. 38, pp. 511–513, 1981. [14] H. Houg and H. Haken, “Theory of noise in semiconductor laser emission,” Z. Phys., vol. 204, pp. 262–275, 1967. [15] K. Petermann, Laser Diode Modulation and Noise. Tokyo, Japan: Tokyo Univ. Press, 1991. [16] M. R. Salehi, B. Cabon, and Y. Leguennec, “Influence of chirp effect of DFB laser in phase-to-intensity noise conversion in RF-modulated optical links,” in IEEE MTT-S Int. Microwave Symp. Dig., June 8–13, 2003, pp. 1371–1374. [17] T. Young, J. Conradi, and W. R. Tinga, “Generation and transmission of generation of FM and =4 DQPSK signals at microwave frequencies using generation and optoelectronic mixing in Mach–Zehnder modulators,” IEEE Trans. Microwave Theory Tech., vol. 44, pp. 446–453, Mar. 1996. [18] Y. Le Guennec, G. Maury, and B. Cabon, “BER performance comparison between an active Mach–Zehnder modulator and passive Mach–Zehnder interferometer for conversion of microwave subcarriers of BPSK signals,” Microwave Opt. Technol. Lett., vol. 36, no. 6, pp. 496–498, 2003. [19] L. S. Cutler and C. L. Searle, “Some aspects of the theory and measurement of frequency fluctuations in frequency standards,” Proc. IEEE, vol. 54, pp. 136–154, Feb. 1966.

Mohammad Reza Salehi (S’02) was born in Tehran, Iran. He received the B.Sc. degree in electrical engineering from the Amirkabir University of Technology (Tehran Polytechnique), Tehran, Iran, in 1991, the M.Sc. degree in electrical engineering from Shiraz University, Shiraz, Iran, in 1995, and is currently working toward the Ph.D. degree in optics–opto-electronics–opto-microwaves at the Institute of Microelectronics, Electromagnetism and Photonics (IMEP) Laboratory, Grenoble, France. His research interests include opto-electronics, microwave photonics, quantum electronics, and optical systems.

SALEHI et al.: SIGNAL AND NOISE CONVERSIONS IN RF-MODULATED OPTICAL LINKS

Yannis Le Guennec was born in Le Havre, France, on May 20, 1977. He received the Engineer degree from the Grenoble National School for Physics, Grenoble, France, in 2000, and the Ph.D. degree from the National Polytechnics Institute of Grenoble (INPG), Grenoble, France, in 2003. His research interests include microwave photonics and digital signals.

1309

Beatrice Cabon (S’93–M’95) received the Ph.D. degree in microelectronics from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1986. From 1986 to 1989, she held a post-doctoral position with the National Center of Telecommunications, Grenoble, France. In 1989, she joined the INPG, where she is currently a Professor with the Ecole Nationale Supérieure d’Electronique et Radioélectricité de Grenoble (ENSERG), National Engineering School in electronics/INPG. She is Director of the RF–Microwave–Microwave/Photonics Research Group, Institute of Microelectronics, Electromagnetism, and Photonics (IMEP) of the INPG–Centre National de la Recherche Scientifique (CNRS). She has contributed to over 180 technical publications in these areas. She edited four books on microwave photonics and has authored several book chapters. Her research interests include microwave photonics, photonic microwave signal processing, and optical links for high bit-rate signals. Prof. Cabon is a member of the IEEE Lasers and Electro-Optics Society (IEEE LEOS) and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). She is a member of the Technical Committee on Microwave Photonics of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), IEEE MTT-3. She is member of the Technical Program Committee (TPC) of the IEEE International Topical Meeting on Microwave Photonics and the International Microcoll Conference. She was an expert for auditions for the European Commission for the programs ACTS and COPERNICUS in 1997. She was an expert for auditions and evaluations for the program Information Society Technologies (IST) of the European Commission in 2002 and 2003. She was coordinator of the two European projects PROCOPE (1993–1996) and BALATON (1994–1997). She was the organizer of the international summer school on optics/microwaves interactions (OMW) (1998–2000), which is funded by the Training Mobility Research (TMR) Program of the European Commission and the IEEE MTT-S. Since 1999, she has been coordinator at the national French level of the Optics and Microwaves Club of the French Optical Society S.F.O. She is currently coordinator of a network of excellence IST-2001-32786 “NEFERTITI” on Microwave Photonics with 28 European organizations from nine countries, which is a project of the IST program funded by the European Commission.

1310

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

General Envelope-Transient Formulation of Phase-Locked Loops Using Three Time Scales Sergio Sancho, Almudena Súarez, Senior Member, IEEE, and Jeffrey Chuan

Abstract—In this paper, a novel time-frequency method for the analysis of phase-locked loops (PLLs) has been developed. It enables an efficient and realistic simulation, taking into account the spurious frequency components generated at the phase detectors and intrinsic to their nonlinear performance. The variables of the loop equations are expanded in a Fourier series, at these spurious frequencies, with time-varying phasors. This generalizes the envelope-transient analysis to loops containing three different time scales, provided by the frequency of the voltage-controlled oscillator, external signals, and noise or modulations, respectively. The new technique substantially reduces the computational cost in the simulation of transients and acquisition times in the common case of a narrow-band loop. It also allows considering low-frequency noise perturbations, while taking into account the spurious frequency components. In the absence of modulation, the harmonicbalance (HB) formulation of the loop equations enables an efficient analysis of the variation of the PLL solution versus any parameter of interest. In addition, the conversion matrix approach, based on this HB formulation, can be used to determine the output noise spectrum from frequency-domain descriptions of the input noise sources, while taking the spurious content into account. To show the generality of application of the techniques, two different PLLs have been considered here: a frequency synthesizer with a tri-state comparator and charge pump, and an automatic frequency-control loop. In each case, the results have been successfully compared with time-domain simulations and measurements. Index Terms—Modulation, nonlinear systems, phase-locked loops (PLLs), phase noise, simulation, stability.

I. INTRODUCTION

I

N PREVIOUS papers [1], [2], the nonlinear analysis of the phase-locked loops (PLLs) has been carried out through time-domain integration of the loop equations. These nonlinear equations relate the complex envelope of the output analytic signal to the loop inputs. This enables the simulation of the PLL transients and acquisition times and the determination of the hold-in and lock-in ranges. An accurate simulation requires the use of realistic models for the analog or digital phase detectors (PDs) [1], [2]. However, these are nonlinear components that, even in the phase-locked regime, generate harmonics of the input frequencies [3]. In the common case

Manuscript received October 16, 2003; revised December 26, 2003. This work was supported by the Spanish Comisión Interministerial de Ciencia y Tecnología under Project TIC2002-03748. The work of S. Sancho was supported by the Spanish Ministry of Science and Technology. The authors are with the Communications Engineering Department, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825667

of only one external signal and assuming stable behavior, the loop phase variables will be periodic in the steady-state regime, with the same period as the input signal. Problems will arise if the loop filters (LFs) have low cutoff frequency since the transients turn out to be extremely long due to the small loop bandwidth. An enormous number of simulation points will be required and, in these conditions, reaching the steady state or even deciding whether the loop is locked or unlocked may be a difficult matter [4]. The simulation is also computationally expensive when noise perturbations of very low frequency are considered, which prevents an accurate noise analysis, in the presence of spurious frequencies. A similar situation will be encountered in the case of loops containing modulated signals, such as the automatic-frequency control loops, if the modulation has low-frequency components. The simulation problems indicated in the previous paragraph suggest the use of three different time scales in the PLL analysis, respectively, corresponding to the frequency of the voltage-controlled oscillator (VCO), frequency of the external input or reference, and frequency bandwidth of the loop itself, determined by the LF. The time variations due to noise or modulations in the loop signals would be associated to the slowest time scale. Taking this into account, a new time-frequency method for PLL analysis will be presented here. This method extends the envelope-transient analysis of circuits [5]–[8] to the PLL analysis and to the use of three time scales. As will be shown, the method can be applied to PLLs based on PDs of both analog and digital types. For illustration, it will be particularized to a frequency synthesizer, based on a tri-state comparator with a charge pump (CP), and to an automatic-frequency control loop, with a modulated input signal. In the absence of modulations, constant phasors can be considered in the Fourier-series expansions of the loop variables. The resulting harmonic-balance (HB) formulation can be used to determine the PLL solutions versus any parameter of interest. Actually, the HB method is efficiently combined with continuation techniques [9]. Its application to the PLL analysis will allow the determination of the ranges of phase-locked behavior, in terms of one or two parameters. It will also provide the variation of the spur power level versus the loop gain or any other parameter. The PLL stability can be accurately determined through the perturbation analysis of the HB system. The HB equations will be linearized about the nonlinear steady-state solution, at the spurious frequencies, obtaining the poles of the characteristic system. For stability, all the poles must be located on the left-hand side of the complex plane. The HB formulation will

0018-9480/04$20.00 © 2004 IEEE

SANCHO et al.: GENERAL ENVELOPE-TRANSIENT FORMULATION OF PLLs USING THREE TIME SCALES

Fig. 1. Schematic of a general frequency synthesizer based on the use of a tri-state PD with a CP. The schematic shows the different signals and functions that are used in the text. The input (t) represents the noise perturbation in the (t) represents the noise perturbation phase of the reference signal, while in the phase of the VCO signal.

also enable the extension of the conversion matrix approach [10] to the PLL phase-noise analysis. The nonlinear devices will be replaced by their conversion matrices, obtaining, also, the linear-network matrices at the sidebands. This will allow the use of frequency-domain descriptions for the noise sources, which is very convenient for the accurate and efficient determination of the phase-noise spectrum. This paper is organized as follows. Section II presents the HB and envelope-transient formulations of the PLL, with subsections covering the parametric analysis, through continuation techniques, stability analysis, and noise analysis. For the sake of clarity, the techniques are particularized to the case of a frequency synthesizer throughout this section. In Section III, the techniques are applied to a frequency synthesizer based on a tri-state comparator with a CP in the 2–3-GHz band. In Section IV, the envelope-transient formulation of automatic frequency-control loops is presented. The technique is applied to a frequency down-converter, from 2.7 GHz to 300 MHz, with a digitally modulated input. II. HB AND ENVELOPE-TRANSIENT ANALYSIS OF FREQUENCY SYNTHESIZERS A. HB Formulation A frequency synthesizer is made up of a VCO, frequency divider by , PD, and LF, as sketched in Fig. 1. An external at the frequency oscillator provides the reference signal . For the nonlinear analysis of the frequency synthesizer [1], the loop output signal is written as an analytic signal , where is the free-running frequency is a time-varying phase shift. The loop of the VCO and equation relates the complex envelope of this analytic signal to . The locked or unlocked state of the loop the external input is determined by the nature of . Assuming the absence of is ideally constant when noise and modulations, the phase the loop is locked. However, the PD generates harmonic comthat, even in locked beponents of the reference frequency havior, give rise to an incidental frequency modulation of the synthesizer output [3]. For the loop to be locked, the phase shift must be a periodic oscillation of very small amplitude at the reference frequency about the ideally constant value . (and, therefore, the loop variables) The periodic phase can be expanded in a Fourier series, having the reference freof frequency comquency as fundamental. If a number

1311

ponents is considered, the frequencies of this expansion will be with . The HB equations, to be formulated in the following, should only contain the harmonic frequencies , which are generally much lower than the VCO frequency . The core of the HB formulation is constituted by the nonlinear model of the PD (see Fig. 1). This is written , where is the phase error between the input and the frequency-divider output, signal . The LF , with output signal whose phase is given by , provides harmonic relationships of the form . Finally, the time derivative of the phase error is related to the filter output signal through a functhat will generally be nonlinear. Since tion the HB equations must only contain the harmonic frequencies , the frequency divider is included in the model of . Thus, models the actions of both the VCO and frethe function quency divider blocks. As an example, in the case of the classic would be harmonilinear VCO characteristic, the function cally written as

..

.. .

. ..

.. .

.

.. .

.. .

.. .

.. .

(1)

is the VCO free-running frequency, is the VCO where sensitivity, and is the division order. Considering a general , the loop equations, in phase-locked conditions, function are given by

(2) where the vectors , , , and assemble the harmonic components of and , respectively. The general HB equation is written as follows: (3a) where is the HB error function. The unknowns of the system are the harmonic components of the phase error . In the with a pole located at the origin, a different case of an LF expression for the HB error function should be used to avoid singularity. The expression requires the separate consideration of

1312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

the numerator and denominator of , respectively, given and . Use is also made of the inverse funcby . The resulting HB equation is tion

is considered, linperturbation of complex frequency with earizing (2) about the steady-state solution at . The resulting characteristic system is

(3b) For briefness, only (3a) will be used in the remainder of this paper. As already stated, the VCO can be described through the linear equation (1) or using a more realistic behavioral model. In [2], for instance, this dynamic variation of the oscillation frequency variation is modeled using a linear transfer function . In the formulation used here, the effect of this transfer . Nonidealities of the function would be included in . On frequency divider would also be included in the other hand, the expression of the nonlinear function depends on the type of PD. In the particular case of the linear VCO model given by (1), the HB equation (3) simplifies to

(5) where the Jacobian of the composed function has to be calculated with respect to the phase error . In the case of a VCO with linear dependence (1) on the control voltage, the above system simplifies to

.. . .. . (6) (4) In contrast with time-domain integration, the resolution time of (3) and (4) is not affected by the loop bandwidth. equations is The HB system (3) composed of solved in the real and imaginary parts of the harmonics of the phase error . The algorithms for the system solution have been implemented on in-house software. The PD output signal depends both on the phase of the divider output . The latter can signal and on the phase of the input signal , taking without be modeled as loss of generality. The system (4) is solved as any standard is minimized through HB system. The error function the Newton–Raphson algorithm. The exact Jacobian matrix of the system is used, requiring a double number of harmonic in the calculation of the derivatives . components Since it is a forced system, with the reference oscillator as the as the initial forcing generator, it is possible to use value. At each iteration, the inverse Fourier transform is used . The nonlinear function to obtain is then calculated using the direct Fourier transform to obtain . For most practical applications, only a reduced number of harmonic components will be necessary, due to the presence of the LF, whose cutoff frequency is generally smaller . than B. Stability Analysis and Continuation Technique The HB simulation of the PLL must be complemented with a stability analysis in order to verify the physical existence of the obtained solutions. Here, the loop stability is determined from the perturbation analysis of the system (3). A small amplitude

Let be the degree of the original dynamical system, determined by the LF. It generally agrees with the order of the LF, plus one. The HB characteristic system (5) has infinitely many with and poles [11] of the form . For stability, the condition must be fulfilled. In the case of a high dimension of the characteristic system (5), the Nyquist stability criterion [9] can be used. In the assumed case of a periodic steady-state solution, the Floquet multipliers [9], [11] provide an alternative description of the solution stability. The periodic solution of a dynamical system of order has different multipliers. In [11], a relationship has been demonstrated between the Floquet multipliers and poles of the HB characteristic system. The multipliers have , with being the expressions . Thus, each set of poles the period of the reference signal with gives rise to the same multiplier . For stability, all the multipliers of the periodic solution must have modulus less than one. At the design stage, it is often convenient to analyze the variations of the PLL solution versus one or more parameters for an optimum selection of the component values. Examples of parameters are the division order , a filter element, or the PD gain. To carry out this analysis, the parameter is introduced into , and solving for . When using a (3), making continuation method, the parameter is discretized in different to , using the HB solution for consecutive values as initial guess for . This efficient HB analysis eliminates the need for a sequential time-domain integration of the PLL equations versus the parameter, and substantially reduces the computational effort. As an example, this technique can be applied, in the case of type-I loops, to accurately determine the

SANCHO et al.: GENERAL ENVELOPE-TRANSIENT FORMULATION OF PLLs USING THREE TIME SCALES

hold-in range versus the loop gain or the reference frequency, as has been done in [4]. Another application with interest for the user is the analysis of the variation of the power level at the reference spur. This analysis will allow the determination of the optimum loop parameters to minimize the spur power. When varying a parameter, the PLL stability conditions may undergo significant variations. The PLL may become unstable at particular values of this parameter. In other cases, the PLL keeps stable, but the stability margin substantially varies along the considered parameter interval. A reduced stability margin may have a big impact on the output noise spectrum. Actually, this reduced margin gives rise to noise amplification about the natural frequencies that are close to instability [1], [12]. In order to study this, the stability-analysis technique will be applied along the entire range of variation of the parameter . This will be done using the HB continuation technique to obtain the steady-state solution. The system poles or Floquet multipliers (in case of a periodic steady state) will be calculated for each solution. A reduced stability margin would correspond to a real multiplier or a with couple of complex conjugate multipliers modules too close to unity. The reduced stability margin gives . The rerise to noise amplification about the frequencies sulting “bumps” in the spectrum are known as “noisy precursors” [12]. In the PLL terminology, they are often referred as noise “shoulders” [3]. C. Envelope-Transient Analysis With Three Time Scales The envelope-transient analysis in three time scales will be used for the simulation of the synthesizer in the presence of noise and modulations. The phasors in the Fourier series expansions of the loop variables are considered to be time varying. The signals are expressed as

(7) stands for any of the signals or where and for the corresponding time-varying phasors [4]–[6]. Introducing these expressions in (3), a system of nonlinear differential equations is obtained in the time-varying phasors of the state variables. To avoid excessive formal complexity, the envelope-transient formulation will be particularized in the following to the case of a linear VCO characteristic. The expansions (7) will be introduced in (4). The old linear matrices and will now operate algebraically in terms of , , on the and through the time derivative operator time-varying harmonic components as follows:

.. . .. . (8)

1313

allows a compact expression of The notation abuse the envelope-transient system that otherwise would be unnecessarily complex. The above equation gives rise to a set of differential equations, whose order is determined by the degree of the original dynamical system (determined by the LF), which is usually a small number. In the case of narrow-band encould be expanded in a first-order velopes, the matrix Taylor series about , as has been done in [5]. Instead of this, the -order system (8) is reformulated here as a system equations of the first order. This requires inof troducing new variables for the different-order time derivatives of the phase error. This formulation avoids the narrow-band requirement for the harmonic envelopes, in a similar way to the circuit formulations of [6]–[13]. Of course, there is an inherent limitation in the envelope bandwidth, in order for the technique to be of computational interest, compared to standard time-domain integration. As in the case of the HB system (4), the algorithms for the resolution of the envelope-transient system (8) have been implemented on in-house software. The particular integration algorithm has great relevance on the convergence process since the equations implicitly contain frequencies of the envelope basis due to the linear matrices in (8). The backward-Euler algorithm, also used in [13], allows good convergence in the integration of the envelope-transient system. The application of this algorithm involves an HB resolution for each time value in order to de. termine D. Noise Analysis The envelope-transient method can be applied to the accurate noise analysis of the frequency synthesizer. Again, for simplicity of the formulation, only the case of a VCO with a linear frequency characteristic (1) will be considered here, although the results can be generalized to other characteristics. Noise perturbations will be introduced in the phase of the input signal, , and in the phase of the VCO output, given by given by (see Fig. 1), although other noise contributions can also be considered. For low-amplitude noise, the nonautonomous system (8) may be linearized about the nonlinear steady-state solution. Taking into account the steady-state relationship (4), the noise-perturbation equation is written as follows:

(9) where Jacobian matrices of the nonlinearities with respect to the two sets of variables and have been introduced. The , which provides linear equation (9) is easily solved for the phase-error perturbation. Given that the noise amplitude is can be small, the phase perturbation at the VCO output , as . Note directly calculated from is the phase error in time domain, expressed as in that has harmonic components at , noted (7). As a result, by . Therefore, the phase-noise envelope about the VCO

1314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

carrier will be calculated from . The higher will provide the phase noise about the order harmonics of the VCO output. respective harmonic frequencies The noise contributions and can also be described as a , as summation of pseudosinusoids at the offset frequencies has been done in [7]. Thus, each noise source is represented as (10) Combining (9) and (10), the following frequency-domain equivalence of the noise-perturbation equation is obtained: (a)

(b)

Fig. 2. Model for the tri-state PD with CP. The PD turn-on time and the CP current mismatch are taken into account. Two different cases are considered. (a) The lowering edge of the reference signal leads that of the feedback signal. (b) The lowering edge of the feedback signal leads that of the reference signal.

(11) The above equation agrees with the conversion-matrix formulation of the original HB system, equivalent to [10]. It provides the spectral density of the phase error. To obtain the phase-noise spectral density at the loop output, the above equation must be . Thus, the combined with the relationship phase-noise spectrum about the VCO carrier is calculated multiby its adjoint vector , making use plying of the actual correlation matrices of the noise inputs, as is done in the standard conversion-matrix approach [10]. III. APPLICATION TO A FREQUENCY SYNTHESIZER WITH A TRI-STATE PD AND CP The tri-state comparator [14]–[16] is a digital PD that produces two output signals. The UP signal goes high on the lowering edge of the reference input, while the DOWN signal goes high on the lowering edge of the feedback input. When both outputs are high, a reset signal makes both outputs go low, thus, ideally, the only three possible states are 10, 01, 00. The tri-state comparator is used in combination with a CP (see Fig. 1). The LF is composed of a resistor and capacitor, in series connection from the CP output to ground. The CP injects a positive current (of constant value) to the LF when the UP signal is high. It when the DOWN signal is high. injects a negative current Ideally, no current is injected in phase-locked regime. This is due to the fact that the capacitor is loaded with the voltage that is required to maintain the oscillator at the desired values of frequency and phase. In [14], models are given for different CP nonidealities. The phase-frequency detector has a dead zone [14], as it does not turn the current sources fully on when the time difference between the two input signals is less than a specified dead time. When this happens, the CP does not provide any output current. To cancel the dead zone, the two PD outputs must be simultaneously high for a long enough time interval to completely switch is the minimum width on the currents. The turn-on time of the output pulse that is required to avoid the dead zone.

Here, the turn-on time and the unavoidable mismatch are taken into between the two current sources account. The PD plus the CP can be modeled as shown in Fig. 2. The case shown in Fig. 2(a) corresponds to the lowering edge leading the feedback signal . of the reference signal The case shown in Fig. 2(b) corresponds to the lowering edge leading to the reference signal . Due to the turn-on of time and CP mismatch, in the phase-locked state, the CP will produce short current pulses with an amplitude equal to the curat the reference period . In a rent mismatch standard analysis, the loop equations are integrated at a rate determined by this pulsed signal, thus, for a narrow-band loop with slow transients, a very inefficient simulation would result. The CP PLL has been analyzed in several previous papers [14]–[16]. In [14], the spur power calculations, due to the nonidealities of the CP, are based on linear assumptions. On the other hand, in [15] and [16], the CP PLL is analyzed using discrete-time nonlinear models and difference equations, assuming an ideal CP behavior. Here, the nonlinear simulation will be based on the HB and envelope-transient analysis, and the CP current mismatch will be taken into account. The PD model is obtained in two stages. First, the pulsed is determined from its instanwaveform at the CP output taneous time model. In the model of Fig. 2, this current depends on the time values at which the edges of the two input signals is then converted to the frequency occur. The waveform harmonic components. In spite of the domain, considering , the harmonic-order can be relapulsed nature of tively small since the PD is followed by the LF that eliminates the influence of the higher index components. Due to the formal expression (7) of the PLL variables, the new envelope-transient method will have limitations for the simulation of cycle skipping [16]. This phenomenon occurs when, for , there is more than one each cycle of the reference signal cycle or less than one cycle of the frequency-divided signal. The limitations are due to the difficulty, in these cases, of expressing in a Fourier basis at the reference frequency the phase error . An error is detected and the simulation is not performed.

SANCHO et al.: GENERAL ENVELOPE-TRANSIENT FORMULATION OF PLLs USING THREE TIME SCALES

Fig. 3. Analysis of the frequency synthesizer, based on a tri-state comparator with a CP. The reference period is T s and t ns. (a) Simulation of a transient to phase-locked regime. The dc envelope Y t of the PD output is compared with y t , obtained through time-domain integration. The number of . The integration step of the envelope-transient equations harmonics is NH is t s. (b) Variation of the two phase signals, respectively, associated to the reference oscillator and frequency-divider output, and the VCO control voltage y t . Two different time intervals have been considered.

1 =1 ()

() =5

=1

1 = 10 ()

However, in the common case for which no cycle skipping occurs during the oscillation transient, the new analysis technique allows an efficient simulation of the system response. The new method has been applied to the analysis of a 2–3-GHz synthesizer with a tri-state PD with a CP. The 2–3-GHz PLL includes the SP5769 frequency synthesizer from Zarlink Semiconductor, Ottawa, ON, Canada, with an external LF and VCO. The latter is the JTOS-3000 VCO from Mini-Circuits, Brooklyn, NY. The SP5769 incorporates the ), the tri-state PD with a CP, the programmable divider ( reference divider ( ), and is controlled with a PC via the I2C Bus. The CP has turn-on time ns. In addition, a will be considered for the typical current mismatch simulations. The reference frequency is a 10-MHz temperature compensated crystal oscillator (TCXO). To show the accuracy of the analysis method, a transient to the phase-locked regime is simulated in Fig. 3. The VCO frequency is initially different from a multiple of the reference frequency, which gives rise to the transient. This figure shows the

1315

at the filter output, obcomparison between the waveform tained from time-domain integration, and the harmonic compo, obtained from envelope-transient simulations. For nent this simulation, ns has been considered. As is small, the time-domain integration requires a simulation step with . In the envelope-transient , with being analysis, the integration time step is the period of the reference oscillator. Note that, using (7), the is easily generated from the envelope-transient waveform simulation to match the waveform obtained from time-domain integration. The results show good qualitative agreement with [15] and [16]. For more detail on the new analysis technique, in Fig. 3(b), and the phase of the phase of the reference signal the frequency-divider output signal have been represented in a short time interval, together with the variation of the VCO con. In the first time interval, the phase of trol voltage leads . In the second time interval, the opposite occurs. The crossing through zero of each of the two phases determines the rising and lowering edges of the pulsed voltage. In the first situation, the section of the control-voltage pulse due to the phase error is wider than the section due to the current mismatch. The . In the second situation, latter always has the duration the two pulse sections have a similar width. As can be appreciated, the simulated waveforms show good agreement with the expected behavior, as sketched in Fig. 2. As an additional test of the calculation accuracy, the steady-state waveforms, obtained from time-domain integration and through the HB analysis (2), are compared in Fig. 4 over one period of the reference signal. Fig. 4(b) shows the measured VCO output power spectrum with the points resulting from the HB simulation superimposed. The spur level 55 dBc is in the order of the results of [14]. As shown in [14], the spur power level is highly dependent . From an ideal point-of-view, in the on the CP mismatch is zero. Under this asphase-locked state, the phase error sumption, taking into account the model in Fig. 2, the PD output and height . current would be a periodic pulse of width The amplitude of the first reference spur at the VCO output would then be dBc (12) is the VCO sensitivity. However, when the loop dywhere namics are taken into account, it is easy to see that this ideal state is not possible in real life. Indeed, when this current pulse is injected into the VCO, the frequency of the divider output signal is varied and a phase error appears. As shown in Fig. 2, this phase error generates another current pulse at the PD output besides the one predicted under the ideal assumption. Therefore, in the steady state, the PD output current is periodic, and is formed by two consecutive pulses. This response is well predicted by the nonlinear HB analysis, as confirmed by the simulation shown in Fig. 3(b). In Fig. 5, the power of the first reference spur at the VCO output has been calculated using both HB analysis and the approximate equation (12) versus the percentage of current mismatch. As can be seen, a smaller discrepancy between the

1316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 6. Frequency synthesizer with tri-state PD and CP. Stability analysis versus the PD output current in terms of the Floquet multipliers. A normalized representation has been used for the two complex-conjugate multipliers with modulus close to unity.

Fig. 4. HB analysis of the frequency synthesizer based on a tri-state PD with ns. The number of harmonic components is NH a CP and t . (a) Comparison between the steady-state waveforms at the output of the LF obtained through HB and time-domain integration. (b) Experimental power spectrum of the VCO output signal z t with superimposed HB results.

1

5

= 400

=

()

Fig. 5. Variation of the power level of the reference spur versus the CP mismatch I for two different values of t . The HB analysis is compared with the linear estimation (12). The experimental point, corresponding to the actual t and current-mismatch values, has been superimposed.

1

1

1

two calculations is obtained for higher . This is due to the fact that, for higher , the pulse of width and height , predicted with the ideal assumption, provides a higher percentage of the total current that is injected into the VCO. The

Fig. 7. Variation of the resonance frequency ! and power level of the reference spur versus the PD output current. The resonance frequency has been obtained from the Floquet multiplier calculation of Fig. 6.

value of experimental point, corresponding to the actual the PD, is superimposed. The CP synthesizer has been analyzed versus the PD output current. The evolution of these multipliers, in the expected range of variation of the PD output current, is shown in Fig. 6. No unstable behavior is obtained in this range, as has been verified through standard time-domain integration and experimentally. In the measurement, the frequency synthesizer was stable for all the available values of the PD output current. The steadystate periodic solution has, for all the values of the PD output current, a pair of complex-conjugate Floquet multipliers of modulus very close to unity. Therefore, a noise shoulder or noisy precursor [1], [10] is likely to be observed in the output-noise spectrum. This noise shoulder will be located versus the about the offset frequency . The variation of PD output current is shown in Fig. 7. As can be observed, the decreases with the PD output current. Thus, offset frequency the noise shoulder gets closer to the carrier. Fig. 7 also shows the variation of the power level of the reference spur versus the same parameter.

SANCHO et al.: GENERAL ENVELOPE-TRANSIENT FORMULATION OF PLLs USING THREE TIME SCALES

Fig. 8. Noise analysis of the frequency synthesizer based on a tri-state comparator with a CP. Comparison between conversion-matrix and standard linearization results. The experimental phase-noise spectrum at the VCO output is superimposed.

The noise of the frequency synthesizer, based on a tri-state comparator, has been analyzed through the conversion-matrix approach (11) considering the spectral densities of the noise inputs from the reference oscillator and VCO. The resulting phase-noise spectrum is shown in Fig. 8. In contrast with time-domain techniques [1], it has been possible to calculate the noise spectral density from a very small frequency offset from the VCO carrier. This phase-noise spectrum can be compared, in the same figure, with the one predicted through standard linearization [3] about a time-invariant phase-locked solution. Both analysis techniques have discrepancies about the frequencies of the noisy precursor, but show good agreement outside this frequency interval. Experimental data have been superimposed. As can be seen, the conversion-matrix approach allows a better prediction of the noise shoulder. To understand the discrepancy, note that the frequency of the precursor is determined by the imaginary part of the pair of complex-conjugate poles with the smallest stability margin. When using the matrix conversion, the actual time-varying nature of the steady-state solution is taken into account. IV. ENVELOPE-TRANSIENT ANALYSIS OF AN AUTOMATIC FREQUENCY-CONTROL LOOP A. Envelope-Transient Formulation One of the main applications of the new envelope-transient formulation is the analysis of loops containing modulated signals. The use of three time scales, which enables the separation of the modulation signal from the spurs of the carrier frequency, should improve simulation efficiency and the accuracy. To illustrate this, the particular case of an automatic frequency-control loop with a digitally modulated input signal will be considered here. is composed of a In the loop of Fig. 9, the input signal high-frequency modulated carrier plus a pilot , which is mixes with the used to obtain phase locking. The signal with being the VCO output free-running frequency to provide a signal at the intermediate

1317

frequency. To simplify the analysis, it is assumed that the filter providing the output signal eliminates all the higher at the output of frequency terms. Thus, the signal will contain only the carrier frequencies and . Note that the formulation can be extended to take into account as desired. as many intermodulation products of , , and However, this is beyond the scope of this initial study since the original objective was to treat the high-frequency signals as anselects the frequency alytical signals. The bandpass filter and highly attenuates the modulated signal component . The frequency divider by provides the output at signal . If the bandpass filter is not an ideal filter, will have two fundathe envelope-transient expression of and . The mental frequencies, at the frereference oscillator delivers a sinusoidal signal quency , which is close to that of the divided down-converted and should pilot. The phase comparison between . In phase-locked conditions, stabilize the output signal is fulfilled with the relationship being the constant VCO output frequency. Thus, the loop performs the double function of frequency down-conversion and frequency control. For a large value, the frequencies of the loop input signal , VCO output , and downwill be much higher than the harmonic converted signal . Thus, analyticcomponents generated by and , , and signal representations will be used here for . The respective expressions are shown in the following. will have the form The input signal (13) where and correspond to the modulation. The VCO signal is expressed as . Finally, in the simplified analysis that is carried out here, the is written as output signal

(14) and corresponding to where two different terms the pilot and modulated carrier, respectively, have been defined. , , and and the low-pass The loop variables , , equivalent of and will be expanded in a Fourier se. The corresponding harmonic comries at and ponents will be time varying due to the modulation and noise perturbations. The variation rate will be much lower than that . of the harmonic components generated by and entering In order to obtain the loop equations, the signal the frequency mixer of the feedback loop is calculated from the . In turn, the low-pass equivalent of the filter response analog frequency mixer in the feedback loop has the following instantaneous model: (15)

1318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 9. General schematic of an automatic frequency-control loop performing the frequency down-conversion of a modulated input signal.

Fig. 10. Detailed schematic of the automatic frequency-control loop with a digitally modulated input at 2.7 GHz.

with being the mixer sensitivity. Following the schematic of Fig. 9, the resulting envelope-transient equations are

(16) where the low-pass equivalents of and the comand given by have been considered. position of The frequencies are generated by the two fundamentals and . The system unknowns are the . Once these components have been harmonic components is obtained from (14). calculated, the loop output signal B. Application to an Automatic Frequency-Control Loop With a Digitally Modulated Input at 2.7 GHz To illustrate the application of the new envelope transient formulation to loops containing modulated signals, a frequency down-converter loop with a digitally modulated input at

Fig. 11. Operation of the automatic frequency-control loop. Introduction of a QPSK modulation. Comparison between the phase modulation of the input signal u (t) and that of the down-converted signal w (t). The attenuation of the noise perturbations can be appreciated in the down-converted signal (expanded view).

GHz has been considered. The loop, whose detailed schematic is shown in Fig. 10, contains the same main blocks as the simplified diagram of Fig. 9. This loop performs the phase locking of the 2.6-GHz pilot signal to the 5-MHz fre. Thus, the modulated signal, centered quency reference at 2.7 GHz, is down converted to 300 MHz. The block MIX1 represents the ADE-30 frequency mixer of Mini-Circuits, divider (MC12016P of allowing the use of the low-cost is a low-pass filter Motorola Semiconductor, Dallas, TX). whose aim is to attenuate frequencies higher than 400 MHz and out of the band of interest. The amplifier A2 is the MAR4-SM monolithic amplifier of Mini-Circuits. This amplifier, together with the resistive power splitter, provides high isolation. The bandpass filter eliminates the modulated signal, facilitating the division, is another bandpass filter that removes the whereas pilot signal. The pilot signal (200 MHz) is below 40 dBc. The

SANCHO et al.: GENERAL ENVELOPE-TRANSIENT FORMULATION OF PLLs USING THREE TIME SCALES

1319

since MHz. The noise gives rise to frequencies both amplitude and phase noise perturbations in the modulated from the VCO and input signal. Noise perturbations frequency divider are also considered in the loop analysis, harmonics in the descripwhich is carried out using and a time step s. Fig. 11 shows a tion of and comparison between the input phase modulation phase of calculated from (14)–(16). The expanded view shows the attenuation of the noise perturbations due to the loop action. In a second analysis, a 16 quadrature amplitude modulation (QAM) input signal has been considered with the same white Gaussian noise. The resulting constellation of the output-signal is represented in Fig. 12(a). This constellation has been of by obtained from the complex envelope tracing the imaginary part versus the real part [see (14)]. The experimental constellation is shown in Fig. 12(b). V. CONCLUSION

Fig. 12. Automatic frequency-control loop with 16 QAM input signal and noise perturbations. (a) Constellation of the output signal w (t). This constellation has been obtained from the complex envelope w (t) of w (t) by tracing the imaginary part Im[w ~ (t)] versus the real part Re[w ~ (t)]. (b) Experimental constellation.

MIX2 block is the RMS-1 W frequency mixer of Mini-Circuits and works as the sinusoidal PD of the loop. The filter is a second-order active LF, and the VCO is the JTOS-3000P VCO of Mini-Circuits. To improve the frequency pulling of the oscillator, the amplifier A1 (which is the ERA-6SM of Mini-Circuits) and the 6-dB attenuator AT1 were introduced. In the particular application of Fig. 10, the reference freMHz and intermediate frequency fulfill the requency lationship . On the other hand, the down-con. Therefore, the signal verted carrier fulfills after the frequency divider by and the mixer MIX2 will be periodic at the fundamental frequency , which simplifies the simulations. For the envelope-transient analysis of the loop, the loop variables will be expanded MHz in a Fourier series at the fundamental frequency with time-varying harmonic components. In a first analysis, a quadrature phase-shift keying (QPSK) signal of 50-kHz bandwidth is used with bandpass white Gaussian noise of 100-kHz bandwidth. Both the noise and modulation have much lower frequency value than the spurious

A new technique has been presented for the efficient analysis of PLLs when the spurious frequencies, intrinsically generated by the PDs, are considered. These are harmonic components of the frequencies delivered by the external sources. The harmonic relationship allows an HB formulation or envelope-transient formulation of the loop equations. In this way, an efficient simulation of slow response systems or systems with noise or modulations is possible. When applied to frequency synthesizers, the HB analysis, combined with continuation techniques, provides the variation of the spurious power level and other magnitudes versus any parameter of interest. When the noise inputs are described by their spectral densities, the linearized HB system enables a matrix-conversion calculation of the output-noise spectrum. The new envelope transient method is ideally suited for the analysis of loops containing modulations since it allows the separation of the modulation and spurious-frequency time scales. The new techniques have been tested on a frequency synthesizer based on a tri-state PD with a CP, and an automatic frequency-control loop with a digitally modulated input. Very good results have been obtained in the comparison with standard time-domain integration and in the comparison with measurements. REFERENCES [1] S. Sancho, A. Suárez, and T. Fernández, “Nonlinear dynamics of microwave synthesizers: Stability and noise,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 1792–1803, Oct. 2001. [2] B. De Smedt and G. Gielen, “Nonlinear behavioral modeling and phasenoise evaluation in phase-locked loops,” in IEEE Custom Integrated Conf., 1998, pp. 53–56. [3] U. L. Rohde, Microwave and Wireless Synthesizers. New York: Wiley, 1997. [4] S. Sancho and A. Suárez, “Efficient analysis of phase-locked loops through a novel time-frequency approach, based on two transient envelope formulations,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, 2003, pp. 2153–2156. [5] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microwave Symp. Dig., San Francisco, CA, June 1996, pp. 1365–1368.

1320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

[6] H. G. Brachtendorf, G. Welsch, and R. Laur, “A time-frequency algorithm for the simulation of the initial transient response of oscillators,” in IEEE ISCAS’98, pp. 236–239. [7] E. Ngoya, J. Rousset, and D. Argollo, “Rigorous RF and microwave oscillator phase noise calculation by the envelope transient technique,” in IEEE MTT-S Int. Microwave Symp. Dig., 2000, pp. 90–94. [8] D. Sharrit, “Method for simulating a circuit,” U.S. Patent 5 588 142, Dec. 24, 1996. [9] A. Suárez and R. Quéré, Stability of Nonlinear Microwave Circuits. Boston, MA: Artech House, 2003. [10] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microwave Theory Tech., vol. 42, pp. 807–819, May 1994. [11] F. Bonani and M. Gilli, “Analysis of stability and bifurcation of limit cycles in Chua’s circuit through the harmonic-balance approach,” IEEE Trans. Circuits Syst., vol. 46, pp. 881–890, Aug. 1999. [12] K. Taihyun and E. H. Abed, “Closed-loop monitoring systems for detecting incipient instability,” in Proc. 37th IEEE Decision and Control Conf., Dec. 1998, pp. 3033–3039. [13] J. C. Pedro and N. B. Carvalho, “Simulation of RF circuits driven by modulated signals without bandwidth constraints,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, 2002, pp. 2173–2176. [14] W. Rhee, “Design of high performance CMOS charge pumps in phaselocked loops,” in Proc. IEEE Circuits and Systems Symp., 1999, pp. II-545–II-548. [15] P. Acco, M. P. Kennedy, C. Mira, B. Morley, and B. Frigyik, “Behavioral modeling of charge pump phase-locked loops,” in Proc. IEEE Circuits and Systems Symp., 1999, pp. I-375–I-377. [16] M. Van Paemel, “Analysis of a charge-pump PLL: A new model,” IEEE Trans. Commun., vol. 42, pp. 2490–2498, July 1994.

Sergio Sancho was born in Santurce, Spain, in 1973. He received the Physics degree from Basque Country University, Bilbao, Spain, in 1997, and the Ph.D. degree in electronic engineering from the University of Cantabria, Santander, Spain, in 2002. His research interests include the nonlinear analysis of microwave circuits and frequency synthesizers, investigation of chaotic regimes, and phase-noise analysis.

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1995, she has been an Associate Professor with the Communications Engineering Department, University of Cantabria. Her areas of interest include the nonlinear design of microwave circuits and the stability and phase-noise analysis of these circuits.

Jeffrey Chuan was born in Las Palmas de Gran Canaria, Spain, in 1976. He received the Electrical Engineering degree from the University of Cantabria, Cantabria, Spain, in 2000, and is currently working toward the Ph.D. degree at the University of Cantabria. In 2000, he joined the Communications Engineering Department, University of Cantabria, where he is currently a Researcher. His areas of interest include the design and development of microwave and RF circuits, particularly oscillators and frequency multipliers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1321

Low-Dispersion Algorithms Based on the Higher Order (2,4) FDTD Method Theodoros T. Zygiridis and Theodoros D. Tsiboukis, Senior Member, IEEE

Abstract—This paper discusses the enhancement of numerical dispersion characteristics in the context of the finite-difference time-domain method based on a (2,4) computational stencil. Rather than implementing the conventional approach—based on Taylor analysis—for the determination of the finite-difference operators, two alternative procedures that result in numerical schemes with diverse wide-band behavior are proposed. First, an algorithm that performs better than the standard counterpart over all frequencies is constructed by requiring the mutual cancellation of terms with equal order in the corresponding dispersion relation. In addition, a second method is derived, which is founded on the separate optimization of the spatial and temporal derivatives. In this case, analysis proves that significant error compensation is accomplished around a specific design frequency, while reduced errors are obtained for higher frequencies, thus enabling the reliable execution of wide-band simulations as well. The quality and efficiency of the proposed techniques, which exhibit the same computational requirements as the standard (2,4) approach, are investigated theoretically, and subsequently, validated by means of numerical experimentation. Index Terms—Finite-difference time-domain (FDTD) method, higher order schemes, numerical dispersion.

I. INTRODUCTION

D

ESPITE THE wide and intense utilization of the second-order finite-difference time-domain (FDTD) method [1], [2] for the solution of various electromagnetic-field problems, the development of accurate, fast, and efficient numerical solvers for Maxwell’s equations remains an active topic of scientific research. This trend is mainly attributed to the thorough examination of several realistic applications, usually involving electrically large domains and wave propagation over long distances, which cannot be conducted reliably within the framework of Yee’s approach, as the implementation of the latter results in exceedingly high computational requirements. The performance evaluation of algorithms that have been proposed in the past as alternatives to the classic FDTD technique has been the subject of a recent study conducted by Shlager and Schneider [3]. The superiority of these methods originates from the successful reduction of the inherent dispersion errors, whose degrading effect becomes significant in large-scale or long-time simulations due to their cumulative nature. Although algorithms that can be considered modified formulations of Manuscript received October 16, 2003; revised December 31, 2003. This work was supported in part by the Greek General Secretariat of Research and Technology under Grant PENED 01ED27. The authors are with the Applied and Computational Electromagnetics Laboratory, Department of Electrical and Computer Engineering, Aristotle University of Thessaloniki, Thessaloniki GR-54124, Greece. Digital Object Identifier 10.1109/TMTT.2004.825695

Yee’s method are available [4]–[6], it is generally recognized that the extension of the FDTD scheme to higher order versions [7]–[16] constitutes one of the most promising approaches, with the potential to satisfy the accuracy requirements of computationally challenging applications. A methodology commonly encountered in the context of finite-difference techniques involves the use of derivative approximations that, according to Taylor-series expansion, produce the smallest possible truncation error. In such cases, the order of the latter also indicates the “formal” order of accuracy of the corresponding finite-difference operator. It has been shown, however, that obtaining maximum formal order is not a necessary condition for maximum accuracy and, usually, the construction of discrete operators should be based on some alternative (optimization) procedure [17]–[19]. For instance, the FDTD approaches recently presented in [15] and [16] derive the finite-difference approximations using dispersion relations and enhance their dispersion characteristics, compared to Fang’s fourth-order methods [7], almost without additional computational cost. Consequently, it is natural to investigate the possibilities for improving existing higher order FDTD algorithms with the intention of attaining optimum—in terms of dispersion errors—performance. The simplest higher order FDTD method for electromagnetic simulations, hereafter designated as “standard,” is Fang’s (2,4) scheme [7] with the numbers in the parentheses indicating the formal accuracy in time and space, respectively. Other (2,4) approaches have also been proposed, such as Hadi and PiketMay’s optimized version [8] or the implicit algorithm of [9]. Prompted by the above-mentioned reasons, we consider modified (2,4) FDTD approaches in this paper for two-dimensional (2-D) and three-dimensional (3-D) problems, derived from construction processes that are mainly affected by spectral-accuracy requirements. In particular, two numerical schemes are proposed that enable the credible study of various electromagnetic problems, whose frequency content may range from extremely narrow-band to very wide-band. First, a technique based on the analysis of the discrete dispersion relation in Taylor series and the determination of the finite-difference operators through the matching of specific terms is developed. Compared to the standard approach, the resulting algorithm exhibits reduced errors for all frequencies, although it maintains only second-order accuracy in space. Following a totally different path, we introduce a separate single-frequency optimization of the temporal and spatial operators. In this case, the dispersion error is improved over an extended frequency range, with the error reduction being more significant around a predetermined design frequency. An attractive feature of both schemes is that they use

0018-9480/04$20.00 © 2004 IEEE

1322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

the same kind of differential operators as the standard (2,4) algorithm and, therefore, preserve its low computational complexity and memory requirements. The provided enhancement over the standard (2,4) FDTD method is exhibited through theoretical examination of the dispersion error, whereas a series of numerical experiments further demonstrate the effectiveness of the proposed approaches under realistic circumstances.

where terms with order greater than two have been neglected. This form of the dispersion relation facilitates the determination of the unknown coefficients incorporated in the spatial operators. By first equating the zeroth-order terms present in both sides of (3) for all propagation angles, we have

II. IMPROVEMENT OF SPATIAL OPERATORS USING THE DISPERSION RELATION

, which are, in fact, the conditions that guarantee for second-order formal accuracy for the spatial derivatives. The next step is the equation of the second-order terms; however, parameters being the only an algebraic expression with the unknowns cannot be obtained unless a unique value for is selected. Rather than carrying out the construction process considering specific directions of propagation, which would eventually limit the scheme’s range of applicability, a more general approach is selected that pursues the error reduction over all angles. In particular, the equality of the second-order terms can be enforced in a mean-value sense by defining an error indicator according to their difference

The construction of a modified (2,4) FDTD method is subsequently discussed, which is based on the determination of the spatial approximations through the discrete dispersion relation. In essence, we consider the case where the second-order leapfrog scheme is maintained for time marching, while fourpoint central operators with the general form

(1) approximate at point the derivatives in space ( is the spafor 2-D problems). The tilde will be used tial step, hereafter to distinguish the discrete from the continuous (physical) state. By substituting plane-wave expressions in Maxwell’s equations, the dispersion relation for an isotropic medium is obtained as follows:

(4)

(5) with the convention that the spatial steps satisfy and the time step is expressed as

,

(6)

(2) is the time step, is the speed of light in free As usual, space, and is the numerical wavenumber ( , ), whose deviation from its physical gives rise to phase inaccuracies in practical value simulations. . A numerically exact FDTD scheme would produce Apparently, this is too strict a requirement to satisfy for all frequencies and angles of propagation. Similarly, the grid dispersion relation will not hold if the numerical wavenumber is replaced by its physical value. For this reason, we use an approxiin the modified equamate form of (2) instead, and apply tion. By analyzing both sides of (2) in Taylor series (in terms of the temporal/spatial increments), the dispersion relation can be written as

where . The maximum values of for stable simulations and, therefore, the permissible values of are , it is subbriefly discussed in the Appendix. Having defined sequently required that its mean value be zero over all angles, which produces the following equation: (7) if (4) is taken into account. To complete the determination of the spatial operators, further reduction of the error is pursued with respect to its projection on a trigonometric function of . Such a requirement can be expressed as

(8)

since the use of , , or as weighting functions nullifies the corresponding integrals. The outcome of (8) is (9)

(3)

Therefore, the unknown coefficients that determine the spatial derivatives are calculated by solving (4), (7), and (9). If we refer to the special case of square Yee cells , combining (4) and (9) proves that the two spatial operators are identical, which should be expected due to the

ZYGIRIDIS AND TSIBOUKIS: LOW-DISPERSION ALGORITHMS BASED ON HIGHER ORDER (2,4) FDTD METHOD

symmetry of the mesh geometry. In fact, setting to the simple 2 2 system of equations

1323

leads

the 2-D case, thus verifying, to a certain degree, the validity of the present procedure. The coefficients can then be derived from

(10)

(17)

where the and subscripts have been dropped. The generalization of the aforementioned 2-D algorithm to the general 3-D case is discussed below. For reference, a latand is considered. The cortice with responding dispersion relation is identical to (2) with the dif, and the numerical wavenumber ference that now , where , is , and . The series expansion of the discrete dispersion relation is similar to (3), bearing in mind that the time increment is

As a general remark, it may be said that the proposed algorithm preserves only second-order formal accuracy with respect to spatial derivatives, although a larger stencil is implemented. This can be considered a consequence of the different stencils in time and space, especially if we keep in mind that the temporal and spatial errors tend to cancel each other. Since the temporal error is of second order only, obtaining higher order accuracy in space does not seem to be necessary for the success of the numerical scheme. In addition, we observe that, unlike the standard approach, the approximation of spatial derivatives is formulated by exploiting certain information from the simulated problem, such as the geometric shape of the cells and magnitude of the time step. As a result, the specific method reduces to the conventional one only: 1) when the Yee cells are square . (cubic) and 2) in the limit case of

(11) where . Equating the zeroth-order terms in the 3-D dispersion relation apparently results in (4). In order to acquire the remaining equations, an error indicator based on the second-order terms is defined as follows:

(12) As mentioned previously, this expression cannot be made zero for all directions. A natural extension of the 2-D procedure involves the expansion of (12) in spherical harmonics; a similar approach was originally proposed in [16], although applied for a different expression of the dispersion error. The necessary equations are obtained by making a sufficient number of terms in the expansion series equal to zero. Specifically, in the case of the first spherical harmonic, which is a constant number, the procedure is equivalent to requiring a vanishing mean value for

III. OPTIMIZATION OF THE FINITE-DIFFERENCE OPERATORS Rather than matching specific terms in the dispersion relation, another version of the (2,4) FDTD technique is obtained by treating the temporal and spatial derivatives in a separate manner. While finite differences in space are again defined as in (1), a parametric expression for the time derivative is derived by adding an extra degree of freedom, resulting in (18) . The role of parameter is to enable the conat time instant struction of an enhanced discrete operator in time. The present optimization procedure uses the term that determines the phase variation of plane waves

(13) (19) which yields

(14)

as a test function in order to acquire expressions for the errors that stem from the implementation of the finite-difference approximations. In particular, by taking into account the results of the exact differentiation of in the 2-D case

Since additional equations are needed, we also use two higher order spherical harmonics, equal to and . These are the simplest harmonics that do . not lead to identities, when applied for the integration of Their selection as weighting functions yields

(20) (21) as well as the respective results of the finite differencing

(15) (16) which complete the required number of equations. It can be shown that when cubic Yee cells are considered , the three spatial operators turn out to be identical, as in

(22)

(23)

1324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

two error indicators can be defined as the difference between the multiplicative factors of in (20), (22), (21), and (23). In this way, the following nonnegative formulas are considered to express temporal and spatial errors:

as that described previously. As far as the spatial operators are similar to (25) can be defined, concerned, error indicators whereas the integrated error is now calculated according to (31)

(24)

(25)

. The application of the conditions that guarwith results in the following system antee the minimization of of equations:

The equality between the numerical and physical wavenumber has been enforced as previously. According to their definition, the above expressions can be regarded as polynomials of the unknown coefficients. The prothat posed algorithm will incorporate the values of and guarantee the minimization of (24) and (25). In the case of , the multiplying coefficient of is a positive number and, therefore, the solution of (26) yields a value of that minimizes (24). After simple algebraic manipulations, we obtain (27)

It is noted that a similar optimization for time derivatives has been proposed in [5] and [6] as well. Along similar lines, the construction of optimized spatial opexhibits an angular deerators can be carried out. However, pendence, which makes the procedure slightly more involved. Since an error reduction over all angles of propagation is desired, the utilization of the integrated error seems to be more appropriate with the latter calculated according to (28) The unknown coefficients can be determined through the minimization of at a specific frequency, which is guaranteed by or, equivalently, requiring the vanishing of the gradient of (29)

(32)

An interesting observation is that the coefficients calculated from (30) or (32) reduce to the values used in the standard (2,4) , ), only when the limit case method ( of infinitesimal cells is considered. Furthermore, throughout the description of the optimization process, it becomes evident that, unlike the algorithm derived in Section II, this FDTD scheme introduces finite-difference expressions that are frequency dependent. Consequently, optimum performance is expected in the proximity of the design frequency, for which the operators are obtained. As shown in the remainder of this paper, selecting the proper design frequency becomes an issue of critical importance, especially in the case of wide-band simulations. IV. THEORETICAL EVALUATION Here, we investigate the proposed schemes theoretically by evaluating the inherent error of the numerical phase velocity. For a specific frequency, the following definitions of the dispersion error are utilized: (33) for the 2-D case and (34)

In this way, the spatial operators are determined from

(30)

where is the th-order Bessel function. As it has been numerically verified that the Hessian matrix of (28) is positive definite, the solution of (30) indeed minimizes the integrated error. The method’s extension to the 3-D case is straightforward since the optimization of the temporal derivative is the same

for the 3-D case, with representing the numerical phase velocity. Evidently, the aforementioned formulas are suitable for assessing the performance of the algorithms over all possible angles of propagation. We initially estimate the dispersion errors within a wide freand quency range, considering the 2-D case with in (6) for the time step. The results are plotted setting in Fig. 1 as a function of the mesh resolution. Two versions of the scheme proposed in Section III are examined, where the deor resolutions sign frequency corresponds to either ). It can be seen that the technique of Sec(with respect to tion II produces smaller dispersion errors, compared to the stan-

ZYGIRIDIS AND TSIBOUKIS: LOW-DISPERSION ALGORITHMS BASED ON HIGHER ORDER (2,4) FDTD METHOD

1325

TABLE II NUMERICAL PHASE VELOCITY z c ) , t : =

1 = 1 = 1 = 1 1 = 0 851 p3

OVERALL ERROR 3-D CASE ( x

Fig. 1. Dispersion error versus mesh resolution for various (2,4) FDTD schemes.

TABLE I NUMERICAL PHASE VELOCITY y c ) , t : =

1 = 1 = 1 1 = 0 851 p2

OVERALL ERROR IN 2-D CASE ( x

IN THE y

is achieved by the narrow-band approach. In fact, the enhancement becomes greater as the mesh resolution increases, since the error produced by the narrow-band technique decreases at a fourth-order rate, unlike the second-order convergence of the standard approach. Similar observations are also valid for 3-D error is calcuproblems, as shown in Table II, where the lated. In general, these two results are indicative of the computational savings, as well as the enhanced performance accomplished by the proposed algorithms in single-frequency problems.

THE

dard method, for all discretizations. In fact, the same level of improvement is practically realized at discretizations greater than . Due to this observation, the scheme will be hereafter denoted as “wide-band.” In contrast with the regular behavior of the wide-band technique, the algorithm presented in Section III performs exceptionally around the design frequency, while its accuracy deteriorates at finer resolutions (which correspond to lower frequencies). This, however, does not constitute a significant drawback, for a given computational domain appears to have a smaller electrical size at lower frequencies and, consequently, the dispersion effect is not as considerable as in the high-frequency band. In addition, it is interesting to notice that this method attains smaller errors for coarser meshes. Therefore, despite the relatively “narrow-band” behavior, its application to wide-band simulations is not ruled out, as long as the design frequency is carefully selected according to the requirements of the examined problem. Next, the performance of the newly developed methods is investigated in more detail on a single-frequency basis. The error is given in Table I for various lattices with , , as previously. We should mention that the and for narrow-band scheme is optimized for each discretization separately. By observing the error values, it can be deduced that the wide-band approach reduces the overall error by approximately three times at almost all frequencies. Such an error level can be attained by the standard approach only with the implementation of a significantly smaller time step, at the inevitable expense of the overall execution time. A more substantial improvement

V. NUMERICAL RESULTS In the following numerical experiments, the performance of the new approaches is examined and compared with that of the standard (2,4) FDTD method. In all cases, the time step was in (6) for the 2-D problems or determined by setting in (11) for the 3-D simulations. The first test problem examines the propagation of the mode in a parallel-plate waveguide with 5-cm width. The mode is excited with a frequency of 6.5 GHz, by assigning the proper field values—known from the analytical solution—at the input port, while the configuration is terminated with a 16-cell perfectly matched layer [20]. The structure is chosen to be highly elongated in order to evaluate the emerging phase artifacts. Despite their extended stencil, the spatial operators are applied throughout the computational domain, as the field values at nodes outside the waveguide’s walls are computed by applying symmetric (for the tangential magnetic field) or antisymmetric (for the tangential electric field) conditions. The mesh consists of 1500 11 square Yee cells with 4.545-mm sides. The simulation is carried out for sufficiently long time, until steady-state condition is established, and then the absolute component is evaluated along cm (a error in the line located in the middle of the waveguide). The computed error is depicted in Fig. 2, where it can be seen that the guided waves undergo serious phase alteration when the conventional method is implemented. In fact, the waveform already exphase shift at m, where the hibits a complete error reaches a minimum. On the other hand, the wide-band technique is characterized by an error that accumulates at a significantly lower rate. The most reliable result is obtained by the narrow-band scheme, since the level of the respective error does not seem to practically change with the distance, despite the electrically extended structure. As a second example, we consider a part of a 3-D rectangular cm, where waveguide occupying the space single-mode propagation is simulated. The analytical solution

1326

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Absolute error in E in the case of the 2-D waveguide.

Fig. 3. Absolute error (%) in the calculation of the resonant frequencies in the 3-D cavity problem.

TABLE III MAXIMUM L (t) ERRORS IN THE 3-D WAVEGUIDE SIMULATION

is imposed on the Yee cells located at , 40 cm at all time steps, while initial conditions are prescribed everywhere at the and . The exact solution corresponds to time instants the mode, which is stimulated using a frequency of 5 GHz. The configuration is discretized with three different resolutions, resulting in meshes that comprise 12 6 120, 24 12 240, error, defined as and 48 24 480 cells. The

(35) is calculated during the simulations, whose duration is 4000 time steps in the case of the coarsest lattice. Table III presents the maximum values of the error for all simulations. The superiority of the proposed approaches is evident since the wide-band scheme accomplishes the reduction of the maximum error by approximately six times, while the narrow-band algorithm produces an error that is orders of magnitude smaller than that of the standard case. In order to test the numerical schemes in a wide-band problem, we calculate the first 15 resonant modes of a 3-D cubic cavity with sides equal to 0.1 m. Noncubic cells are used to construct a mesh with dimensions 14 21 28. The resonant frequencies of the cavity are extracted after 65 536 time steps, and the absolute error in the determination of each frequency is demonstrated in Fig. 3. It is mentioned that the narrow-band technique was optimized at either 3 or 4 GHz. In general, the results of this set of simulations are consistent with the theoretical observations of the previous section. Specifically, the improvement achieved by the narrow-band schemes is more significant around the optimization frequency, while the modes that correspond to higher frequencies are more accurately determined. The more uniform improvement

Fig. 4. Absolute error in H in the simulation of pulse scattering from a conducting square cylinder.

provided by the wide-band scheme is also evident. The tested methods outperform the conventional approach, even if the mean value of the error is computed. The lowest mean error is accomplished by the wide-band scheme (0.044%), while the narrow-band method attains an error of 0.165%, when optimized at 3 GHz, and 0.111%, when optimized at 4 GHz. The standard approach produces a mean error of 0.223%. The last numerical test refers to the scattering of an electromagnetic pulse from a perfectly conducting infinitely long cylinder with square cross section and sides equal to 35.5 cm. The incident wave—a modulated Gaussian pulse—propagates along the -axis and toward positive values. The computational space is tessellated by means of square cells with 5-mm sides, and a reference solution is obtained by applying the standard method within a finer (five times) lattice. The pulse exhibits significant power content from 0 to 9 GHz. With the origin of the coordinate system located at the center of the cylinder, the mag, and the absolute errors are netic field is recorded at depicted in Fig. 4. The narrow-band approach was designed for optimum performance at 4.8 GHz. The obtained results affirm

ZYGIRIDIS AND TSIBOUKIS: LOW-DISPERSION ALGORITHMS BASED ON HIGHER ORDER (2,4) FDTD METHOD

the efficiency of the wide-band scheme again, as well as the adequacy of the narrow-band technique for wide-band simulations, via the proper selection of the design frequency. VI. CONCLUSIONS Motivated by the strict accuracy requirements of large-scale problems, we have presented two alternative ways to derive (2,4) FDTD schemes for electromagnetic simulations, which are capable of providing significant improvement over the conventional approach. By constructing the spatial operators through the use of the numerical dispersion relation and preserving only second-order formal accuracy, a scheme that performs better for practically all frequencies is derived, whereas a more narrow-band technique can be obtained by treating the temporal and spatial errors separately. Their superior performance has been exhibited theoretically, while numerical experiments confirmed the theoretical observations and indicated that low-dispersion algorithms can be acquired without additional computational cost. APPENDIX In order to ensure numerically stable simulations, the time step should not exceed a maximum value, which can be determined from the classical von Neumann analysis. Considering that time derivatives are expressed as in (18) and spatial derivashould satisfy tives as in (1), (36) in the 2-D case

and

(37) in the 3-D case ( , ). In (36) and (37), it , , and , has been taken into account that which are valid for the cases encountered thus far. It is noted that exhibits a relaxed stability limit the wide-band scheme compared to the standard one, while the narrow-band algorithm maintains approximately the same upper bound. REFERENCES [1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propagat., vol. AP-14, pp. 302–307, May 1966. [2] A. Taflove, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 1995. [3] K. L. Shlager and J. B. Schneider, “Comparison of the dispersion properties of several low-dispersion finite-difference time-domain algorithms,” IEEE Trans. Antennas Propagat., vol. 51, pp. 642–653, Mar. 2003. [4] J. W. Nehrbass, J. O. Jevtic´ , and R. Lee, “Reducing the phase error for finite-difference methods without increasing the order,” IEEE Trans. Antennas Propagat., vol. 46, pp. 1194–1201, Aug. 1998. [5] J. B. Cole, “A high-accuracy realization of the Yee algorithm using nonstandard finite differences,” IEEE Trans. Microwave Theory Tech., vol. 45, pp. 991–996, June 1997. [6] E. A. Forgy and W. C. Chew, “A time-domain method with isotropic dispersion and increased stability on an overlapped lattice,” IEEE Trans. Antennas Propagat., vol. 50, pp. 983–996, July 2002. [7] J. Fang, “Time domain computation for Maxwell’s equations,” Ph.D. dissertation, Univ. California at Berkeley, Berkeley, CA, 1989.

1327

[8] M. F. Hadi and M. Piket-May, “A modified FDTD (2,4) scheme for modeling electrically large structures with high-phase accuracy,” IEEE Trans. Antennas Propagat., vol. 45, pp. 254–264, Feb. 1997. [9] E. Turkel, “High-order methods,” in Advances in Computational Electromagnetics: The Finite-Difference Time-Domain Method, A. Taflove, Ed. Norwood, MA: Artech House, 1998, ch. 2, pp. 63–110. [10] A. Yefet and P. G. Petropoulos, “A staggered fourth-order accurate explicit finite difference scheme for the time-domain Maxwell’s equations,” J. Comput. Phys., vol. 168, pp. 286–315, 2001. [11] N. V. Kantartzis and T. D. Tsiboukis, “A higher-order FDTD technique for the implementation of enhanced dispersionless perfectly matched layers combined with efficient absorbing boundary conditions,” IEEE Trans. Magn., vol. 34, pp. 2736–2739, Sept. 1998. [12] J. L. Young, D. Gaitonde, and J. J. S. Shang, “Toward the construction of a fourth-order difference scheme for transient EM wave simulation: staggered grid approach,” IEEE Trans. Antennas Propagat., vol. 45, pp. 1573–1580, Nov. 1997. [13] S. V. Georgakopoulos, C. R. Birtcher, C. A. Balanis, and R. A. Renaut, “Higher-order finite-difference schemes for electromagnetic radiation, scattering, and penetration—Part I: Theory,” IEEE Antennas Propagat. Mag., vol. 44, pp. 134–142, Feb. 2002. [14] Z. Shao, Z. Shen, Q. He, and G. Wei, “A generalized higher order finite-difference time-domain method and its application in guided-wave problems,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 856–861, Mar. 2003. [15] S. Wang and F. L. Teixeira, “A three-dimensional angle-optimized finite-difference time-domain algorithm,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 811–817, Mar. 2003. , “Dispersion-relation-preserving FDTD algorithms for large-scale [16] three-dimensional problems,” IEEE Trans. Antennas Propagat., vol. 51, pp. 1818–1828, Aug. 2003. [17] S. K. Lele, “Compact finite difference schemes with spectral-like resolution,” J. Comput. Phys., vol. 103, pp. 16–42, 1992. [18] C. K. W. Tam and J. C. Webb, “Dispersion-relation-preserving finite difference schemes for computational acoustics,” J. Comput. Phys., vol. 107, pp. 262–281, 1993. [19] Z. Haras and S. Ta’asan, “Finite difference schemes for long-time integration,” J. Comput. Phys., vol. 114, pp. 265–279, 1994. [20] J.-P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, 1994.

Theodoros T. Zygiridis received the Diploma degree in electrical and computer engineering from Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 2000, and is currently working toward the Ph.D. degree at the AUTH. His research interests include the development and implementation of accurate numerical techniques, especially higher order FDTD and vector finite-element methods, as well as the numerical investigation of fractal antenna structures.

Theodoros D. Tsiboukis (S’79–M’81–SM’99) received the Diploma degree in electrical and mechanical engineering from the National Technical University of Athens, Athens, Greece, in 1971, and the Doctor Eng. degree from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 1981. From 1981 to 1982, he was with the Electrical Engineering Department, University of Southampton, Southampton, U.K., as a Senior Research Fellow. Since 1982, he has been with the Department of Electrical and Computer Engineering (DECE), AUTH, where he is currently a Professor. He has served in numerous administrative positions, including Director of the Division of Telecommunications, DECE (1993–1997) and Chairman, DECE (1997–2001). He is also the Head of the Advanced and Computational Electromagnetics Laboratory, DECE. He has authored or coauthored six books, over 100 refereed journal papers, and over 90 international conference papers. He was the Guest Editor of a special issue of the International Journal of Theoretical Electrotechnics (1996). His main research interests include electromagnetic-field analysis by energy methods, computational electromagnetics (finite-element method (FEM), boundary-element method (BEM), vector finite elements, method of moments (MoM), FDTD method, absorbing boundary conditions), and inverse and electromagnetic compatibility (EMC) problems. Dr. Tsiboukis is a member of various societies, associations, chambers, and institutions. He was the chairman of the local organizing committee of the 8th International Symposium on Theoretical Electrical Engineering (1995). He was the recipient of several awards and distinctions.

1328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Modeling Polarization Mode Coupling in Frequency-Selective Surfaces Jonathan J. Lynch and Joseph S. Colburn, Member, IEEE

Abstract—An analysis of scattering from frequency-selective surfaces (FSS) is developed that correctly accounts for coupling between scattered polarization modes. This is useful for the design of FSS structures with obliquely incident radiation, such as polarization converters (polarizers) for direct broadcast satellite reception by linearly polarized ground terminal antennas. In the past, the neglect of polarization mode coupling limited the performance range of various FSS structures, such as meander-line polarizers, to near normal incidence where mode coupling is weak. The following analysis shows how a suitable pair of polarization modes can be selected to maintain modal independence. The result is a pair of independent equivalent circuits representing scattering of the two modes, together with mode transformation networks. To illustrate the theory, a four-layer meander-line polarizer is designed for an incident angle of 45 off normal. The resulting axial ratio is measured to be 0.3 dB at 12.45 GHz. Index Terms—Frequency-selective surface (FSS), meander-line polarizer, mode coupling.

I. INTRODUCTION

M

ANY USEFUL passive structures can be realized using one or more planar sheets containing a periodic metal pattern, commonly referred to as a frequency-selective surface (FSS). Frequency diplexers [1], polarization converters [2]–[4], and filters [5] can be constructed by designing a specific metal pattern on each layer and spacing the layers a certain distance ). A convenient dielectric medium separates the apart (e.g., metal patterns, and sometimes a reflective surface is placed behind the structure if unidirectional operation is desired. The work of many researchers has produced significant results concerning the computational analysis of scattering from an infinite periodic conducting sheet under plane-wave incidence [6]–[8]. In addition, these computational tools have been successfully applied to the design of useful components. Certain periodic geometries, such as the conducting strip array, lend themselves to straightforward analysis due to the absence of polarization mode coupling (for certain modal representations) even under oblique incidence [9]. More complex structures that generally exhibit mode coupling have been used successfully at, or near, normal incidence or, at most, within one or two planes of incidence, where the mode coupling either vanishes or remains tolerably small [10]. Many applications, such as polarization converters for low-profile satellite communication antennas, require performance optimization at oblique incident angles where mode coupling may be strong. If one wishes to utilize the desirable Manuscript received October 17, 2003. This work was supported by the General Motors Corporation. The authors are with HRL Laboratories LLC, Malibu, CA 90265 USA. Digital Object Identifier 10.1109/TMTT.2004.825660

properties of the meander-line polarizer for obliquely incident plane waves, one must take into account coupling between scattered polarization modes and design the metal pattern to compensate for such coupling. This paper describes a method of determining the choice of polarization modes that results in complete modal independence for a particular scattering sheet. Such modal independence has been utilized in the design of wire or strip grating polarizers where the simple geometry makes the choice of polarization modes straightforward. The analysis developed here does not depend on the characteristics of the metal pattern and applies equally well to strip grating as to meander-line polarizers. The result for both cases is a pair of independent equivalent circuits representing the two uncoupled polarization modes. The theory is illustrated using a four-layer meander-line polarizer optimized for 45 incidence off normal at a frequency of 12.45 GHz. These design parameters are chosen to be suitable for digital broadcast satellite (DBS) reception from a horizontal ground terminal in the southern parts of the U.S. The availability of such a polarizer enables the use of low-cost linearly polarized antennas (e.g. continuous transverse stub array [11]) for low-profile DBS terminals. II. PHYSICAL PROBLEM AND ITS EQUIVALENT CIRCUIT Fig. 1(a) shows an infinitesimally thin scattering structure made up of a periodic perfectly conducting metal pattern. In practice, the scattering structure is of finite extent, but we will assume a structure of infinite extent for simplicity. This requires that the use of the analytical results described below be restricted to real structures for which extraneous scattering from the sheet (i.e., other than fundamental plane-wave scattering) has been kept to a minimum tolerable level. This can be achieved in practice if electrically large arrays are utilized and the illumination taper is abrupt at the edges of the structure. Two polar angles, i.e., and , describe the propagation directions of all the incident and scattered plane waves. The angle defines the plane of incidence, and the angle defines the angle from the -axis. The port definitions are made consistent with real measurements of a physical structure. Fig. 1(b) shows the structure in the plane of incidence, along with the eight ports required to completely describe the scattering. This figure suggests that plane-wave transmission and reception are accomplished using dual-polarized horn antennas whose wavefronts are collimated by lenses. This model not only provides a useful FSS scattering description that ensures physically meaningful results (e.g., a symmetric scattering matrix), but also provides a convenient way to measure such structures.

0018-9480/04$20.00 © 2004 IEEE

LYNCH AND COLBURN: MODELING POLARIZATION MODE COUPLING IN FSSs

1329

Fig. 1. (a) Plane-wave geometry showing the two polar angles that define the incident and scattered plane-wave directions. (b) In the plane of incidence, the eight ports and associated polarizations can be defined by considering the measurements of a physical structure.

and the identity matrix). Furthermore, we will assume that all the material properties are reciprocal, which produces a sym. metric scattering matrix, i.e., A. Equivalent-Circuit Parameters and Polarization Mode Transformations

Fig. 2. Equivalent circuit for the FSS. Note that all “a” ports are defined as TM and all “b” ports are TE.

We will assume that the periodic metal pattern unit cell is small enough so that only the lowest order scattered plane-wave modes propagate and higher order modes are evanescent. Under these conditions, an eight-port equivalent circuit can be used to represent the scattered plane-wave fields, as shown in Fig. 2. For general periodic structures, eight ports are required to describe all of the reflected and transmitted waves, but symmetries in the FSS pattern may make scattering from particular pairs of ports identical, simplifying the problem. The ports occur in pairs that correspond to two polarization modes, transverse magnetic to ) and transverse electric to the -axis ( ). the -axis ( Throughout this paper, we will use generalized scattering parameters that are defined with (possibly) different normalizing impedances at each port. In terms of the voltages across and currents entering each port, the incident and reflected scattered waves are represented by (1) where and are eight element vectors, and the normalizing impedance matrix is the diagonal matrix

..

.

Prior to describing the scattering from the general eight-port network of Fig. 1, an equivalent circuit will be developed for the incident and reflected plane-wave fields. Since the incident polarization modes can be chosen in an infinite number of ways, we will derive a transformation matrix that converts from one polarization mode set to another. This will be done for a single port pair, such as ports and in Fig. 2, resulting in a 2 2 transformation matrix, and later extended to the full eight-port network discussed in subsequent sections. Given the geometry of Fig. 1, a linearly polarized plane wave in a homogeneous medium, incident from ports I, can be expressed in terms of its electric-field components tangential to the – -plane

(3) is a constant amplitude, is the vector propagation where constant, and is the radial vector. The angle defines the pocorresponds to and to larization, such that . The component of the electric field has been suppressed since the tangential and components are sufficient for the equivalent-circuit description. The component can be derived from the other components using Maxwell’s equations. It is convenient to derive the fields from vector potential functions since only one component is required to describe each polarization mode, reducing the vector problem to a pair of coupled scalar problems. The magnetic and electric vector potential functions we will utilize, denoted as and , respectively, are defined as [12]

and

(2) The scattered waves are related to the incident waves through . We will assume the metallic patthe linear relation tern is lossless, which produces a unitary scattering matrix, i.e., (the superscript indicates the Hermitian conjugate

(4) and satisfy the homogeneous wave equations and

(5)

1330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

As described in [12], one can choose (or ) to have a single vector component in the direction of , an arbitrary unit vector, to produce a field that is transverse magnetic (or electric) to , abbreviated (or ). An arbitrary electromagnetic field can then be described by a linear combination of fields that are TM and TE to the arbitrary direction . The illustrations in Fig. 1(b) use the -direction to define polarization. For the case, the potential function is chosen to be (6)

the impedance is defined as (13) and the fields given by

(14)

and the transverse fields are where . Using (11) and (14), the transverse electric-field components are related to the equivalent-circuit voltages according to (15)

(7) and are arbitrary complex amplitudes. The where equivalent circuit for the plane wave is a uniform transmission line with equivalent voltages, currents, and characteristic impedance. One can define equivalent-circuit quantities in a number of different ways, but it is convenient to maintain to physically significant units. Thus, we will choose represent an equivalent current (in units of amperes) and define the characteristic impedance as (8) where . Using these definitions, the power flowing through a unit cell is

(9) where and are the dimensions of the cell. Defining the arbitrary amplitude as (10) results in equal power flow in the field problem and equivalent circuit. The fields, in terms of the circuit quantities, are then found to be

We now have a complete equivalent-circuit description for the incident plane wave. A scattering representation can be defined in terms of the above circuit voltages in the usual manner as follows:

(16) and field representation is The convenience of the now apparent: the ratio of polarization mode amplitudes is independent of the angles of incidence and depends only upon the polarization angle . representation We may generalize the above to include modal decompositions with respect to an arbitrary direction in the – -plane, denoted by the unit vector , making an angle with respect to the -axis. In other words, we choose a mode set such that or in the direction vanishes the component of either for each mode. If we proceed as before, we find the relation between the equivalent-circuit voltages and tangential-field components

(11) where . -mode equivalent-circuit quantities can be defined The in a similar manner. For a potential function (12)

(17)

LYNCH AND COLBURN: MODELING POLARIZATION MODE COUPLING IN FSSs

with the characteristic impedances given by

1331

This has the effect of interchanging the TM and TE equivalent circuits. For this second representation, one finds a different value of as follows: (24)

(18) Using (15)–(18), we can derive the matrix that transforms the equivalent-circuit scattering variables to the variables. The result is (19) where

(20) If the relation between the incident and reflected waves is , then transforming the waves according to (20) results in a scattering matrix that is transformed according to (21) Thus, a scattering matrix in one modal representation can be changed to a different representation using the transformation (21). If the angle is chosen judiciously, the matrix will be diagonal and the above transformation is simply the diagonal [13]. The diagonal elements of are the form of the matrix are the corresponding eigenvalues of and the columns of eigenvectors. for an arbitrary scatIn general, the eigenvector matrix is complex, whereas the matrix in (20) is real. tering matrix Only certain types of matrices can be diagonalized with real eigenvector matrices. We will see in the following sections that most FSS metal patterns give rise to scattering matrices that possess real eigenvector matrices. For the conditions where this is not the case, we will show how to diagonalize the matrix using two real transformation matrices. as and Denoting the eigenvectors of and equating them with the corresponding columns of (20), we obtain the angle (22) A second diagonalization exists for the matrix and is found by swapping the columns of and interchanging the diagonal as follows: elements of

(23)

Thus, two directions exist (in the – -plane) for modal decomposition that give rise to uncoupled modes. If we represent the incident and scattered plane waves in terms of fields TM and TE to either of these two directions, we find that TM incident modes scatter only TM and TE modes scatter only TE. This simplification has been utilized in the design of periodic metal strip or wire gratings where the simple geometry made the choice of straightforward: if a metal pattern is uniform in the -direction, and modes remain uncoupled. This is equivalent then to setting in our formulation, and will be demonstrated in Section III-A. The diagonalization presented above is based on two-port scattering. As shown previously, the FSS is represented by an eight-port network. In the following, we will show how mode decoupling for the full eight-port network can be accomplished. B. Scattering Matrix Representations of FSSs Given the above equivalent-circuit definitions, scattering from an infinitesimally thin FSS in a homogeneous medium can be described by the following 8 8 matrix (the dielectric backed FSS will be considered later):

(25)

Each element in the above matrix is a 2 2 submatrix describing TM and TE scattering for each pair of ports, and is the identity matrix. The form of the matrix (25) is determined by a number of properties of the FSS. First, since the sheet is considered to be of infinite extent, and higher order Floquet modes are assumed to be evanescent, energy incident from port I does not couple back to ports I or III. Similar port isolation occurs between other port pairs, and this results in the zero submatrices in . Second, transmission from ports I to IV is identical to transmission from ports III to II since the incident waves for each case “see” the same structure (this is due to our definition of port po, as larizations). This requires submatrices indicated above. Third, the reciprocal nature of the fields gives a . Lastly, symmetric scattering matrix, thus, the submatrices that describe scattering from the surface (as opor , posed to transmission through) have the form which is a result of the shunt nature of the FSS. Exciting the FSS in a manner with “odd” symmetry about the – -plane produces a zero tangential electric field in the – -plane. In this case, the zero tangential electric field is maintained in the – -plane independent of the FSS. Thus, excitations with odd symmetry “short circuit” the FSS. Mathematically, an excitation of the results in scattered waves form . One can verify by of the form direct substitution that this results in the form of the scattering matrix in (25).

1332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 3. Four-port representation for angles of incidence to ports I and III or ports II and IV. The ports have been renumbered for simplicity in handling multilayer structures.

An important result is that the entire matrix can be specified by knowledge of the 2 2 matrix . This greatly simplifies measurements of the FSS since only the transmission properties between two fixed lenses must be measured, and the remaining parameters can be calculated. The most general excitation of the structure shown in Fig. 1(b) has waves incident from four different directions. In many applications, design specifications must be met for only one incident direction relative to the FSS. Even for multilayer scattering sheets, this results in a simplification of the scattering matrix. Consider the case where a wave is incident from port I only. The reflected waves couple to port II, and the transmitted waves to port IV. If other scattering sheets are present above or below, multiple scattering produces incident waves only from ports I and III, and transmitted waves only to ports II and IV. For incidence from ports I and III, the eight-port matrix can be reduced to a four-port matrix as follows: (26) For incidence from ports II and IV, the scattered waves are given by

Fig. 4. Eight-port scattering matrix S can be represented in terms of a transformed scattering matrix S and two transformation networks S and S .

, where we have chosen the and polarization modes. The incident waves can be transformed to another mode set using (19) as follows:

(28)

Note that each matrix element is a 2 2 submatrix. The transformations for ports I and III are identical since the FSS appears identical for these angles of incidence and, likewise, for ports II and IV. Transforming the reflected waves in the same manner, , one can compute the transformed scattering matrix shown in (29) at the bottom of this page. We can represent this mode transformation by replacing the with the decoupled scattering matrix and two network and , as shown in the lower portransformation networks tion of Fig. 4. It is straightforward to verify by simple network calculations that the scattering matrices

(27) One can show that the resulting four port networks given by (26) and (27) are unitary (but not necessarily symmetric). This four-port network will be represented as shown in Fig. 3. The ports have been renumbered for convenience. Note that , ) are now waves incident at ports 1 and 2 ( , ) and transreflected back to ports 1 and 2 ( , ). This renummitted to ports 3 and 4 ( bering will prove convenient in the design of structures with multiple scattering sheets. The modal transformation (21) can be represented using equivalent circuits, simplifying the analysis and design of multilayer structures. The upper circuit of Fig. 4 represents the eight-port scattering properties of a single sheet, and the reflected waves are related to the incident waves according to

(30) give the desired mode transformations. Each of the networks and is reciprocal, and the two networks represented by are mirror images of one another. In addition to redistributing power between TM and TE modes, the transformations also modify the port normalizing impedances, given by (18). Although the scattering matrix does not have the shunt form of (25), we can be sure that it is a shunt network since (29) is a similarity transformation and, therefore, does not change the eigenvalues of the scattering matrix.1 Due to the different normalizing 1A “shunt” network has a scattering matrix with N=2 eigenvalues equal to negative unity, where N is the number of ports.

(29)

LYNCH AND COLBURN: MODELING POLARIZATION MODE COUPLING IN FSSs

1333

impedances at the various ports, the excitation that gives a short circuit at the FSS can no longer be identified by inspection, but must be identified as the eigenvectors of the scattering matrix that correspond to eigenvalues of negative unity. C. Diagonalization for FSS Patterns With 180 Rotational Invariance Periodic metal patterns that are invariant under a 180 rotation about the -axis (neglecting possible translations in the – -plane) exhibit a special property that simplifies the diagonalization. Referring to Fig. 1(b), incidence at ports I and II become indistinguishable from one another and, likewise, for incidence at ports III and IV. For this special case, the transmission matrix is symmetric, and there is only one transformation . Furthermore, uncoupled posubmatrix in (29) larization modes are achieved by an eigenvalue decomposition of , as we will now show. The four-port scattering matrix for the FSS is

Fig. 5. Choosing the proper transformation matrices diagonalizes the four-port scattering matrix S , resulting in an equivalent circuit consisting of two shunt susceptances.

unless the metal pattern is properly constrained. Utilizing patterns that meet this condition of invariance significantly simplifies the design of multisheet structures.

(31) where the subscript implies that the mode basis is TM and TE to the -direction. Referring to Fig. 2, this four-port representation assumes incident waves at ports I and III (or II and IV) with scattered waves at ports II and IV (or I and III). Transforming modes in the same manner as (28) gives the scattered waves in the new mode representation (32) To achieve polarization mode independence, the obvious choice for the transformation network is one that diagonalizes is the standard the matrix . For this case, diagonal form of the matrix , with the eigenvalues of on the diagonal, and is the matrix of eigenvectors of . Thus, given a transmission matrix that represents a lossless FSS in a homogeneous medium, we can compute the transformation network that decouples the modes by finding the eigenvectors of and applying (20), (22), and (24). This assumes, of course, is real. One can show that that the eigenvector matrix for this will always be the case for lossless FSS structures that exhibit 180 rotational invariance. This is due to the unitary and , obtained under “even” symmetric nature of the matrix , ). As mentioned previously, excitation ( for nonsymmetric matrices , the eigenvector matrix will be complex. This case will be covered in Section II-D. lead directly to the The elements of the diagonal matrix normalized shunt admittances for the two polarization modes

D. Diagonalization for FSS Patterns Without 180 Rotational Invariance Some FSS patterns are not invariant under 180 rotation about the -axis. If one computes the transformation network required for diagonalization using the methods of Section II-C, one finds that the transformation matrix is complex, leading to an inconsistency with (20). This is due to the asymmetric naalways gives ture of the matrix —the transformation is real. For a a symmetric matrix when the unitary matrix correct treatment, one must recognize that two different transformation matrices are required, as indicated by (29). represents a shunt structure, as Since the eight-port matrix given by (25), we can excite the structure with even symmetry . The scattered fields are given by using (34) Note that the scattered fields are described only on one side of the sheet since the fields on the other side are identical. Applying the mode transformation of (29) gives the transformed scattered fields under even excitation (35) Thus, decoupling the polarization modes is the same as finding real unitary matrices and that diagonalize the 2 2 matrix

(33) (36) Thus, the equivalent circuit for the diagonalized FSS is simply two shunt admittances, one for each polarization mode, as shown in Fig. 5. Many FSS metal patterns that are used in practice are invariant under 180 rotation. One common FSS, the meander-line pattern, does not generally exhibit this invariance

Expressing the transformation matrices as

(37)

1334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 6. Scattering from a periodic metal pattern printed on one side of a dielectric sheet can be represented by an equivalent network. The scattering parameters must be computed, including in the presence of the sheet, and the matrix S can be deembedded using network theory.

the parameters , , elements of the matrix

, and can be determined from the using the following equations:

matrix is then renormalized to include port termination impedances on ports III and IV equal to the impedances of the TM and TE modes in the dielectric medium. The reference planes at ports III and IV are then rotated toward the FSS by an electrical length , and then renormalized back to free-space values at all ports. The resulting matrix representing the FSS (with the dielectric sheet “deembedded” in the above manner) will exhibit the shunt form of (25). The FSS can then be diagonalized using the preceding methods. Including surface-wave effects that may be present in finite structures is not possible in this simple circuit approach since the continuous spectrum of surface-wave modes and their interactions cannot be represent by equivalent circuits. This is analogous to radiative coupling in electronic circuits. F. Multilayer FSS Structures

(38) where and . These equations were derived by expanding (36) and performing some aland gebraic manipulation. One can show that the angles are real and the quantities and have unity magnitudes due . For a symmetric matrix to the unitary nature of , this diagonalization collapses to the standard eigenvalue decomposition. and lead to the As before, the diagonal matrix values shunt susceptances of the equivalent circuit. In contrast to Section II-C, and have been computed from the “even excitation” scattering matrix, as opposed to the transmission matrix. The normalized shunt susceptances are, therefore, given by and

(39)

The equivalent circuit for the diagonalized FSS can no longer be represented as shown in Fig. 5 because the incident waves are normalized to different impedances than are the reflected waves. Network computations are, therefore, more cumbersome for this general case. In practice, patterns with 180 invariance should be utilized wherever possible, but this is not strictly necessary. E. FSS Structures With Dielectric Backing Thus far, the development has assumed that the FSS is embedded in a homogeneous medium. Many practical structures consist of metal patterns printed on a dielectric sheet. If one ignores the possibility of surface waves with a continuous eigenvalue spectrum, as we do implicitly by assuming an infinite structure, then the above methods can be applied in the following manner. One first computes the eight-port scattering matrix of the entire structure using, for example, the method of moments. This leads to the equivalent circuit shown in Fig. 6. The scattering

Many useful structures require multiple sheets separated by dielectric materials or air. Generally, each sheet will be represented by a pair of shunt susceptances and transformation networks, and all are connected together through uniform transmission lines. The calculation of the scattering parameters for the entire structure is straightforward using standard circuit theory. However, the ability to compute the overall scattering parameters of a multilayer structure does not imply that the scattering properties of individual sheets can be chosen in a straightforward manner to give the desired overall response. Coupling between polarization modes complicates the behavior of the structure. However, decoupling the modes allows us to simplify the network. Maintaining mode independence throughout the entire structure leads to a simple equivalent circuit whose performance can be optimized in a straightforward manner. The conditions necessary for mode independence will now be derived. Consider a multilayer inhomogeneous FSS and its four-port representation, as shown in equivalent circuit in the Fig. 7. The networks are separated from one another by transmission lines with identical electrical lengths for the two polarization modes (note that the propagation constants for the two modes will always be identical for isotropic media). The freeand . The space mode impedances are indicated as characteristic impedances of the transmission lines are indicated - and -mode impedin this figure, and are equal to the ances in the medium between the sheets (which we assume, for simplicity, to be homogeneous in the layer between each pair of sheets, but may vary from one layer to the next). Since we have renormalized the scattering matrices to free-space impedances, as described in Section II-E, the normalizing impedances at all and , as indicated in ports are the free-space values this figure. Fig. 8 shows the same network with the scattering matrices decoupled by transformation networks. The diagonalized scattering matrices are represented by a pair of shunt susceptances, as indicated in this figure. The circuit can be simplified by combining each set of transmission-line sections with the two transformation networks directly adjacent, as shown in the lower half of Fig. 8. Considsection, we will indicate the characterering a single and , where istic impedances of the lines as accounts for the dielectric medium. One can show that the scattering-matrix representation for the transmission lines

LYNCH AND COLBURN: MODELING POLARIZATION MODE COUPLING IN FSSs

1335

Fig. 7. Three-layer structure and its equivalent network. Transmission lines between networks represent propagation in the dielectric material between sheets. The normalizing impedances are generally different on each side of each network.

Fig. 9. When all transformation networks are identical, the TM and TE networks are completely decoupled.

The transformation networks can be included by applying the transformation of (29), and the result is Fig. 8. Equivalent circuit for the three-layer structure after decoupling the modes. The circuit is simplified by combining each transmission-line section with the two adjacent transformation networks. Since the networks are renormalized to free-space values, the normalizing impedances are identical throughout the upper and lower halves of the network.

(without the transformation networks), normalized to the freespace values

and

where

has the form ,

, , and the ma-

trix elements are given by

(40)

(41)

Mode independence requires that each of the four submatrices in (41) be diagonal, and will be satisfied only when the matrix is diagonal. Since the matrices and are unitary and real, this can occur only when these matrices are equal. Thus, the condition required for completely uncoupled polarfor all adjacent sheets. If the ization modes is that patterns are invariant under 180 rotation, then this requires all of the transformation matrices to be identical. This rather strict requirement may be satisfied by rotating each layer with respect to the others, thus enabling one to adjust the incident azimuth angle for each layer (assuming the angle of modal decomposition is different for each layer). After the parameters have been adjusted to achieve uncoupled modes, the equivalent circuit takes on the form shown in Fig. 9. The multilayer FSS design can then be handled using standard filter design techniques.

1336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 10. Scattering from a metal strip grating in free space is represented by shunt susceptances, inductive for one polarization and capacitive for the other.

III. PRACTICAL APPLICATIONS A. Scattering From a Uniform Wire Strip Grating Many useful components can be designed using wire strip gratings, such as twist reflectors and polarization converters. The scattering properties of a uniform wire grating are well understood due to the independence of certain polarization modes resulting from the grating uniformity in a particular direction [14]. For example, if the grating strips extend in the -direcand modes eliminates mode tion, a decomposition in coupling. To illustrate the theory, scattering parameters of a periodic metal strip grating were determined using the method of moments [8] and analyzed using the above methods. The strip grating consists of infinitesimally thin conductors 0.050-in wide spaced 0.500 in apart and directed along the -axis in free space. The simulation frequency was 11.8 GHz, and the angles and , as shown in Fig. 10. of incidence were The simulation yields the following scattering matrix, for the and modes: case of even excitation, in terms of the

(42) Notice that the matrix is symmetric due to the fact that metal strips are invariant under 180 rotation about the -axis (neglecting translations in the – -plane, as always). The eigenare , which give normalized shunt values of susceptance values of . An equivalent circuit for the diagonalized structure is shown on the right-hand side of Fig. 10. The grating appears inductive to the TM polarization and capacitive to the TE. Furthermore, the capacitive effect is exceedingly small, while the inductive effect is substantial. Due to this large inductive discontinuity wire strip polarizers require extremely thin strips to obtain a good input match, a requirement that may lead to pattern etch difficulties. The matrix of eigenvectors, the mode transformation matrix, is (43) and gives values for modal decomposition angles of and . Thus, we see that we get the anticipated result that and modes decomposition of the incident fields into ensures independent mode scattering. In addition, the analysis shows that another direction exists that also gives mode independence.

Fig. 11. Four-layer meander-line polarizer with air dielectric, and its uncoupled equivalent circuit.

B. Meander-Line Polarizer Optimized for Oblique Incidence Meander-line polarizers were introduced in 1966 at Stanford [2] and have excellent polarization conversion properties. As described above, wire strip gratings generally present a strong inductive susceptance to one polarization and weak capacitive susceptance to the other. Meander-line gratings can be designed to present equal inductive and capacitive susceptances to the two polarizations, greatly increasing the operating bandwidth. To date, most meander-line designs in the literature have been optimized for normal incidence since most applications require this. The absence of mode coupling makes the design relatively straightforward. Some designs pushed the limits up to roughly 30 off normal where mode coupling effects can be ignored with tolerable error [10]. Recently, the increasing number of geosynchronous satellites and the need for low-profile horizontal antennas has generated an interest in polarizers optimized for oblique incidence. For highly oblique angles, one is forced to account for mode coupling to achieve low axial ratio. In the following, we will describe the design of a polarizer that converts linear to circular polarization. Fig. 11 shows a diagram of a diagonalized equivalent circuit for a meander-line polarizer consisting of four metallic FSS layers in a homogeneous medium. Four layers were chosen in order to meet axial ratio requirements over a range of elevation angles and frequency band. We will constrain the FSS patterns so that all are invariant under 180 rotation and will assume that the multilayer structure is symmetric about the center. Note that the two circuits that lie between the transformation networks act as bandpass filters, one for each polarization component, whose response is engineered using standard techniques [15]. The polarizer functions as follows. The linearly polarized input wave (the direction of polarization being defined by the mode set to the diangle ) is transformed from a agonal mode set by the input transformation network . All of the sheets have been designed to have the same transformation matrix, as described in Section III-A. Assuming the filters are well matched (ensured by proper design), the power deliv-

LYNCH AND COLBURN: MODELING POLARIZATION MODE COUPLING IN FSSs

1337

ered to each circuit undergoes a phase shift through the circuits, and the output transformation network converts back to -mode set. Circular polarization can be achieved the at the output by ensuring that equal power is delivered to the and polarizations, and that the phase delays through the two filter circuits differ from one another by 90 . Using the above theory, the transmission matrix through the entire network (again, this ignores reflections off the is given by filter circuits), where (44) is the transmission matrix for the filter sections (a constant phase-delay factor has been suppressed since only the relative phases are important). One can show that equal powers are and polarizations when delivered to the

(45)

Thus, once the susceptance values and transmission-line lengths that give the desired filter response have been determined using filter theory, the FSS patterns are designed to give the desired . Note that susceptance values and transformation matrix each FSS scattering matrix is described by only three parameters since the transformation matrix has only one degree of freedom. In practice, one adjusts the size of the unit cell and the shape of the meander lines to achieve the susceptance values, and then adjusts the angle of incidence to achieve the transformation matrix. Since these parameters are interdependent, multiple iterations are necessary to achieve the desired results. One will generally find that different values of are required for each layer. In practice, this is accomplished by rotating each of the sheets with respect to the others. One also finds that adjusting has a significant effect on the value of , but with a much smaller effect on the susceptance values. This helps converge on the desired set of parameters within only a few (e.g., four or five) iterations. It is possible to design polarizers with meander-line patterns that do not exhibit 180 rotational invariance. However, the circuit computations are considerably more complicated due to the fact that eight-port networks must be utilized. It is not clear that nonrotationally invariant FSS patterns offer any advantages over invariant patterns so we recommend selecting patterns that are invariant under 180 rotation. A meander-line polarizer was designed using the above methods to convert linear to circular polarization at 12.45 GHz. The incident linear polarization is defined by the angle , defined by our application (the antenna exciting the polarizer is a traveling-wave slot array with a beam angle of 45 in elevation and 16 from the slot axes in azimuth). Thus, the transformation matrix for the FSS patterns, given by (45), needs to be (46)

Fig. 12. Meander-line FSS patterns are created on this rectangular grid. Only the unit cell dimensions a and b need to be changed to obtain the desired scattering properties for the two sheets. Note that the pattern is invariant under 180 rotation, neglecting xy translations.

Susceptance magnitudes for the four layers are for for the inner the outer two layers (layer A) and layers (layer B). The transmission-line electrical lengths are . These values result in a return loss better than 20 dB and – very close to 90 (note that the four-port notation of Fig. 3 is used). Next, the metal patterns were designed to give reasonable approximations to these susceptance values. The scattering properties were computed using the method of moments (Galerkin’s method with rooftop basis and testing functions) applied to infinite periodic metal patterns of infinitesimal thickness and infinite conductivity with no dielectric losses. In practice, the metal , patterns were printed on a 2-mil polyimide sheet with and this was included in the simulation. Both metal patterns were derived from a single pattern on the rectangular grid shown in Fig. 12. Note that the pattern exhibits 180 rotational invariance (neglecting translations). The parameters for the two layers are as follows: in

in

Layer Layer Given these values, all of the dimensions of the meander line can be calculated from Fig. 12. The layers are arranged ABBA, that is, with layer A on the outside. The incident angle is different for the two layers, which implies that A and B must be rotated 2 with respect to one another. The spacing between sheets is 0.370 in, calculated from the electrical lengths given above. A single FSS layer was fabricated by etching a metal pattern on a 2-mil-thick polyimide sheet coated with 0.5-oz copper. The sheets were placed between two concentric aluminum rings, 30-in diameter. The sheets were pulled taught through the concentric rings, radially outward, and held in place by the rings so that tension provided sufficient mechanical rigidity. Four such rings were made, and fastened together with precision spacers to give the desired spacing between surfaces. An incident plane wave was generated by a circular corrugated horn, collimated by a dielectric lens. It is assumed that the transmit horn is located at ports I in reference to Fig. 1. The polarizer was mounted so that the plane wave was incident at and . The transmitted field is then an angle of measured using an open-ended waveguide probe mounted on

1338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

a near-field scanning system that measures the amplitude and phase of the fields over an area perpendicular to the incident wave direction. The fields measured over the area were then averaged in order to reduce the susceptibility to noise. This averaged measurement of the received fields forms port III in Fig. 1. The susceptances and transformation angles, calculated from the measured transmission parameters for the two layers, are , for layer A, and , for layer B. These values are reasonably close to the desired values given above. The transmission matrix for the four-layer polarizer that results from these values is calculated to be dB dB

dB dB

(47)

With the incident wave of the desired polarization, given by , the transmitted waves are found to be , which results in an axial ratio of 0.80 dB. One can see that the phase shift between the two transmitted polarizations is very close to 90 . However, there is some error in the amplitude balance due to the susceptance values being different than expected. Measurements of the full four-layer polarizer give a transmission matrix of dB dB

dB dB

(48)

With the incident field define above, the resulting axial ratio is 0.29 dB, a better result than the 0.8 dB anticipated by cascading the scattering parameters of the individually measured layers. The discrepancy is probably due to errors in the measurement of each layer that increase the overall error of the computed result for the cascaded structure. The very low measured axial ratio of the polarizer verifies the analytical process presented here.

[2] L. Young, L. A. Robinson, and C. A. Hacking, “Meander line polarizer,” IEEE Trans. Antennas Propagat., vol. AP-21, pp. 376–378, May 1973. [3] T. K. Wu, “Meander line polarizer for arbitrary rotation of linear polarization,” IEEE Microwave Guided Wave Lett., vol. 4, pp. 199–201, June 1994. [4] T. L. Blackney, J. R. Burnett, and S. B. Cohn, “A design method for meander line circular polarizers,” in 2nd Annu. Antennas Symp., Oct. 1972, pp. 1–5. [5] M. H. Chen, “Design formulas for a quasi optical diplexer or multiplexer,” IEEE Trans. Microwave Theory Tech., vol. MTT-28, pp. 363–368, Apr. 1980. [6] R. Mittra, C. H. Chan, and T. Cwik, “Techniques for analyzing frequency selective surfaces—A review,” Proc. IEEE, vol. 76, pp. 1593–1615, Dec. 1988. [7] C. H. Tsao and R. Mittra, “Spectral domain analysis of frequency selective surfaces comprised of periodic arrays of cross dipoles and Jerusalem crosses,” IEEE Trans. Antennas Propagat., vol. AP-32, pp. 478–486, May 1984. [8] T. Cwik and R. Mittra, “Spectral domain solution of scattering from periodic surfaces using the FFT,” in Int. IEEE Antennas and Propagation Soc. Symp., vol. 22, June 1984, pp. 931–916. [9] J. D. Hanfling, G. Jerinic, and L. R. Lewis, “Twist reflector design using E type and H type modes,” IEEE Trans. Antennas Propagat., vol. AP-29, pp. 622–629, July 1981. [10] R. S. Chu and K. M. Lee, “Analytical method of a multilayered meander line polarizer plate with normal and oblique plane wave incidence,” IEEE Trans. Antennas Propagat., vol. AP-35, pp. 652–661, June 1987. [11] W. W. Milroy, “Continuous transverse stub element devices and methods of making same,” U.S. Patent 5 266 961, Nov. 30, 1993. [12] R. F. Harringtion, Time Harmonic Electromagnetic Fields. New York: McGraw-Hill, p. 129. [13] G. Strang, Linear Algebra and Its Applications. Orlando, FL: Academic, 1980. [14] H. M. Altschuler and L. O. Goldstone, “On network representation of certain obstacles in waveguide regions,” IRE Trans. Microwave Theory Tech., vol. MTT-7, pp. 213–221, Apr. 1959. [15] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980.

Jonathan J. Lynch received the B.S., M.S., and Ph.D. degrees from the University of California at Santa Barbara, in 1987, 1992, and 1995, respectively. Since 1995, he has been with HRL Laboratories LLC, Malibu, CA, where he is currently a Senior Scientist and Manager of the Microwave Technology Department, Microelectronic Laboratory. His areas of expertise include microwave and millimeter-wave antennas, filters, waveguide circuits, as well as nonlinear components and subsystems, such as synchronized microwave oscillators and quasi-optical

IV. SUMMARY An analytical approach to the design of multilayer FSSs has been presented, which allows one to correctly take into account polarization mode coupling that exists for many surfaces under oblique incidence. Formal equivalent circuits have been defined for such structures, and a method has been given for decoupling the polarization modes. The method has been applied to FSSs embedded in homogeneous media, as well as those embedded in stratified dielectric media. The methods have been applied to the design of a linear-to-circular polarization converter that is optimized for an angle of incidence 45 off of normal. The resulting axial ratio has been measured to be 0.3 dB. REFERENCES [1] J. A. Arnaud and P. A. Pelow, “Resonant grid quasioptical diplexers,” Bell Syst. Tech. J., vol. 54, no. 2, pp. 263–283, Feb. 1975.

power combining.

Joseph S. Colburn (S’91–M’98) received the B.S. degree (summa cum laude) from the University of Washington, Seattle, in 1992, and the M.S. and Ph.D. degrees from the University of California at Los Angeles (UCLA), in 1994 and 1998, respectively, all in electrical engineering. Since 1998, he has been with HRL Laboratories LLC, Malibu, CA, where he is currently a Research Staff Scientist with the Microwave Technology Department. From 1995 to 1997, he was with the Space and Electronics Group, TRW, where he was involved with the design and measurement of satellite antennas. His research areas include microwave and millimeter-wave antennas and circuits, as well as related topics in numerical electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1339

New Envelope-Currents Method for the Simulation of Weakly Nonlinear Communication Circuits Carlos Crespo-Cadenas, Associate Member, IEEE, and Javier Reina-Tosina, Associate Member, IEEE

Abstract—In this paper, a novel approach to analyze weakly nonlinear microwave circuits excited by digital communications signals is reported based on a recursive algorithm. The method analyzes a time-varying linear circuit excited by appropriate nonlinear currents (NCs) with node waveforms updated in each iteration. Simulation results are compared with harmonic balance and NC methods, showing fast convergence properties and accuracy even with only one iteration. The extension of the algorithm to the envelope-currents method gives a procedure to analyze the effects of nonlinear distortion in the case of excitation with communications signals. This approach allowed the evaluation of the spectral regrowth and adjacent channel power ratio of a MESFET amplifier at 2 GHz, with simulation results showing a good agreement with measurement data. Index Terms—Adjacent channel power ratio (ACPR), high electron-mobility transistor (HEMT), MESFET, microwave circuits, nonlinear analysis, spectral regrowth, wireless communications.

I. INTRODUCTION

D

ESIGN OF wireless communications systems requires precise circuit-level simulation to predict nonlinear characteristics like adjacent channel power ratio (ACPR), constellation distortion, and noise power ratio (NPR). The interest of researchers on nonlinear analysis of microwave communication circuits and systems has been shown in several papers submitted over the last years [1]–[5]. In [2], a Volterra-series (VS) approach is used in the analysis of spectral regrowth of narrow-band modulated signals. In that paper, the analysis is limited to weak nonlinearities and effects above third order are neglected. On the other hand, the use of harmonic-balance (HB) techniques [3] requires excessive resources and very long simulation times because of the large number of frequency components to be considered when dealing with signals of wireless communications systems. An effective technique that can conveniently handle the analysis of nonlinear circuits under modulated carrier excitation is the envelop-transient (ET) analysis, which combines frequencyand time-domain techniques [1]. In that paper, the signal is considered as a combination of a low-frequency envelope and a high-frequency carrier. The latter is treated by HB and the envelope by integrating in the time domain. A more efficient algoManuscript received November 3, 2003; revised November 18, 2003. This work was supported by the Spanish National Board of Scientific and Technological Research under Project TIC2001-0751-C04-04. The authors are with the Departamento de Ingeniería Electrónica, Escuela Superior de Ingenieros, Universidad de Sevilla, 41092 Seville, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825649

rithm has been proposed in [4] extending the nonlinear-currents (NC) method to the envelope-currents (EC) procedure. EC analysis is based on the NC method applied to digitally modulated signals in weakly nonlinear wireless communications systems. Since the original NC method has poor convergence properties and is an unmanageable technique when order increases, the EC method is also limited to nonlinear systems excited by weak input signals. This restriction can prevent the application of this technique to circuits operating with signal levels for which the study of nonlinear distortion is more important, i.e., near the compression point. In this paper, we develop a new method of nodal analysis making use of Taylor-series expansion of the nonlinearities about a previously computed solution [6]. This basic idea has proven its efficiency to solve different problems in algorithms presented by other authors [7], [8] and is used here to improve the accuracy of the EC method by means of the analysis of an associated linear circuit with elements varying according to waveforms that are updated in each iteration. As is shown in this paper, the current technique exhibits faster convergence properties and a better accuracy than the EC method. II. THEORETICAL APPROACH For the sake of clarity, let us consider a nonlinear circuit composed of a single node with resistive and capacitive nonlineari. For this circuit, ties driven by the excitation current the integro-differential equation can be symbolically expressed as (1) with being the node voltage, and being the currents across the nonlinear conductance and capacitance, respectively, and representing a linear operator. In is given by the sum of incremental the current approach, voltages and the corresponding residual voltage (2) where the explicit temporal dependence has been omitted for clarity in the notation. The dc voltage is calculated considand in the ering that only is present in (1). Expand Taylor series about and substitute in (1) using (2) with . The first incremental voltage is selected as the solution of the following linear equation:

0018-9480/04$20.00 © 2004 IEEE

(3)

1340

Thus, the first residual voltage

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

satisfies the equation (4)

Taking into account that , the procedure is reand in the Taylor series peated by now expanding about and, in the same way, the second incremental voltage can be evaluated by solving the following linear equation, which is now time varying: (5) with

(6) It is not difficult to generalize these results, verifying that can be calculated by solving the each incremental voltage corresponding time-varying linear equation

Fig. 1. Responses up to third harmonic. HB (points) and proposed method in the first nonlinear iteration (solid lines).

(7) with

(8) becomes negAssuming convergence, the residual voltage ligible for a high enough order . Equations (6) and (8) are similar to those presented in [6] if the term produced by the current across the nonlinear capacitor is included. When the input signal is a continuous wave (CW) carrier, in each iteration, all variables of the time-varying circuit are periodic signals. Therefore, they can be expressed as a Fourier series with components at each harmonic of the carrier frequency and (7) can be rewritten in matrix form as (9) and are vectors containing the Fourier coefficients where and . and are Toeplitz matrices formed with of the Fourier coefficients of the time-varying conductance and capacitance, respectively. Notice that (9) is equivalent to an HB iteration with the Newton–Raphson algorithm. Performance evaluation of this circuit has been obtained comparing simulation results with the well-known HB technique. The output power was calculated up to the seventh harmonic for input power ranging from 20 to 20 dBm and the coincidence with HB was almost perfect [6]. However, the interest of this paper is to evaluate performance of weakly nonlinear circuits in only one nonlinear iteration. The rapid convergence of the proposed method is demonstrated in Fig. 1 where the first nonlinear iteration is compared to the HB method. The correspondence is very satisfactory, much better than the one obtained with the

Fig. 2. Responses at 5.8 GHz. Second and third harmonic of the MMIC HEMT predicted with the proposed method (solid line) and with the standard NC method (dotted line). HB (dashed line) is shown for reference.

NC method considering terms and harmonics. Clearly this new technique outperforms the NC method and extends the range of analysis through the use of a noncomplicated algorithm. The method has been also applied to the analysis of a microwave field-effect transistor (FET) at 5.8 GHz. The active device is a high electron-mobility transistor (HEMT) with 8 50 m gatewidth and only the drain current source of its equivalent circuit was considered as a nonlinear element. Fig. 2 shows the computed responses up to the third harmonic (single tone) and the present using the standard NC method with method with only one nonlinear iteration ( ). The proposed approach presents a better accuracy than the NC method (dotted line) with respect to HB (dashed line) and, in this case, extends the dynamic range approximately 10 dB. Simulation of

CRESPO-CADENAS AND REINA-TOSINA: EC METHOD FOR SIMULATION OF WEAKLY NONLINEAR COMMUNICATION CIRCUITS

Fig. 3. Spectral regrowth prediction for a QPSK modulated signal with RC pulses: calculated with the present method (solid line) and with the EC method (dashed line). Input spectrum (dotted line). Signal level: 6 dB below the compression point.

1341

Fig. 4. Comparison of two-tone intermodulation computed with the current method (solid line) and EC (dotted line). HB (dashed line) is shown only for reference purposes.

HB using a commercial software was performed with the complete HEMT model. Convergence tests have shown that the error decreases approximately 2–3 orders of magnitude per iteration. In the case of digital communications signals, a procedure similar to [4] leads to the following matrix equation:

(10) and are time-varying Toeplitz matrices. Alwhere though a similar equation has been derived for the case of nonlinear analysis of mixers [9], it is the first time that (10) is applied in this context. Sampling the envelope waveforms with a and discretizing the differential operator, the use time step of a backward–Euler rule leads to the update procedure of the current method as follows: Fig. 5. Simulation of output power and ACPR in two consecutive adjacent channels using the proposed method (solid line) and EC (dotted line). Dashed line: output power computed with HB.

(11) where , , and the right-hand-side term depend on node voltage of the previous iteration, and each harmonic of has been evaluated at the instant . The current algorithm has been applied to the evaluation of spectral regrowth at 5.8 GHz produced by the HEMT. To that aim, 512 symbols of a quadrature phase-shift keying (QPSK) signal at a rate of 3.84 Mb/s have been simulated. Fig. 3 depicts the RF input spectrum and the simulated values of the spectral regrowth appearing at the output using raised cosine (RC) pulses. The output spectrum was also calculated following the EC and the results are also represented in Fig. 3 with a dashed line. It is noticeably a distinct ACPR when the spectrum is computed using one or the other method, and the divergence is more profound beyond the first adjacent channel where the proposed method is still able to evaluate spectral regrowth. The complexity in the solution of a time-varying system is partially

compensated with the reduction in the number of equations involved so that the simulation speed can be estimated one order of magnitude faster than HB. The method was also used to compute two-tone intermodulation at the output, and the results are shown in Fig. 4, together with EC and HB results. With only one nonlinear iteration, the current method matches the HB curve better than the EC and even exhibits a “sweet spot” in the intermodulation characteristic. The output power in-band and ACPR in the upper channel were also computed using the proposed method, and the results are shown in Fig. 5 (solid line) compared with the same computations using the EC (dotted line). For low levels of the input signal, the curves are coincident, but at higher input levels, the present method predicts an increment of ACPR1 (in the nearest upper channel), according to published results using HB [9]. In the case of the second adjacent upper channel, EC computations produce ACPR2 below 100 dB.

1342

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

ACKNOWLEDGMENT The authors wish to thank Prof. M. B. Steer, North Carolina State University, Raleigh, and the reviewers who made several valuable comments that have been incorporated into this paper. The authors also wish to thank Dr. J. C. Pedro, University of Aveiro, Aveiro, Portugal, for his helpful discussion of the work. REFERENCES

Fig. 6. Comparison of measured (points) and predicted (solid line) output spectrum of the MESFET amplifier. RC pulses.

III. MEASUREMENTS TO A MESFET AMPLIFIER Comparison of the current method to experimental results at 2 GHz of an amplifier implemented with a MESFET was also performed. The active device used in the amplifier was the CFB0301 MESFET of Celeritek, Santa Clara, CA, in a common-source configuration. The equivalent circuit was extracted, measurements with single-tone input were performed, and the observed agreement with theoretical results confirmed the validity of the model. A QPSK 2-GHz signal modulated at 3.84 Msym/s was then applied to the amplifier input. In Fig. 6, the experimental spectrum is compared with the simulated one, utilizing the new method. Again, a good coincidence of numerical results with measured points can be observed. IV. CONCLUSIONS In this paper, a new technique to analyze nonlinear circuits has been presented. This procedure is an alternative to the NC method with a faster convergence and a higher precision when weakly nonlinear systems are evaluated. The solution has been obtained considering a time-varying linear circuit excited by an appropriate NC and the waveforms of the time-varying circuit are updated at each step of the algorithm. Simulation examples have been performed using this method and results have shown rapid convergence and high precision. In the case of wireless communication signals, a simple procedure was derived exploiting the EC concept. The prediction of spectral regrowth in an HEMT amplifier has been presented and results have been compared with the standard EC method. A slightly higher calculation complexity and computer time have been traded for precision. Finally, a MESFET amplifier has been tested at 2 GHz with a QPSK signal, and experimental results have been satisfactorily compared with predictions of the current method.

[1] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microwave Symp. Dig., June 1996, pp. 1365–1368. [2] S. A. Maas, “Volterra analysis of spectral regrowth,” IEEE Microwave Guided Wave Lett., vol. 7, pp. 192–193, July 1997. [3] V. Rizzoli et al., “Nonlinear processing of digitally modulated carriers by the inexact-Newton harmonic-balance technique,” Electron. Lett., vol. 33, pp. 1760–1761, Oct. 1997. [4] V. Borich, J. East, and G. Haddad, “The method of envelope currents for rapid simulation of weakly nonlinear communications circuits,” in IEEE MTT-S Int. Microwave Symp. Dig., June 1999, pp. 981–984. [5] A. Soury, E. Ngoya, J. M. Neblus, and T. Reveyrand, “Measurement based modeling of power amplifiers for reliable design of modern communications systems,” in IEEE MTT-S Int. Microwave Symp. Dig., June 2003, pp. 795–798. [6] C. Crespo-Cadenas and J. Reina-Tosina, “Envelope currents method with extended dynamic range for the simulation of nonlinear communications circuits,” in 33rd Eur. Microwave Conf., Oct. 2003, pp. 769–772. [7] S. A. Maas, “Two-tone intermodulation in diode mixers,” IEEE Trans. Microwave Theory Tech., vol. MTT-35, pp. 307–314, Mar. 1987. [8] E. Van Den Eijnde and J. Schoukens, “Steady-state analysis of periodically excited nonlinear system,” IEEE Trans. Circuits Syst., vol. 37, pp. 232–242, Feb. 1990. [9] V. Borich, “Analysis and optimization of solid-state microwave circuits for digital communications,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., The University of Michigan at Ann Arbor, Ann Arbor, MI, 2001.

Carlos Crespo-Cadenas (A’93) was born in Madrid, Spain. He received the Physics degree from the University of Havana, Havana, Cuba, in 1973, and the Doctor degree from the Polytechnique University of Madrid, Madrid, Spain, in 1995. Since 1998, he has been an Associate Professor and currently teaches lectures on radio communications in the area of signal theory and communications at the Universidad de Sevilla, Seville, Spain. His current interests are nonlinear analysis applied to wireless digital communications and to microwave monolithic integrated circuits (MMICs).

Javier Reina-Tosina (S’98–A’03) was born in Seville, Spain, in May 1973. He received the Telecommunication Engineering and Doctor degrees from the Universidad de Sevilla, Seville, Spain, in 1996 and 2003, respectively. Since 1997, he has been with the Departamento de Ingeniería Electrónica, Universidad de Sevilla. His current research interests include MMIC technology, nonlinear analysis of active microwave devices, and integration of information technologies in biomedicine.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1343

Characterization of Liquid Crystal Polymer (LCP) Material and Transmission Lines on LCP Substrates From 30 to 110 GHz Dane C. Thompson, Student Member, IEEE, Olivier Tantot, Hubert Jallageas, George E. Ponchak, Senior Member, IEEE, Manos M. Tentzeris, Senior Member, IEEE, and John Papapolymerou, Senior Member, IEEE

Abstract—Liquid crystal polymer (LCP) is a material that has gained attention as a potential high-performance microwave substrate and packaging material. This investigation uses several methods to determine the electrical properties of LCP for millimeter-wave frequencies. Microstrip ring resonators and cavity resonators are measured in order to characterize the dielectric constant ( ) and loss tangent (tan ) of LCP above 30 GHz. The measured dielectric constant is shown to be steady near 3.16, and the loss tangent stays below 0.0049. In addition, various transmission lines are fabricated on different LCP substrate thicknesses and the loss characteristics are given in decibels per centimeter from 2 to 110 GHz. Peak transmission-line losses at 110 GHz vary between 0.88–2.55 dB/cm, depending on the line type and geometry. These results show, for the first time, that LCP has excellent dielectric properties for applications extending through millimeter-wave frequencies. Index Terms—Cavity resonator, dielectric characterization, liquid crystal polymer (LCP), loss tangent, millimeter-wave frequencies, ring resonator, transmission-line loss.

I. INTRODUCTION

A

S frequencies tend to increase for the next generation of wireless applications, the materials and integration techniques in RF systems are experiencing more demanding performance constraints. One example is substrate water absorption, which above 10 GHz can lead to unacceptable losses in elements such as antennas, filters, and transmission lines. Many materials whose losses are small for 2.4- and 5.8-GHz wireless local area networks (LANs) are no longer suitable for 35-GHz satellite, 60-GHz high-bandwidth wireless LANs, 77-GHz vehicular collision avoidance, and 94-GHz military bands to name a few. In addition, consumers are demanding continually better

Manuscript received November 4, 2003. This work was supported by the National Aeronautics and Space Administration under Contract NCC3-1015, by the National Science Foundation (NSF) under CAREER Award ECS 9984761, by the Georgia Electronic Design Center, and by the Georgia Institute of Technology NSF Packaging Research Center. D. C. Thompson, M. M. Tentzeris, and J. Papapolymerou are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). O. Tantot and H. Jallageas are with the Faculté des Sciences, Institut de Recherche en Communications Optiques et Microondes, Université de Limoges, Limoges Cedex 87060, France. G. E. Ponchak is with the National Aeronautics and Space Administration Glenn Research Center, Cleveland, OH 44135 USA. Digital Object Identifier 10.1109/TMTT.2004.825738

performance for minimal increases in price. New material technologies must be identified that can simultaneously tackle these challenges of performance, frequency and environmental invariance, and cost. Millimeter-wave systems are designed around two major philosophies: system-on-chip (SoC) and system-on-package (SoP). SoC is a fully integrated design with RF passives and digital and/or optical functions on-wafer [1]. SoP condenses space hungry analog components into a multilayer dielectric material and integrates chips within or on the same dielectric packaging material [2]. For SoC, especially at higher frequencies, gallium arsenide (GaAs) is often required for the high cutoff frequency performance it offers digital transistors and for the low substrate loss it provides analog components. However, GaAs is expensive, and using large areas of the substrate for analog components is not cost effective. Silicon germanium (SiGe) on either CMOS/BiCMOS-grade Si or high-resistivity Si is a lower cost replacement for GaAs for some applications, but it is still a relatively lossy substrate for passive RF components. SoP modules solve the major shortfalls of SoC by providing a low-loss substrate material for the RF passives and a unique space-saving capability for chip integration in or on the substrate. Still, many of the materials commonly used for SoP microwave circuit construction have shortfalls that limit their implementation for higher frequency applications, such as in the millimeter-wave applications. The industry standard for circuit boards, i.e., FR4, becomes dysfunctional due to prohibitively large losses in the high gigahertz range. Low temperature co-fired ceramic (LTCC) has attractive electrical characteristics, dense multilayer circuit integration, and very good package hermeticity, but the cost is also relatively high [3]. One potential material that could address the needs for wireless systems built across a very wide frequency range is liquid – crystal polymer (LCP). The low loss ( for GHz) [5]–[7], near hermetic nature (water absorption 0.04%) [8], and low cost ( $5/ft for 2-mil single-clad low-melt LCP) [9] make it appealing for high-frequency designs where excellent performance is required for minimal cost. LCP’s low water absorption makes it stable across a wide range of environments by preventing changes in the relative dielectric and loss tangent . The LCP material proconstant cessing is still in its infancy, and its materials cost is on the same

0018-9480/04$20.00 © 2004 IEEE

1344

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE I MATERIAL COMPARISON

magnitude with those in Table I. However, due to the capability for LCP to do reel-to-reel processing, it is expected that production costs will continue to fall. At the same time, the material’s flexibility and relatively low processing temperatures enable applications such as conformal antenna arrays and integration of microelectromechanical system (MEMS) devices such as low-loss RF switches. In addition, multilayer circuits are possible due to two types of LCP material with different melting temperatures. High melting temperature LCP (315 C) can be used as core layers, while low melting temperature LCP (290 C) is used as a bond ply. Thus, vertically integrated designs may be realized similar to those in LTCC. An additional benefit in multilayer LCP builds is the functionality provided by the low dielectric constant. This is useful for vertically integrated designs where the antenna is printed on the top layer of an all-LCP module. The usage of LCP as a microwave circuit substrate is not a new idea. It has been around in thin-film form since the early 1990s when it was first recognized as a candidate for microwave applications [5], [10], [11]. However, early LCP films would easily tear and were difficult to process. Film uniformity was not acceptable and poor LCP to metal adhesion and failure to produce reliable plated through holes (PTHs) in LCP limited the capabilities for manufacturing circuits on it. Devising and optimizing LCP surface treatments and via drilling and de-smearing techniques were also necessary in order to bring the material to a state where circuits on it could be manufactured with confidence. Much work has focused on methods of improving these fabrication difficulties [12]–[19]. A biaxial die extrusion process was developed [8], [9], [11], which solved the tearing problems by giving the material uniform strength and it also created additional processing benefits. It was discovered that by controlling the angle and rate of LCP extrusion through the biaxial die, the – coefficient of thermal expansion (CTE) could be controlled approximately between 0 ppm C and 40 ppm C. Thus, this unique process can achieve a thermal expansion match in the – -plane with many commonly used materials. Table II shows how the transverse CTE of LCP can be made to match both metals and semiconductors used in high-frequency systems. 105 ppm C , LCP’s -axis CTE is considerably higher but due to the thin layers of LCP used, the absolute -dimension difference between LCP and a 2-mil-high copper PTH is less than one half-micrometer within a 100 C temperature range [20]. This makes -axis expansion a minimal concern until very thick multilayer modules come into consideration. It was not until late 2002 that many of the LCP process limitations had been overcome [21], and it has only been avail-

able commercially in thin films with single and double copper cladding since December 2001 and June 2003, respectively.1 Interest has grown quickly in utilizing LCP for higher frequency applications since [22], [23]. Previous literature [5]–[7] has focused on lower frequency characterization of LCP using microstrip ring resonators to exand up to 34.5 GHz. Additionally, a 50- contract ductor-backed coplanar waveguide (CBCPW) transmission line on LCP [24] has shown LCP to have low loss from 2 to 110 GHz, and a CPW on LCP [25] has been measured to 50 GHz. However, broad-band dielectric material characterization at higher frequencies is not a trivial task. Ring resonators provide dielectric information at discrete frequency points at periodic resonant peaks, but substrate thickness, ring diameter, and the dielectric constant of the material under test may all affect the accuracy of the measurement. In addition, at high frequencies where the skin depth approaches the surface roughness of the resonator’s metal lines, it becomes difficult to theoretically separate the effects of conductor and dielectric losses. In this paper, for the first time, a thorough analysis using multiple dielectric characterization methods has been performed in order to provide accurate broad-band dielectric properties ) of LCP for frequencies from 30 to 110 GHz. Mi( , crostrip ring resonators of varying diameters and substrate thicknesses, cavity resonators, and a transmission-line method (TL method) have all been used and cross-referenced to accurately and values for LCP. In addetermine the broad-band dition, coplanar waveguides (CPWs) and microstrip lines, each on varying substrate thicknesses, have been characterized for the first time from 2 to 110 GHz and the losses have been quantified in decibels per centimeter. The transmission line losses across the millimeter-wave range provide a design guide for loss versus frequency of circuits built on LCP substrates. The results of this investigation give a thorough knowledge of LCP dielectric properties and the performance of LCP-based circuits up to millimeter-wave RF systems. II. MILLIMETER-WAVE ( 30 GHz) LCP CHARACTERIZATION A. Ring Resonator Method Microstrip ring resonators were initially designed for 2and 4-mil LCP substrates. The LCP substrates, courtesy of the Rogers Corporation, came double copper clad with 18 m of electrodeposited copper. The copper surface was first examined using a Wyko optical profilometer, which showed 0.4–0.6- m rms surface roughness. Unfortunately, accurate extraction using the ring resonator method requires reliable theoretical equations for microstrip conductor losses. With the surface roughness potentially approaching the copper skin depth as low as 12 GHz, an undesirably large surface roughness correction factor would be required in the conductor loss formulas for frequencies above 30 GHz. As a solution, the 18- m copper was etched off of one side of 2- and 4-mil-high melt temperature LCP core layers. A Karl Suss SB-6 wafer bonder was used to bond a smooth 5- m rolled copper foil to the bare 2- and 4-mil LCP surfaces with a 1-mil low melting temperature LCP layer 1Rogers Corporation, Rogers, CT. [Online]. Available: http://www.rogerscorporation.com/whatsnew.htm

THOMPSON et al.: CHARACTERIZATION OF LCP MATERIAL AND TRANSMISSION LINES ON LCP SUBSTRATES FROM 30 TO 110 GHz

1345

TABLE II TRANSVERSE COEFFICIENT OF THERMAL EXPANSION COMPARISON

TABLE III RING RESONATOR CONFIGURATIONS NOTE: ALL DIMENSIONS ARE IN MICROMETERS, EXCEPT FOR “h,” WHICH IS IN mils

as the bond layer. As a result of this process modification, the ensuing ring resonators were patterned on 3- and 5-mil LCP substrates. The bonded construction is assumed electrically homogeneous since identical electrical characteristics are listed for high and low melting temperature LCP. Depending on the orientation to the rolled foil’s copper grain structure, its rms surface roughness measured between 0.1–0.35 m. With these values, the surface roughness reaches the copper skin depth at the worst case near 36 GHz, while at the moderate to best case, the roughness would not approach the skin depth until well after 110 GHz. with periodic resThe ring resonator designs produce an onant peaks. The extraction of is dependent on the location of the resonant frequencies for a resonator of a given radius, while extracted is a function of the quality factor ( ) of the the peaks. For each substrate thickness, the desired resonant peaks and corresponding ring radii were devised according to

Fig. 1. Transmission-line cross sections for: (a) microstrip, (b) CBCPW, and (c) CPW.

(1) where corresponds to the th resonant frequency of a ring , effective dielectric constant , and with mean radius being the speed of light in vacuum [6], [26]. To experiment how sensitive the results would be to the number of resonances, small and large ring diameters were employed for each substrate thickness. The small ring was designed for approximately five resonant peaks and the large one for approximately ten peaks across the 2–110-GHz measured frequency range. A standard microstrip feed could not be used due to the cutoff of most coaxial connectors at 50 GHz. Thus, CBCPW-to-microstrip transitions were included on both ends of the ring resonators so that on-wafer probes rated to 110 GHz could be utilized. The transitions were optimized for frequencies above 30 GHz. We chose an impedance range between 60–90 for the microstrip and CBCPW lines in our designs. High-impedance lines are desirable in ring resonator designs [27], [28] in order to reduce the dispersion that is common for a microstrip.

Fig. 2. Microstrip ring resonator configuration diagram.

Simulations using Ansoft HFSS and Flomerics microstrips helped narrow the designs to those shown in Table III. Dimensioning nomenclature for the transmission-line cross sections and ring resonator layout are shown in Figs. 1 and 2. As shown in Table IV, designs A, B, E, and F have closely matched CBCPW to microstrip impedances, while designs C and D were mismatched to provide a higher impedance for the microstrip portion. Slight mismatches in the design were due to a combination of factors. The probe pitch for the ground–signal–ground (GSG) probes gave dimensional of the CBCPW, and depending on limitations for the the substrate thickness, the signal width for CBCPW and microstrip lines of the same impedance were sometimes difficult to match. Thus, a tapered 100- m transition section was used in some designs. The etching undercut during fabrication also

1346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE IV RING RESONATOR IMPEDANCES

TABLE V TRL LINES USED IN CBCPW-TO-MICROSTRIP TRANSITION

Fig. 3.

played a role in the final dimensions. The dimensions shown in Table III are those measured from the fabricated structures. Impedances in Table IV have been calculated with the HP ADS LineCalc software utility using the fabricated dimensions and . The assuming the manufacturers specification of microstrip impedances have been taken near the middle of variation in our frequency range (at 60 GHz) due to the calculated microstrip impedance between 30–110 GHz. To remove the effects of the feeding sections and eliminate the effects of the slight impedance mismatch, a through-reflect-line (TRL) calibration was performed with six delay lines, a through, and an open reflect. HP BASIC and the National Institute of Standards and Technology (NIST), Boulder, CO, Multical software were used in the calibration [29]. A reference plane was set at the edge of the coupling gap to the resonator so that only the response of the resonating ring element (a microstrip ring) was effectively measured. The TRL lines lengths used in the calibration are shown in Table V. The measurements were done over a 2–110-GHz band using an Agilent 8510XF vector network analyzer (VNA) and Cascade Microtech 250 m probe pitch GSG 110-GHz probes. The maximum number of frequency points (801) and an averaging factor of 128 were used in the measurement. The 3-dB bandwidth of the resonant peaks was found to be roughly one-hundredth of the frequency at which they occurred. Thus, the 3-dB bandwidth was around 300 MHz for a 30-GHz peak and near 1 GHz for a 100-GHz peak. The measured data points were 135 MHz apart, but post-processing interpolation using MATLAB with an interpolation factor of 15 gave frequency resolution of approximately 10 MHz. A test was applied to determine if the accuracy of this interpolation method was sufficient. A TRL calibration and re-measurement of a known resonant peak was performed using 801 data points over a 4-GHz band. The results with this 5-MHz resolution were almost identical to that using more sparse data points and interpolation. Following this test, the rest of the measurements were done using broad-band measurement with data interpolation.

S

measurement for ring resonator configuration D.

Fig. 4. Extracted dielectric constant using ring resonator designs A–F and cavity resonators with 2- and 4-mil LCP.

One such measurement file is shown in Fig. 3. The extracted values are shown in Fig. 4. and are both obtained using the equations found in [7]. Depending on the geometry, different numbers of resonant peaks were discernible for different designs, and only clearly defined resonant peaks were evaluated. The dielectric constant results vary by less than 2.6% between the six resonator designs in any 10-GHz frequency band and less than 4.3% over the entire 80-GHz band. The results show with a slight increase with approximately that increasing frequency. The lowest value observed was 3.083 and the highest was 3.217, but a majority of the data points fell closer to the high end of the spectrum. In data point groupings near 43, 62, and 104 GHz, the approximate average values are 3.13, 3.15, and 3.19, respectively. The extraction of loss tangent using the ring resonator at such high frequencies has proven more difficult. The ring resonator method gives the total loss at the frequency locations of each resonant peak [6], and subtracting theoretical values for conductor and radiation losses is required to isolate the dielectric

THOMPSON et al.: CHARACTERIZATION OF LCP MATERIAL AND TRANSMISSION LINES ON LCP SUBSTRATES FROM 30 TO 110 GHz

1347

loss . in nepers per meter may then be inserted into (2) to obtain the results for loss tangent [30]. (2) is the free-space wavelength, is the effective diwhere electric constant, and is the relative dielectric constant. Accurate theoretical equations for both conductor and radiusing the miation losses are a necessity for extracting crostrip ring resonator method. However, available conductor loss [31]–[34] and radiation loss [33]–[35] formulas are dated from the 1970s or before and were not meant for describing microstrip characteristics in the tens or hundreds of gigahertz. To the authors’ knowledge, no analytical formulas are available, which are optimized for describing conductor and radiation losses in a microstrip line from 30 to 110 GHz. Four microstrip conductor loss formulas were investigated and found to differ significantly. As an example, the theoretical conductor losses on the microstrip geometry on 3-mil LCP (see Section III) differed by approximately 0.74 dB/cm at 110 GHz from highest [34] to lowest [33]. The conductor-loss equation used in a previous LCP material characterization [7] was found to use an incorrect formula for conductor loss [31], which was later corrected in [32]. This would lead to an artificially high extracted loss tangent, which could explain why values for similar to 0.0038 were reported at 10 GHz, while 0.002–0.003 are the values at 10 GHz reported by LCP suppliers Rogers Corporation and W. L. Gore, Elkton, MD, respectively. Sorting out the available theoretical formulas, the combination that gave us reasonable values for extracted loss tangent came from [34] for conductor loss and using 0.35 m (maximum measured) for our rms surface roughness. Two of the three radiation loss formulas tested [34], [35], including the one used in [7], give radiation loss curves with increases at frequencies past 30 GHz. In fact, unreasonable the theoretical radiation losses from these equations give values higher than the total measured loss past approximately 80 GHz. The third radiation loss formula, found in [33] (originally from [36]), is the only one tested that did not diverge to unreasonable levels in our measured frequency band, and it is used in our analysis. The loss tangent is plotted in Fig. 5 with and without subtracting the radiation loss for an open-ended microstrip from [36]. This radiation loss was multiplied by a factor of two to account for the two open microstrip discontinuities. Radiation from the ring elements was neglected since these are continuous features that are poor radiators on such thin substrates. The ring resonator plot with the radiation losses subtracted gives a good approximation of the values found by the cavity resonator method. It shows values between 0.003–0.004 with the exception of one outlier near 93 GHz. B. Cavity Resonator Method With the aim of verifying the dielectric properties measured by other methods, a resonant cavity method has been used for permittivity and loss-tangent measurements. This technique permits an accurate measurement without preparation of the

Fig. 5. LCP loss tangent versus frequency for 2- and 4-mil substrate thicknesses measured with the cavity resonator method. Results for the ring resonator method and the TL method on 3-mil LCP substrates are shown with and without subtracting radiation loss.

Fig. 6.

Cavity resonator diagram.

Fig. 7. Cavity resonators for different measurement frequencies.

sample (no cutting, polishing, or metallization) and it avoids any important theoretical approximations. The sample is inserted between the two halves of a cylindrical mode. The interior diameter of cavity excited in the the cavity determines the frequency band in which, for a given sample, the measurements can be made. Two cavities with resonant frequencies near 60 and 94 GHz have been used. The test geometries are shown in Figs. 6 and 7.

1348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

TABLE VI SUMMARY OF REPORTED LCP MATERIAL PROPERTIES

Using two of the or or modes, the electrical field is parallel to the dielectric plane and has negligible (practical zero) values close to the gap between the dielectric specimen and the wall of the cavity. The larger dimension of the substrate must be chosen higher than the interior diameter of the cavity mm at 60 GHz and 5 mm at 94 GHz) and the thickness ( less than 0.5 mm at 60 GHz and 0.3 mm at 94 GHz to minimize the radiation in the gap between the two halves of the cavity. In such a configuration, the resonant frequency and quality factor mode can be computed exactly. Thanks to an of the iterative computation, the complex permittivity of the sample can be extracted from the resonant frequency and quality factor. First, the measurement with a VNA of the resonant frequenmodes (e.g., and ) is neccies of two essary to adjust the interior dimensions of the cavity taken into account during computations. The loaded quality factor of one of these modes is used to compute the power losses due to the metallic walls. Only the measurement of the resonant frequency and loaded quality factor of the cavity loaded with a sample is then necessary to determine the permittivity and loss tangent of the substrate [37]. The uncertainties of the quality factors, resat the resonant onant frequencies, and coupling (through and frequency) are reflected in the uncertainties shown for of the 2- and 4-mil LCP substrates (Figs. 4 and 5). These error tolerances are due to uncertainties on the cavity dimenon radius and on the height) and on the uncersions ( . tainty of the sample thickness The cavity results match those of the ring resonators well within the cavity measurement’s error tolerance. In regards to , the cavity resonator results are our benchmark for accufall roughly between 0.0035–0.0045 racy. The values for at 60 GHz and 0.0042–0.0049 near 97 GHz (97 GHz is the perturbed cavity resonant frequency with the 2-mil LCP sample inserted).

lower end of our measurement spectrum and a slight decrease in loss tangent is observed in the case where radiation losses are subtracted. The discrepancies are likely due to the approximations in conductor and radiation losses. Despite this, the loss tangent for either line in the TL method do not vary by more than 0.002 over the 80-GHz band of measurement (30–110 GHz) and the plot with radiation loss subtracted is a good indicator of the magnitude of dielectric loss. D. Summary of LCP Material Properties A summary of previous LCP material characterization, as well as that extended by this paper, are shown in Table VI. In this table, we show the average loss-tangent values for the cavity resonator measurements of the 2- and 4-mil-thick LCP substrates only since these are the most accurate for determining at such high frequencies. The values we measured for are slightly higher than the others shown in Table VI. However, our frequency range is higher and it is shown above that for LCP may increase slightly with frequency. If a linear regression is fitted through the data in Fig. 4 with a slope of 0.12%, the data in this paper would predict an of 3.1 at 10 GHz, which agrees well with the reported values. Furthermore, the measured value at 30 GHz, i.e., 3.12, in this paper agrees with the value of in [5], but whereas the wide-band measurements in this paper with frequency, the narrow-band meashow an increase in surements in [5] predict no frequency dependence. As a test, a potential 10% variation in substrate thickness was investigated in our calculation of , but this modification only results in a change of the extracted by 0.02. The loss-tangent values shown in Table VI from this paper are higher than those previously reported, but again, loss tangent is shown in Fig. 5 to be frequency dependent. If only the ring resonator and cavity data in Fig. 5 are used, the loss tangent at 30 GHz is predicted to be approximately 0.0032, which agrees with the value in [6].

C. TL Method Instead of using a ring resonator for the extraction of total loss, a TRL transmission-line calibration can be used to provide the total loss for use in loss-tangent extraction. However, instead of measuring the loss data at widely spaced resonant frequencies, the TRL total loss is at every frequency point in the calibration. The theoretical conductor and radiation losses can be subtracted by curve matching the total loss plot with a smoothed spline and using the same method as described above. The dielectric loss can then be inserted into (2) to retrieve the loss tangent. As seen in Fig. 5, the loss-tangent values from the TL method settle close to the range defined with the cavity and ring resonators. However, a higher value than expected is seen at the

III. TRANSMISSION-LINE MILLIMETER-WAVE PERFORMANCE All transmission-line measurements were done with TRL measurements containing between 4–6 delay lines. The same hardware and software as above was used for all measurements. The dimensions shown are those of the actual fabricated circuits after etching. Undercut of the 5- m copper foil was measured with a Wyko optical profilometer to be 5 m, while that of the 18- m copper had an average value of 13 m. These values were added or subtracted uniformly to the design dimensions to obtain those given in Tables VII and VIII. The design, fabrication, and measurement of the lines in this section were performed before the dielectric characterization was used in the initial design process. The so

THOMPSON et al.: CHARACTERIZATION OF LCP MATERIAL AND TRANSMISSION LINES ON LCP SUBSTRATES FROM 30 TO 110 GHz

1349

TABLE VII CPW CONFIGURATIONS MEASURED LPB: LOSSY POSTER BOARD. HP: HOLLOW PLASTIC. LLF: LOW LOSS FOAM

W

TABLE VIII MICROSTRIP CONFIGURATIONS MEASURED : DESIGN WIDTH. W: FABRICATED MICROSTRIP WIDTH. 3: PATTERNED FROM 2- AND 4-mil SUBSTRATE DESIGNS

values in Tables VII and VIII were calculated with the HP (from this paper) and ADS LineCalc utility using the fabricated dimensions. A. CPWs CPWs do not have a backside ground plane, but are assumed to have an electrically infinite distance of free space beneath the substrate. However, when performing on-wafer measurements, the sample is usually forced to be in contact with a metal chuck. To overcome this limitation, an electrically thick dielectric spacer must be used between the CPW and chuck. Initially the measurements were tried on a piece of foam poster board, then on a piece of internally corrugated hollow plastic, and finally on a low-loss dielectric spacer from Cuming Microwave, Avon, MA. All of the spacers were from 1/8- to 3/16-in thick. Simulations calculated this thickness to effectively isolate the CPW from the chuck metallization, thus avoiding parasitic microstrip moding. The low-loss dielectric spacer is called and at C-Stock RH-5, which has 5 GHz. For each different spacer, interesting phenomena arose that warranted further testing. Together the three are able to accurately characterize the expected performance of CPW lines. Due to LCP’s low dielectric constant, 50- CPW lines require signal widths and gapwidths far too large to measure with 110-GHz probes. The dimensions selected are based on the probe pitch and on easily fabricated linewidths and gapwidths. The resulting impedances are in the range near 80 . A summary of the circuits measured and the peak attenuation values are shown in Table VII. Measuring the CPW lines on the lossy poster board gave the cleanest attenuation curves, but it resulted in inaccurately

Fig. 8. CPW total measured loss on a lossy poster-board spacer.

high attenuation values. The results are shown in Fig. 8. In addition, the lines on the thinnest LCP substrate (2-mil) had the greatest loss since this is the configuration that allows the greatest amount of field interaction with the lossy spacer below. Ideally, with a lossless spacer, the 2-mil substrate should be the configuration with the least loss since it would have the least interaction with the LCP substrate and more field lines passing through “free space” on the underside. The hollow plastic spacer measurements show significantly lower loss in Fig. 9, but large oscillations are seen in the extracted loss data past 70 GHz. The structure of the spacer has waveguide-shaped dielectric channels beneath the thin plastic surface. The dimensions of these channels are consistent with a

1350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 9. CPW total measured loss on a hollow plastic spacer.

Fig. 11.

Microstrip line losses on LCP substrates of 2–5 mil.

is seen that CPW lines on LCP are capable of peaking with loss less than 1 dB/cm up to 110 GHz. B. Microstrip Lines

Fig. 10. CPW total measured loss on a low loss foam spacer.

waveguide cutoff frequency near where the oscillations begin to occur. It is expected that these channels could have supported dielectric waveguide modes, resulting in the oscillatory responses shown. However, the loss trend is still easily deciphered and the peak loss level at 110 GHz has values below 1.2 dB/cm. The low-loss foam spacer in Fig. 10 shows considerable more jitter, a flattening of attenuation in the 40–70-GHz range, and almost indistinguishable attenuation levels between the three substrate thicknesses. The jitter in the measurement can be explained by the surface of the spacer. It is a rigid, but very porous surface that is not uniform or homogenous. The size of the foam cells are on the order of the size of the transmission-line features, which introduces foam cell boundaries to the transmission line along its entire length. Though it performs very well in overall loss levels, the porous surface makes tidy loss plots impossible. The close bunching of the loss characteristics shows that there is minimal interaction with lossy material beneath the LCP. The nine measurement sets are plotted without labeling due to their very close proximity and minimal difference in performance. It

m for a Microstrips were designed with masks of m for a 4-mil substrate in order 2-mil substrate and to obtain 50- lines. These designs were later also patterned on 3- and 5-mil substrates. The 2- and 4-mil substrates were patterned on the 18- m standard copper, while those on 3- and 5-mil substrates were patterned on the 5- m rolled copper foil. Due to the undercut and dielectric-constant change to 3.16, the characteristic impedance of the microstrips initially designed for 50 varied by up to 6 . The plots have been suppressed below 30 GHz since the CBCPW-to-microstrip transition is not optimized below this frequency and the loss plot there is somewhat erratic. The fabricated lines had varying impedance values from 52 to 88 . The lines on 2- and 4-mil substrates were measured on several physically different sets of TRL lines. The spread in the attenuation levels is up to 0.15 dB/cm for a single design on a given substrate thickness (for 2- and 4-mil designs). This can be attributed to etching differences and imperfections in the fabrication of the TRL sets and also to measurement errors. The results in Fig. 11 show that loss decreases with increasing substrate thickness and that microstrip peak loss values are between 1.39–2.55 dB/cm at 110 GHz. IV. CONCLUSION The dielectric properties of LCP and the performance of various planar transmission lines on LCP substrates have been characterized up to 110 GHz. Ring resonator and cavity from 31.53 to resonator results show up to 97 GHz. Broad-band 104.60 GHz and extraction was found to be reliable using both ring and cavity resonators, but the cavity resonator measurement is the most accurate for loss-tangent extraction at millimeter-wave frequencies. Transmission lines of various impedances and types on different LCP substrate thicknesses show maximum

THOMPSON et al.: CHARACTERIZATION OF LCP MATERIAL AND TRANSMISSION LINES ON LCP SUBSTRATES FROM 30 TO 110 GHz

attenuation of 0.88–2.55 dB/cm at 110 GHz. LCP is shown to have very attractive qualities as a high-performance low-cost substrate and as a packaging material for numerous applications throughout the millimeter-wave frequency spectrum. ACKNOWLEDGMENT The authors would like to thank C. Roseen and C. Murphy, both of the Rogers Corporation, Rogers CT, for supplying the LCP material and for their helpful correspondence regarding our processing. In addition, the authors are grateful to M. Janezic, National Institute of Standards and Technology (NIST), Boulder, CO, for discussion and testing of LCP properties at lower frequencies than are reported in this paper. REFERENCES [1] A. Matsuzawa, “RF-SoC—Expectations and required conditions,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 245–253, Jan. 2002. [2] K. Lim, S. Pinel, M. F. Davis, A. Sutono, C.-H. Lee, D. Heo, A. Obatoynbo, J. Laskar, M. Tentzeris, and R. Tummala, “RF-SOP for wireless communications,” IEEE Microwave Mag., vol. 3, pp. 88–99, Mar. 2002. [3] T. Kutilainen. (2003, May) Ceramic interconnect initiative. NextGen 2003, LTCC. [Online]. Available: http://www.imaps.org/ cii/NextGen2003.pdf, slides 42 and 43 [4] L. Devlin, G. Pearson, J. Pittock, and B. Hunt, “RF and microwave component development in LTCC,” in IMAPS Nordic 38th Annu. Conf., Sept. 2001, [Online]. Available: http://www.plextek.com/papers/nordic.pdf. [5] K. Jayaraj, T. E. Noll, and D. R. Singh, “RF characterization of a low cost multichip packaging technology for monolithic microwave and millimeter wave integrated circuits,” in URSI Int. Signals, Systems, and Electronics Symp., Oct. 1995, pp. 443–446. [6] G. Zou, H. Gronqvist, P. Starski, and J. Liu, “High frequency characteristics of liquid crystal polymer for system in a package application,” in IEEE 8th Int. Advanced Packaging Materials Symp., Mar. 2002, pp. 337–341. [7] G. Zou, H. Gronqvist, J. P. Starski, and J. Liu, “Characterization of liquid crystal polymer for high frequency system-in-a-package applications,” IEEE Trans. Adv. Packag., vol. 25, pp. 503–508, Nov. 2002. [8] B. Farrell and M. St. Lawrence, “The processing of liquid crystalline polymer printed circuits,” in IEEE Electronic Components and Technology Conf., May 2002, pp. 667–671. [9] C. Murphy, private communication, Jan. 2004. [10] E. C. Culbertson, “A new laminate material for high performance PCBs: Liquid crystal polymer copper clad films,” in IEEE Electronic Components and Technology Conf., May 1995, pp. 520–523. [11] K. Jayaraj, T. E. Noll, and D. R. Singh, “A low cost multichip packaging technology for monolithic microwave integrated circuits,” IEEE Trans. Antennas Propagat., vol. 43, pp. 992–997, Sept. 1995. [12] C. Khoo, B. Brox, R. Norrhede, and F. Maurer, “Effect of copper lamination on the rheological and copper adhesion properties of a thermotropic liquid crystalline polymer used in PCB applications,” IEEE Trans. Comp., Packag, Manufact., Technol., vol. 20, pp. 219–226, July 1997. [13] T. Suga, A. Takahashi, K. Saijo, and S. Oosawa, “New fabrication technology of polymer/metal lamination and its application in electronic packaging,” in IEEE 1st Int. Polymers and Adhesives in Microelectronics and Photonics Conf., Oct. 2001, pp. 29–34. [14] X. Wang, L. Lu, and C. Liu, “Micromachining techniques for liquid crystal polymer,” in 14th IEEE Int. MEMS Conf., Jan. 2001, pp. 21–25. [15] K. Brownlee, S. Bhattacharya, K. Shinotani, C. P. Wong, and R. Tummala, “Liquid crystal polymers (LCP) for high performance SOP applications,” in 8th Int. Adv. Packag. Materials Symp., Mar. 2002, pp. 249–253. [16] J. Kivilahti, J. Liu, J. E. Morris, T. Suga, and C. P. Wong, “Panel-size component integration (PCI) with molded liquid crystal polymer (LCP) substrates,” in IEEE Electronic Components and Technology Conf., May 2002, pp. 955–961. [17] T. Suga, A. Takahashi, M. Howlander, K. Saijo, and S. Oosawa, “A lamination technique of LCP/Cu for electronic packaging,” in 2nd Int. IEEE Polymers and Adhesives in Microelectronics and Photonics Conf., June 2002, pp. 177–182.

1351

[18] T. Zhang, W. Johnson, B. Farrell, and M. St. Lawrence, “The processing and assembly of liquid crystalline polymer printed circuits,” presented at the Int. Microelectronics Symp., 2002. [19] L. Chen, M. Crnic, L. Zonghe, and J. Liu, “Process development and adhesion behavior of electroless copper on liquid crystal polymer (LCP) for electronic packaging application,” IEEE Trans. Electron. Packag. Manufact., vol. 25, pp. 273–278, Oct. 2002. [20] Modern Machine Shop Online. [Online]. Available: http://www.mmsonline.com/articles/030107.html [21] PMTEC LCP Materials Symp., Huntsville, AL, Oct. 29, 2002. [22] H. Kanno, H. Ogura, and K. Takahashi, “Surface mountable liquid crystal polymer package with vertical via transition compensating wire inductance up to V -band,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, June 2003, pp. 1159–1162. [23] M. F. Davis, S.-W. Yoon, S. Pinel, K. Lim, and J. Laskar, “Liquid crystal polymer-based integrated passive development for RF applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, June 2003, pp. 1155–1158. [24] D. Thompson, P. Kirby, J. Papapolymerou, and M. M. Tentzeris, “W -band characterization of finite ground coplanar transmission lines on liquid crystal polymer (LCP) substrates,” in IEEE Electronic Components Technology Conf., May 2003, pp. 1652–1655. [25] Z. Wei and A. Pham, “Liquid crystal polymer (LCP) for microwave/millimeter wave multi-layer packaging,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, June 2003, pp. 2273–2276. [26] L. Hsieh and K. Chang, “Equivalent lumped elements G; L; C; and unloaded Q’s of closed- and open-loop ring resonators,” IEEE Trans. Microwave Theory Tech., vol. 50, pp. 453–460, Feb. 2002. [27] I. Wolff and N. Knoppik, “Microstrip ring resonator and dispersion measurement on microstrip lines,” Electron. Lett., vol. 7, no. 26, pp. 779–781, Dec. 1971. [28] J. Frey, Microwave Integrated Circuits. Dedham, MA: Artech House, 1975, p. 20. [29] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microwave Theory Tech., vol. 39, pp. 1205–1215, Dec. 1991. [30] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, p. 163. [31] R. A. Pucel, D. J. Massé, and C. P. Hartwig, “Losses in microstrip,” IEEE Trans. Microwave Theory Tech., vol. MTT-16, pp. 342–350, June 1968. [32] , “Correction to ‘Losses in microstrip’,” IEEE Trans. Microwave Theory Tech., vol. MTT-16, p. 1064, Dec. 1968. [33] B. C. Wadell, Transmission Line Design Handbook. Norwood, MA: Artech House, 1991, pp. 93–99. [34] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996, pp. 108–109. [35] L. J. van der Pauw, “The radiation of electromagnetic power by microstrip configurations,” IEEE Trans. Microwave Theory Tech., vol. 25, pp. 719–725, Sept. 1977. [36] M. D. Abouzahra and L. Lewin, “Radiation from microstrip discontinuities,” IEEE Trans. Microwave Theory Tech., vol. MTT-27, pp. 722–723, Aug. 1979. [37] P. Guillon and Y. Garault, “Complex permittivity of MIC substrate,” AEU, pp. 102–104, 1981.

Dane C. Thompson (S’98) was born in Sacramento, CA, in February 1979. He received the B.S. and M.S. degrees in electrical engineering from Santa Clara University, Santa Clara, CA, in 2001 and 2002 respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta. His research involves the processing and use of LCP as a high-performance dielectric substrate and packaging material. He is currently researching the utilization of LCP for vertically integrated RF front-ends, for electrical and MEMS packaging, and for dual-frequency dual-polarization multilayer conformal antennas.

1352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Olivier Tantot was born in Bordeaux, France, in August 1965. He received the Doctorat degree from the Université de Limoges, Limoges, France, in 1994. He is currently an Assistant Professor with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), Université de Limoges. At the end of 1994, he joined the microwave circuits and devices team. His research interests concern the development of new methods of microwave characterization of materials and thin films.

Hubert Jallageas was born in Saint Victurnien, France, in 1947. He received the Master degree from the Université de Limoges, Limoges, France, in 1969. He is currently an Electronics and Microwave Measurement Engineer with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), Université de Limoges. He joined the microwave circuits and devices team at its creation. His research concerns the development of new measurement devices in the millimeter-wave domain.

George E. Ponchak (S’82–M’83–SM’97) received the B.E.E. degree from Cleveland State University, Cleveland, OH, in 1983, the M.S.E.E. degree from Case Western Reserve University, Cleveland, OH, in 1987, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1997. In 1983, he joined the staff of the Communication Technology Division, National Aeronautics and Space Administration (NASA) Glenn Research Center, Cleveland, OH, where he is currently a Senior Research Engineer. From 1997 to 1998 and 2000 to 2001, he was a Visiting Lecturer with Case Western Reserve University. He has authored and coauthored over 90 papers in refereed journals and symposia proceedings. His research interests include the development and characterization of microwave and millimeter-wave printed transmission lines and passive circuits, multilayer interconnects, uniplanar circuits, microwave microelectromechanical (MEMS) components, and microwave packaging. He is responsible for the development of GaAs, InP, and SiGe MMICs for space applications. Dr. Ponchak is a senior member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and a member of the International Microelectronics and Packaging Society (IMAPS). He was the recipient of the Best Paper of the ISHM’97 30th International Symposium on Microelectronics Award. He was editor of a Special Issue on Si MMICs of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He founded the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems and served as its chair in 1998 and 2001 and its digest editor in 2000 and 2003. In addition, he has chaired many IEEE MTT-S International Microwave Symposium workshops and special sessions. He is a member of the IEEE MTT-S International Microwave Symposium (IMS) Technical Program Committee (TPC) on Transmission Line Elements and serves as its chair. He is a member of the IEEE MTT-S Administrative Committee (AdCom) Membership Services Committee.

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany. He has authored or coauthored over 120 papers in refereed journals and conference proceedings and six book chapters. He has helped develop academic programs in highly integrated packaging for RF and wireless applications, microwave MEMs, SOP-integrated antennas and adaptive numerical electromagnetics (FDTD, multiresolution algorithms). He is the Georgia Tech NSF-Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the Leader of the Novel Integration Techniques Sub-Thrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. Dr. Tentzeris is member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA. He is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He was the recipient of the 2003 IEEE CPMT Outstanding Young Engineer Award, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China), the 2002 Georgia Tech-Electrical and Computer Engineering (ECE) Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award, and the 1997 Best Paper Award, International Hybrid Microelectronics and Packaging Society.

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. In August 2001, he joined the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Assistant Professor. He has authored or coauthored over 70 publications in peer reviewed journals and conferences. His research interests include the implementation of micromachining techniques and microelectromechanical system (MEMS) devices in microwave, millimeter-wave, and terahertz circuits and the development of both passive and active planar circuits on Si and GaAs for high-frequency applications. Dr. Papapolymerou was the recipient of the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China (August 17–19, 2002), and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

1353

Ku-Band InGaP–GaAs HBT MMIC VCOs With Balanced and Differential Topologies

Donghyun Baek, Student Member, IEEE, Sangsoo Ko, Student Member, IEEE, Jeong-Geun Kim, Student Member, IEEE, Dong-Wook Kim, and Songcheol Hong, Member, IEEE

Abstract—Balanced and differential voltage controlled oscillators (VCOs) are investigated with analytic noise models. Fully integrated VCOs in -band are implemented on an InGaP–GaAs heterojunction bipolar transistor technology. The balanced VCO has higher output power and lower phase-noise performance, while drawing lower current than the differential VCO. This coincides with the analytical expectation. The shot noise of collector current contributes less to the phase noise in the balanced VCO (B-VCO) than in the differential VCO. The B-VCO shows 2–3 dB lower phase-noise performances of 90.5, 113.8 dBc/Hz at the offset frequencies 100 kHz, and 1-MHz offset at 13.5 GHz than the differential VCO, and figures of merit of 180.7 and 177.6 dBc/Hz are achieved, respectively. Index Terms—Differential and balanced topology, heterojunction bipolar transistor (HBT), inductor, InGaP–GaAs, monolithic microwave integrated circuit (MMIC), phase noise, voltage-controlled oscillator (VCO).

I. INTRODUCTION

A

VOLTAGE-CONTROLLED oscillator (VCO) is one of the most important building blocks in communication transceivers. Many research efforts have been devoted to fully integrated VCOs in 0.8–2.5 GHz for mobile communication systems such as personal communications systems (PCSs), global systems for mobile communications (GSMs), IMT2000, and 5–6 GHz for wireless local area networks (LANs) (UNII band) and high-performance LAN (HYPERLAN). Recently, VCOs that cover over 10-GHz hold a key post in areas of satellite communications, local multipoint distribution services (LMDSs), wide-band LANs, and clock data recovery (CDR) circuits [1]–[3]. The design considerations of a VCO include phase noise, tuning range, output power, and chip size. The phase-noise issue -band due of a VCO is becoming more important above the to poor quality factors of integrated LC tanks. Despite numerous efforts to increase the quality factor of LC tanks, not much atcells, which are undertention has been paid to negative cell can be implemented by two difrated. The negative -band. One is a differential ferent structures mostly in the

Manuscript received November 5, 2003; revised November 20, 2003. This work was supported by the Korea Science and Engineering Foundation, Millimeter-Wave Innovation Technology Research Center. D. Baek, S. Ko, J.-G. Kim, and S. Hong are with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea (e-mail: [email protected]; [email protected]). D.-W. Kim is with the S1 Corporation, Seoul 100-130, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2004.825726

voltage-controlled oscillator (D-VCO) and the other is a balanced voltage-controlled oscillator (B-VCO). The D-VCO is a conventional cross-coupled differential configuration that has been widely adapted in low gigahertz regimes due to its simplicity and differential operation. The D-VCO is immune to the common mode noise generated from active devices, supply, and substrate. On the other hand, the B-VCO is derived from a Colpitts configuration [4]–[7], which has been used in millimeter-wave regimes. In order to take the advantage of common noise rejection, two Colpitts VCOs are balanced to operate with an opposite polarity in the B-VCO [7]–[10]. This can employ the merits of a Colpitts VCO such as high output voltage swing and high-energy efficiency, as well as low common-mode noise. The two different topologies have been compared [11]–[17]. They offer a little insight into the phase noise of the VCOs. This paper presents the more theoretical analysis, as well as measured cell in the two topologies. The analresults of the negative ysis with noise models is given in Section II and experiment results are addressed in Section III. II. VCO TOPOLOGY COMPARISON A. Tank Voltage The tank voltage of a VCO has a great effect on the phase noise of a VCO as inferred from the phase noise model proposed by Lesson [18] as follows: (1) where is the Boltzmann’s constant, is the absolute temperais the LC-tank resistance at the oscillation frequency ture, , is the offset frequency, is the voltage amplitude in the LC tank, is the quality factor of the tank, and is the empirical fitting parameter. The phase noise is inversely proportional to the tank amplitude. The amplitude can be increased by an increase of bias current, while active device noise is increased. It is important to make a VCO operate with high tank voltage while minimizing the bias current. The relation between the tank voltage and bias current depends on how to make a negative-conductance cell. Fig. 1 shows the schematics of the studied D-VCO and B-VCO. All the components used in the two VCOs are identical, except the capacitive feedback methods and tail currents . In the D-VCO, the capacitive feedback from the and , collector to the base of switching transistors, i.e., and . The is used to form positive feedback through takes a role of dc blocking for the feedback capacitor

0018-9480/04$20.00 © 2004 IEEE

1354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

Fig. 2. Negative resistance cells in a differential topology and balanced topology.

Fig. 3.

Fig. 1. Schematics of compared topologies of a: (a) D-VCO and (b) B-VCO.

base bias feeding. When the operation frequency is low, the current waveform appears in a rectangular form so that the , where tank voltage in each collector is is the tail current and is the resistance of the LC tank at the oscillation frequency. However, as the operation frequency increases, the current waveform becomes sine-wave like. -band, the tank amplitude can be written as Hence, in the (2) In the B-VCO, the self-capacitive feedback from collector to emitter is used to form a positive feedback due to the same polarity at the collector and emitter voltages. The tank amplitude is determined by the feedback capacitor ratio [19] (3) . Actually, where is the capacitance ratio includes the base–emitter parasitic capacitance. The ratio is nominally approximately 1/4. Considering (2) and (3), the tank voltage of the B-VCO can be larger at the same tail current . To oscillate a VCO, the magnitude of the negative resistance has to be equal or larger than that of the LC tank. To decrease the cell noise of the transistor or increase the tank amplitude, the should have the large negative resistance at a small tail current. To compare the magnitude of negative conductances of the two topologies at the same tail currents, the negative conductance cells are simulated in Agilent ADS, as shown in Fig. 2. The cells are composed of the identical transistors, capacitors, and cells are fixed equally under resistors. The tail currents of the 3-V supply voltage.

Simulated negative conductances of the D-VCO () and B-VCO ( ).

The negative conductance in the balanced topology is much smaller than that in the differential one at low frequency. The differential one is suitable in low frequency. However, the conductance in the balanced topology is larger than that in the differential one above 11 GHz, as shown in Fig. 3. The conductance in the balanced topology is 30% higher than that in the differential topology at the optimum point. Thus, the balanced VCO can oscillate in a lower tail current at the high frequency. The balanced VCO has the larger amplitude in the same tail current than the differential VCO. These coincide with (2) and (3). B. Noise Analysis Phase-noise simulations include a nonlinear large-signal model and harmonic-balanced simulation [19]. Although these are available to predict the accurate phase noise, these are too complex to understand the VCO operations and phase noises. The linear phase-noise model is a simple approach that gives good insight into phase noises [17]. The phase-noise performances of two types of VCOs are compared to understand the effects of circuit topologies with a linear model. In a bipolar junction transistor (BJT) including a heterojunction bipolar transistor (HBT), the physical model has three main , which noise sources. The first is the base resistance noise is thermal noise. The second is the shot noise from collector . The last is shot noise from the base current and current as follows: flicker noise

(4) where is the base current, is the collector current, is the is the flicker noise factor, and is the flicker base resistance, noise exponent. All the noises can be thought to be independent

BAEK et al.:

-BAND InGaP–GaAs HBT MMIC VCOs WITH BALANCED AND DIFFERENTIAL TOPOLOGIES

1355

The factor of “2” in each term inside the parentheses accounts for the differential nature of the circuit. The factor of “1/2” outside the parentheses is due to the noise transfer. The tank amplitude of the differential topology is derived from the linear model, as shown in Fig. 4(a), and as follows:

(7) where

(8) At

frequencies

close to the oscillation frequency , the denominator in (7) is close to approaches zero at steady zero. Since the second term in oscillation state, is represented by (9) Fig. 4. Equivalent circuits with noise models. (a) D-VCO. (b) B-VCO.

of each other because they arise from spatially separated and independent physical mechanisms [20]. Fig. 4 represents linear models of two different topologies. The transistor model includes the aforementioned noise source. The LC tank noise is also included. For simplicity, the , base resistances , and noise collector–base capacitances from a current source are neglected. Since these small-signal analyses contain all possible noise sources, the noise in the two types of VCOs can be compared in the linear regime [21]. If the transistors, and in the differential pair in Fig. 1 are identical, then the differential tank is described by the superposition of all the noise amplitude sources

(5) indicate the noise contributions cowhere , , , and efficients. Since each noise sources are uncorrelated, the total power spectral density is calculated by summing up all the noise powers as follows:

(6)

Even if at the open loop, at the steady state and . because In the same manner, the tank amplitude of the balanced topology is derived from the linear model as shown in Fig. 4(b), and as follows:

(10) where

(11) is satisfied due to (9). Under this At the steady state, condition, (10) is simplified as

(12)

1356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

and the denominator lows:

become the same as

as fol-

(13) When the oscillation frequency and bias current are identical in both the D-VCO and B-VCO, noise contributions from all the noise sources can be compared for both VCOs noting (7) and (12). The ratio of the noise power from the collector current noise is given by of of from (14) This is simplified into a function of feedback capacitance . ratio . The tank current in the B-VCO supply charges to is increased as is increased, the conSince the charge in tribution of a collector current noise is also decreased and the phase noise in the B-VCO can be lowered as compared to the . D-VCO. However, the value of is limited by The noise powers attributed to the tank noise, and the base current and voltage noises are compared in (15)–(17) as follows: of of from (15)

of of

Fig. 5. Microphotographs of the: (a) D-VCO and (b) B-VCO. The dimensions are 0.7 0.82 mm in each VCO.

2

from (16)

of of from (17) The noise contribution ratios from other noises are calculated in (15)–(17). It is found that there is no topological advantage in the comparisons for base current noise, flicker noise, base voltage noise, and tank noise. It also has to be noted that most of the noise power is attributed to collector current noise. The B-VCO is more immune to active device noises. III. EXPERIMENTAL RESULTS A D-VCO and B-VCO are designed and implemented and fabricated on an InGaP–GaAs HBT technology, which offers of 10 GHz and an of 45 GHz. the n-p-n HBTs with an The turn-on voltage of the HBT is 1.3 V. The current density of the HBT is 0.2 mA m . 60- m emitter size transistors are used. The technology provides a nitride metal–insulator–metal

(MIM) capacitor, a TaN register, and two metal layers, of which thickness are 1 and 1.3 m. Microstrip lines as inductors are implemented connecting two metal layers. Junction capacitances between the collector and base in transistors are used for frequency tuning. The layout was made as symmetric as possible to ensure truly differential operation. Photographs of the fabricated VCOs are shown in Fig. 5. The VCOs was tested on on-wafer. The output spectrums and phase-noise performance were obtained by an HP8764E spectrum analyzer and the phase-noise measurement kit. The cable loss including a probe tip in measurement setup is approximately 2 dB at 13 GHz. The oscillation frequency of the B-VCO is higher than that of the D-VCO because the capacitance in the collector–base junction of the negative conductance cell lowers the tank resonance frequency in the D-VCO. The oscillation frequencies are 12.3 and 13.5 GHz, respectively. The B-VCO provides high output power of 0 dBm per side with the core current of 12 mA and the buffer current of 12 mA. While the D-VCO shows the lower power of approximately 1.5 dBm with a 16-mA core current and 12-mA buffer current. The core current is controlled by the external bias and is optimized for low phase-noise performance. The tank voltage inferred from

BAEK et al.:

-BAND InGaP–GaAs HBT MMIC VCOs WITH BALANCED AND DIFFERENTIAL TOPOLOGIES

1357

Fig. 8. Measured oscillation frequencies and single-sideband output powers of the D-VCO () and B-VCO ( ) as a function of the varactor control bias. TABLE I SUMMARY Fig. 6. Phase-noise and output spectrums of the D-VCO at the lowest tuning voltage.

Fig. 7. Phase-noise and output spectrums of the B-VCO at the lowest tuning voltage.

the output power is higher in the B-VCO, although the D-VCO core circuits consume more current than that of the B-VCOs. It -band, the balanced topology can gives reveals that, in the larger negative conductance than the conventional differential topology. The single-sideband carrier-to-phase-noise ratio (SSCR) of the D-VCO at the lowest tuning voltage are 88 and 111.8 dBc/Hz at 100 kHz and 1 MHz off carrier, as shown in Fig. 6. The SCCRs of the B-VCO at the lowest of tuning voltages show 2–3 dB better and are 90.5 and 113.8 dBc at 100 kHz and 1 MHz off carrier, as shown in Fig. 7. The tuning ranges are 600 and 800 MHz for the D-VCO and B-VCO, respectively, as shown in Fig. 8. Output power variations are less than 1 dB with the varactor control bias from 0 to 2.5 V for both VCOs. Even though the core current of the B-VCO is small, output power of the B-VCO is approximately 1.5 dB larger than that of D-VCO. This coincides with the theoretical analysis in Section II. The tuning range of the B-VCO

OF PERFORMANCES FOR THE AND DIFFERENTIAL VCOs

BALANCED

is larger than that of the D-VCO even though all components in both VCOs are identical. This is because the bias current in the B-VCO is low and the parasitics of the B-VCOs transistors is smaller than that of D-VCO. Due to small parasitics, the oscillation frequency of the B-VCO is also higher than that of D-VCO. The VCO performances are summarized in Table I. IV. DISCUSSION The main difference of the D-VCO and B-VCO is the capacitive-feedback mechanism, as shown in Fig. 1. Even though the feedback factors and all components are the same, the phase noises, as well as the negative conductance of two VCOs, are different. In Section II, two merits of the B-VCO are compared with the D-VCO. One is the high tank voltage, which comes from high negative cell. The tank amplitudes are conductance in the negative expressed in (2) and (3). In our VCO designs, is set to be 1/4. By comparing two equations at the same tank voltage, the D-VCO requires approximately a 30% higher tail current than the B-VCO with the same bias current. This coincides with the simulation results in Fig. 3. The other is low noise contribution in the resonator. It needs a larger current until the tank voltage swing reaches a voltage-limited regime compared to the B-VCO since higher tail current

1358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

It is not easy to compare the performance of different VCOs. The oscillator design entails consideration of phase noise, power consumption, oscillation frequency, tuning range, etc. The widely used definition of the normalized figure-of-merit (FOM) is [22] as follows: (18) where

Fig. 9. Comparison of phase noise at 100-kHz offset with the other VCOs in the literature.

is an oscillation frequency, is an offset frequency, is the measured phase noise, and is the power consumption in a VCO core. Although it does not include any information about the tuning range and output power, it gives good comparative insights into the VCO performances. The FOM of the B-VCO and D-VCO is 181 and 177 dB, respectively. The FOM of the B-VCO is 4 dB higher than that of the D-VCO when all components of two VCOs are identical. It is better or comparable to the state-of-art VCOs implemented on CMOS or SiGe HBT technologies, as shown Fig. 10. V. CONCLUSION

Fig. 10. FOM comparison with ever-reported VCOs. The balanced and differential VCOs exploiting a microstrip-line inductor show 181 and 176 dBc/Hz.

0

0

is accompanied by high noise current, which is up-converted near the carrier frequency. Even though transistor noises are identical, the shot noise of the collector current is reduced by the feedback ratio in the B-VCO. Thereby, the D-VCO has the higher phase noise. For comparison, the phase-noise performances of other VCOs realized on the different technologies are plotted in Fig. 9. Aparicio and Hajimiri [8] compare the effective impulse sensitivity functions (ISFs) of the D-VCO and B-VCO using cyclostationary noise properties. They address that the effective ISF of the conventional D-VCO is more nonsymmetrical than that of the B-VCO, therefore, the B-VCO has better phase noise performance than the D-VCO. To decrease the phase noise, the higher tank amplitude and lower noise contributions from transistors are needed. The linear noise model in Section II cannot explain the cyclostationary noise, but it can give good insight into the tank amplitude and noise contributions. It is expected in Section II that the phase-noise performance of the B-VCO is superior to that of the D-VCO. This coincides with the experiment results in Section III.

Noise performances of the balanced and differential VCOs have been studied using analytical noise models. This shows that the B-VCO gives higher tank voltage at the same tail current and has higher immunity to the noise sources of active devices. It has also been determined that the collector-current–noise contribution on the output signal can be diminished by increasing the voltage feedback ratio in the B-VCO. -band VCOs are realized on the Two types of InGaP–GaAs HBT technology. The B-VCO has shown lower phase-noise performance than the differential VCO, as expected in the noise analysis. The B-VCO and D-VCO achieve the phase noise of 113.8 and 111.8 dBc at the offset frequencies of 1 MHz from the oscillation frequency of 13.5 and 12.55 GHz, respectively. This coincides with the theoretical analysis that the phase-noise performance of the B-VCO is superior to that of the D-VCO at the same tail current. ACKNOWLEDGMENT The authors would like to thank the staffs of TELTRON, Daejeon, Korea, for the chip fabrication and support. REFERENCES [1] B. H. Klepser, M. Scholz, and W. Klein, “A 10 GHz SiGe BiCMOS phase-locked-loop frequency synthesizer,” in IEEE Custom Integrated Circuits Conf., 2001, pp. 567–570. [2] Y. M. Greshishchev and P. Schvan, “SiGe clock and data recovery IC with linear-type PLL for 10-Gb/s SONET application,” IEEE J. SolidState Circuits, vol. 35, pp. 1353–1359, Sept. 2000. [3] C. Lam and B. Razavi, “A 2.6 GHz/5.2 GHz frequency synthesizer in 0.4 m CMOS technology,” IEEE J. Solid-State Circuits, vol. 35, pp. 788–794, May 2000. [4] H. Kuhnert and W. Heinrich, “25 GHz MMIC oscillators on a commercial SiGe process,” Electron. Lett., vol. 36, no. 3, pp. 218–219, Feb. 2000. [5] P. J. Garner, M. J. Howes, and C. M. Snowden, “Ka-band and MMIC pHEMT-based VCOs with low phase-noise properties,” IEEE Trans. Microwave Theory Tech., vol. 46, pp. 1531–1536, Oct. 1998. [6] C. H. Lee, S. Han, B. Matinpour, and J. Laskar, “A low phase noise X -band MMIC GaAs MESFET VCO,” IEEE Microwave Guided Wave Lett., vol. 10, pp. 325–327, Aug. 2000.

BAEK et al.:

-BAND InGaP–GaAs HBT MMIC VCOs WITH BALANCED AND DIFFERENTIAL TOPOLOGIES

[7] S. P. Voinigescu, D. Marchesan, and M. A. Copeland, “A family of monolithic inductor–varactor SiGe HBT VCOs for 20 GHz to 30 GHz LMDS and fiber optic receiver applications,” in IEEE RF Integrated Circuits Symp., 2000, pp. 173–176. [8] R. Aparicio and A. Hajimiri, “A noise-shifting differential Colpitts VCO,” IEEE J. Solid-State Circuits, vol. 37, pp. 1728–1736, Dec. 2002. [9] W. M. Rogers, J. A. Macedo, and C. Plett, “The effect of varactor nonlinearity on the phase noise of completely integrated VCOs,” IEEE J. Solid-State Circuits, vol. 35, pp. 1360–1366, Sept. 2000. -band InGaP/GaAs HBT [10] D. H. Baek, J. G. Kim, and S. Hong, “ MMIC VCOs with a balanced and a differential topologies,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, 2002, Paper WE2D-5, pp. 847–850. [11] H. Jacobbson, S. Gevorgian, M. Mokhtari, C. Hedenas, B. Handsson, T. Lewin, H. Berg, W. Rabe, and A. Schuppen, “Low phase noise low power IC VCOs for 5–8 GHz wireless application,” IEEE Trans. Microwave Theory Tech., vol. 48, pp. 2533–2539, Dec. 2000. [12] C. M. Hung, B. A. Floyd, B. Park, and K. K. O, “Fully integrated 5.35-GHz CMOS VCOs and prescalers,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 17–22, Jan. 2001. [13] S. Jansen, K. Negus, and D. Lee, “Silicon bipolar VCO family for 1.1 to 2.2 GHz with fully-integrated tank and tuning circuits,” in IEEE SolidState Conf., 1997, pp. 392–393. [14] E. Hegazi, H. Sjoland, and A. A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE. J. Solid-State Circuits, vol. 36, pp. 1921–1930, Dec. 2001. [15] J. Plouchart, H. Ainspan, M. Soyer, and A. Ruehli, “A fully-monolithic SiGe differential voltage controlled oscillator for 5 GHz wireless applications,” in IEEE RF Integrated Circuits Symp., 2000, pp. 57–60. [16] F. Herzel, M. Pierschel, P. Weger, and M. Tiebout, “Phase noise in a differential CMOS voltage-controlled oscillator for RF applications,” IEEE Trans. Circuits Syst. II, vol. 47, pp. 11–15, Jan. 2000. [17] B. Razavi, “A study of phase noise in CMOS oscillators,” IEEE. J. SolidState Circuits, vol. 31, pp. 331–343, Mar. 1996. [18] D. B. Lesson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, pp. 329–330, Feb. 1966. [19] A. Hajimiri and T. H. Lee, The Design of Low Noise Oscillators. Norwell, MA: Kluwer, 1999. [20] P. Gray and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 3rd ed. New York: Wiley, 1993. [21] A. Niknejad and R. Meyer, Design, Simulation and Applications of Inductors and Transformers for Si RF ICs. Norwell, MA: Kluwer, 2000. [22] R. Aparicio and A. Hajimiri, “A noise-shifting differential Colpitts VCO,” in IEEE Solid-State Conf., 1997, pp. 392–393. [23] J. Plouchart, H. Ainspan, M. Soyuer, and A. Ruehli, “A fully-monolithic SiGe differential voltage-controlled oscillator for 5 GHz wireless applications,” in IEEE RF Integrated Circuits Symp., June 2000, pp. 57–60.

Ku

Donghyun Baek (S’98) was born in Chechon, Korea, in 1973. He received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 1996 and 1998, respectively, and is currently working toward the Ph.D. degree at KAIST. His research interests include high-frequency VCOs, frequency synthesizers, and linear power amplifiers.

1359

Sangsoo Ko (S’02) was born in Iksan, Korea, in 1976. He received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 1994 and 1996, respectively, and is currently working toward the Ph.D. degree at KAIST. His research interests include high-frequency VCOs, frequency synthesizers, and clock and data recovery circuits.

Jeong-Geun Kim (S’01) received the B.S. and the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejon, Korea, in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in millimeter-wave power amplifier design at KAIST. His research interest includes Gunn diode oscillators, antennas, local multipoint distribution system (LMDS) systems, and power amplifiers.

Dong-Wook Kim received the B.S. degree in electronic communications engineering from the HanYang University, Seoul, Korea, in 1990, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejeon, Korea, in 1992 and 1996, respectively. From 1991 to 2000, he was a Member of Technical Staff with the LG Electronics Institute of Technology, Seoul, Korea, where he was involved with the development of microwave and millimeter-wave circuits and modules. From 2000 to 2002, he was a Principal Engineer and General Manager of Telephus Inc., where he led the Product Development Division in the development of Si integrated passive devices and multichip modules for microwave and millimeter-wave applications. In September 2002, he joined the S1 Corporation (a company of the Samsung Group), Seoul, Korea, where he has been involved in the development of wireless security systems. His areas of interest are low-cost passive integration technology, RF and millimeter-wave integrated-circuit design, multichip modules based on low-cost passive integration processes, system-in-a-package, microwave sensors, and wireless systems for security applications. Dr. Kim is a member of the International Microelectronics and Packaging Society (IMAPS).

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from the Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. In May 1989, he joined the faulty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, as an Assistant Professor, and then became an Associate Professor in 1994 and Professor in 1999. He held short visiting professorships with Stanford University, Stanford, CA, and Samsung Microwave Semiconductor Inc., Milpitas, CA, in 1997. His research interests are microwave integrated circuits including power amplifiers, VCOs, phase-locked loops (PLLs), and frequency synthesizers, as well as opto-electronic devices such as quantum dot infrared detectors and optical modulators. He was recipient, along with his students, of the Third Place Student Paper Award presented at the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) concerning the topic of microelectromechanical system (MEMS) switches. He was also the recipient of the Best Paper (Gold Prize) of the 1998 Samsung Humantech Thesis Prize concerning the topic of quantum dot infrared detectors.

1360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 52, NO. 4, APRIL 2004

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of five printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of five pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first five pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2004.825510

EDITORIAL BOARD Editor: M. STEER Associate Editors: W. MENZEL, A. RAISANEN, B. KIM, D. F. WILLIAMS, R. LEHMANN REVIEWERS

R. Abou-Jaoude M. Abouzahra A. Abramowicz A. Abubakar D. Adam E. Adler H.-R. Ahn M. Aikawa C. Aitchison M. Akaike I. Aksun J. Ala-Laurinaho B. Albinsson F. Alessandri A. Alexanian N. Alexopoulos W. Ali-Ahmad F. Alimenti P. Alinikula A. Alphones A. Altintas S. Amari L. Andersen I. Angelov J. Anthes G. Antonini A. Anwar J. Archer F. Arndt U. Arz M. Asai Y. Asano P. Asbeck H. Ashoka J. Atherton A. Atia H. Aubert N. Audeh I. Awai A. Aydiner I. Bahl S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Barba I. Bardi S. Barker J. Barr D. Batchelor B. Bates H. Baudrand R. Beck K. Beilenhoff B. Beker C. Bell T. Berceli M. Berroth G. Bertin W. Beyenne A. Beyer M. Bialkowski E. Biebl L. Billonnet B. Bishop D. Blackham M. Blank P. Blondy F. Boegelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria J. Bornemann R. Bosisio H. Boss M. Bozzi P. Bradley V. Bratman G. Brehm F. Brian B. Bridges L. Briones S. Bruce D. Budimir T. Budka D. Buechler C. Buntschuh J. Burghartz Q. Cai C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa C. Campbell R. Campbell A. Cappy G. Carchon R. Carter A. Cassinese M. Celuch-Marcysiak

H. Chaloupka C. Chan C. Chang K. Chang K. Chang H. Chapell B. Chappel K. Chatterjee S. Chaudhuri C.-H. Chen H.-H. Chen R.-S. Chen S. Chen W.-K. Chen Y. Chen Z.-D. Chen S. Cherepko C.-Y. Chi Y.-C. Chiang D. Choi C.-K. Chou C. Christopoulos K.-R. Chu R. Cicchetti A. Cidronali E. Cohen F. Colomb B. Colpitts G. Conciauro H. Contopanagos I. Corbella E. Costamagna C. Courtney J. Cowles I. Craddock D. Cros T.-J. Cui W. Curtice S. D’Agostino G. Dambrine B. Das M. Davidovitz B. Davis H. De Los Santos P. de Maagt D. De Zutter B. Deal A. Dec B. Deckman C. Deibele A. Deleniv N. Deo A. Diaz-Morcillo T. Djordjevic J. Dobrowolski W. Domino P. Draxler R. Drayton A. Dreher S. Dudorov L. Dunleavy J. Dunsmore S. Dvorak M. Dydyk J. East R. Egri R. Ehlers T. Eibert G. Eleftheriades F. Ellinger T. Ellis B. Elsharawy A. Elsherbeni N. Erickson N. Ermolova C. Ernst L. Escotte M. Essaaidi C. Eswarappa M. Faber D.-G. Fang M. Farina A. Fathy A. Ferendeci A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko H. Figueroa J. Fikioris P. Filicori J. Fokkema M. Freire F. Frezza R. Fujimoto V. Fusco J.-D. Gallego O. Gandhi B.-Q. Gao M. Garcia R. Garg S.-C. Gary Wu G. Gauthier

C. Gee B. Geller G. Gentili E. Gerecht M. Geshiro R. Geyer F. Ghannouchi K. Gharaibeh G. Ghione F. Giannini A. Gibson S. Gierkink J. Gilb E. Glass J. Goel M. Goldfarb M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon L. Gragnani B. Grant G. Grau A. Grebennikov I. Gresham D. Griffith C. Grossman T. Grzegorczyk R. Gutmann W. Gwarek J. Haala B. Haas J. Hacker D. Halchin P. Hall K. Hamaguchi M. Hamid G. Hanson J. Harvey K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi T. Heath W. Heinrich M. Helier D. Heo H. Hernandez J. Herren K. Herrick J. Hesthaven C. Hicks A. Higgins M. Hikita D. Hill K. Hirayama J. Hirokawa T. Hirono T. Hirvonen W. Hoefer M. Hoffmann S. Hong W. Hong J.-S. Hong K. Honjo J. Horng J. Horton K. Hosoya D. Howe C.-W. Hsue H. Huang J. Huang T.-W. Huang F. Huang S. Hudson H.-T. Hui A. Hung M. Hussein I. Huynen J. Hwang J. Hyyppä S. Iezekiel H. Ikuno T. Ishizaki K. Itoh T. Itoh K. Itoh M. Itzler F. Ivanek C. Iversen D. Iverson N. J.M. D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob H. Jacobsson

Digital Object Identifier 10.1109/TMTT.2004.827959

D. Jaeger N. Jain P. Jakkula G. James J. Jaslett A. Jelenski V. Jemison W. Jemison S.-K. Jeng E. Jerby T. Jerse P. Jia J. Jin K. Jokela E. Jorgensen L. Josefsson K. Joshin J. Joubert J. Juntunen R. Kagiwada T. Kaho D. Kajfez S. Kanamaluru S.-H. Kang P. Kangaslahtii M. Kärkkäinen A. Karpov T. Kashiwa A. Katz M. Kazimierczuk S. Kee S. Kenney A. Kerr A. Khanna A. Khebir J. Kiang P.-S. Kildal B.-J. Kim W. Kim J.-P. Kim I. Kim R. King T. Kitazawa M.-J. Kitlinski L. Knockaert P. Koert T. Koike T. Kolding N. Kolias E. Kollberg B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa A. Konrad B. Kormanyos M. Koshiba J. Kot A. Kroenig C. Krowne V. Krozer J. Krupka W. Kruppa C. Kudsia S. Kudszus W. Kuhn J. Kuno J. Kuo N. Kuster Y. Kwon I. Lager R. Lai A. Lakhtakia J. Lamb P. Lampariello U. Langmann T. Larsen J. Larson L. Larson J. Laskar A. Lauer J.-J. Laurin G. Lazzi S. Le Mageur J. Lee S.-G. Lee R. Leoni K.-W. Leung R. Levy L.-W. Li Y. Li L. Ligthart J. Lin I. Lindell C. Ling H. Ling D. Lippens F. Little F. Liu Q.-H. Liu S. Liu R. Loison

G. Lombardi U. Lott D. Lovelace D. Lu K. Lu V. Lubecke S. Lucyszyn R. Luebbers J. Luy Z. Ma S. Maas G. Macchiarella M. Madihian A. Madjar A. Maestrini S. Mahmoud M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu L. Maloratsky C. Mann H. Manohara R. Mansour G. Marrocco E. Martinez S. Maslovski A. Massa A. Materka H. Matsumoto K. Matsunaga A. Matsushima M. Mattes G. Matthaei P. Mayer J. Mazierska G. Mazzarella T. McKay D. Meharry K. Mei H.-K. Meng W. Menzel T. Metzger F. Meyer P. Mezzanotte A. Mickelson P. Miller J. Miranda D. Mirshekar T. Miura R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina J. Modelski A. Mohammadian I. Molina Fernandez M. Mongiardo J. Morente M. Morgan K. Mori A. Morini M. Morozowski J. Mosig J.-E. Mueller J. Muldavin A. Murk V. Nair K. Naishadham A. Nakayama S. Nam T. Namiki T. Narhi B. Nauwelaers J. Navarro S. Nelson E. Newman H. Newman M. Ney C. Nguyen T. Nichols S. Nightingale A. Niknejad K. Nikoskinen M. Nisenoff K. Nishikawa T. Nishikawa G. Niu S. Nogi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon T. Ohira V. Okhmatovski F. Olyslager

A. Omar M. Omiya B.-L. Ooi A. Orlandi R. Orta K. Osafune J. Osepchuk S.-K. Pan C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park W. Park T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino D. Pavlidis J. Pearce W. Pearson J. Pedro F. Peñanada Foix D. Penunuri J. Pereda L. Perregrini M. Petelin A. Peterson O. Peverini L. Pierantoni M. Pirola S. Pisa R. Pogorzelski G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prescott M. Prigent Y. Prokopenko S. Prosvirnin L. Puranen C. Quendo R. Quere F. Raab V. Radisic M. Raffetto C. Railton O. Ramahi R. Ranson C. Rappaport J. Raskin J. Rathmell C. Rauscher J. Rautio J. Rayas G. Rebeiz R. Reid L. Reindl J. Reinert K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle J. Rius E. Rius I. Robertson A. Roden M. Rodwell R. Rogers U. Rohde N. Rolland Y. Rong D. Root N. Rorsman L. Roselli U. Rosenberg D. Rutledge T. Rozzi B. Rubin J. Rubio A. Rudiakova M. Rudolph P. Russer A. Rydberg J. Ryynanen C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa J. Sahalos M. Salazar-Palma L. Samoska T. Sarkar C. Sarris J. Sarvas S. Savov I. Scherbatko G. Schettini

F. Schettino B. Schiek P. Schimpf M. Schlechtweg P. Schmid D. Schmitt M. Schneider R. Schneider D. Schreurs W. Schroeder H. Schumacher J. Schutt-Aine F. Sechi F. Seifert J. Sevic O. Sevimli J. Shaker M. Shapiro A. Sharma T. Shen H. Shigesawa Y. Shih M. Shirokov W. Shiroma Y. Shoji J. Shumpert D. Sievenpiper B. Sigmon A. Sihvola K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler Z. Skvor R. Sloan C. Smith P. Smith C. Snowden E. Sobolewski N. Sokal K. Solbach M. Solomon H. Song M. Sorolla Ayza R. Sorrentino E. Sovero S. Spiegel K. Srabandi D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson C. Stewart S. Stitzer B. Strassner K. Strohm M. Stubbs M. Stuchly A. Suarez R. Sudbury D. Sullivan K. Suyama J. Svacina D. Swanson B. Szendrenyi M. Tabib-Azar A. Taflove Y. Takayama K. Tanaka W. Tang E. Taniguchi R. Tascone J. Tauritz D. Teeter M. Tentzeris S.-A. Teo K. Thakur H. Thal G. Thoren W. Tinga I. Tittonen T. Tokumitsu B. Toland T. Tolmunen E. Tong T. Torikai C. Törnevik M. Toupikov S. Toutain I. Toyoda S. Tretyakov R. Trew P. Trifiletti C. Trueman R. Tsai C.-M. Tsai J. Tsalamengas M. Tsuji T. Tsujiguchi M. Tsutsumi

J. Tuovinen C.-K. Tzuang J. Uher A. Uhlir F. Ulaby T. Uwano R. Vahldieck M. Vaidyanathan P. Vainikainen C. Vale D. Van der Weide E. Van Lil C. van Niekerk B. Van Thielen A. van der Vorst D. Vanhoenacker-Janvie M. Vaughan L. Vegni I. Vendik S. Verdeyme V. Veremey I. Verspecht J. Verspecht L. Verweyen H.-O. Vickes L. Vietzorreck A. Viitanen C. Vittoria S. Vitusevich R. Voelker J. Vrba K. Wagner K. Wakino P. Waldow C. Wan C. Wang G. Wang H. Wang L. Wang N.-L. Wang W. Wang Y. Wang Z.-G. Wang R. Waugh D. Webb J. Webb K. Webb S. Wedge C. Wei R. Weigel B. Weikle T. Weiland S. Weinreb A. Weisshaar C. Weitzel T. Weller C. Wen S. Wentworth J. Whitaker J. White K. Whites C. Wilker G. Wilkins D. Williams B. Wilson Z. Wolowski K. Wong J. Wood G. Woods H. Wu R.-B. Wu T. Wu K.-L. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin S.-J. Xu X.-B. Xu T. Yakabe A. Yakovlev H.-S. Yang Y. Yang H.-W. Yao K. Yashiro K. Yeo S.-P. Yeo J.-G. Yook R. York N. Yoshida A. Young L. Young K. Zaki J. Zamanillo J. Zapata J. Zehentner R. Zhang X. Zhang A. Zhao L. Zhu Z. Zhu H. Zirath J. Zurcher