IEEE MTT-V053-I09 (2005-09) [53, 09 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
MINI-SPECIAL ISSUE ON ASIA PACIFIC MICROWAVE CONFERENCE......Page 1
020 - [email protected] 3
North Carolina State University......Page 4
II. S YSTEM S TRUCTURE AND P ERFORMANCE A NALYSIS......Page 5
B. Transmitted and Received UWB Pulses......Page 6
C. Switching Noise Attack Model......Page 7
D. BER Performance......Page 8
IEEE Standard 802.15-03/334r3, 2003.......Page 9
I. I NTRODUCTION......Page 11
B. Dual-Plane C-EBG Microstrip Structure Design......Page 12
Fig. 3. Simulated ${S}_{21}$ parameters of the dual-plane C-EBG......Page 13
III. T APERING T ECHNIQUES......Page 14
TABLE II T APERING F UNCTIONS......Page 15
A. Design and Numerical Simulation......Page 16
Fig.€9. Simulated S-parameters of the tapered and uniform dual-p......Page 17
Fig.€11. Simulated and measured S-parameters of the ground-taper......Page 18
S. K. Padhi, Improved performance of EBG's on a co-planar transm......Page 19
I. I NTRODUCTION......Page 20
A. Analysis of SAR in Different Layers of Bio-Media......Page 21
IV. N UMERICAL R ESULTS AND D ISCUSSION......Page 23
Fig. 6. SAR distributions for modified box-horn (with $\theta_{......Page 24
V. C ONCLUSION......Page 25
W. Gee, S.-W. Lee, N. K. Bong, C. A. Cain, R. Mittra, and R. L.......Page 26
A. Simple DA Structure......Page 27
B. Ideal DA......Page 28
D. Optimized DA......Page 29
A. Concept of Distributed ESD Protection......Page 30
C. Broad-band Performance of DA Without and With ESD Protection......Page 31
A. Broad-band RF Performance......Page 32
Fig.€22. Comparison of the measured S21-parameters among the DA......Page 33
Fig.€25. Noise figures among the simulated and fabricated DAs wi......Page 34
K. B. Niclas, W. T. Wilser, T. R. Kritzer, and R. R. Pereira, On......Page 35
Electrostatic Discharge (ESD) Sensitivity Testing Machine Model......Page 36
A. Potential Distribution Model......Page 37
TABLE I L IST OF B OUNDARY C ONDITIONS U SED IN THE A NALYSIS FO......Page 38
Fig.€4. Variation of threshold voltage with channel length at di......Page 39
III. C ONCLUSION......Page 40
M. Shur, Introduction to Electron Devices . New York: Wiley, 199......Page 41
III. F ILTER D ESIGN......Page 43
Fig.€4. Coupling structures and typical resonant mode splitting......Page 44
IV. R ESULTS......Page 45
S. Y. Lee and C. M. Tsai, New cross-coupled filter design using......Page 46
Fig.€2. Electromagnetic field distribution at 1.7 GHz. (a) Conve......Page 48
II. P ROBE D ESIGN......Page 49
Fig. 10. ${ H}_{ y}$ phase across the microstrip line at 6 GHz:......Page 50
A. Terminated Microstrip Line......Page 51
Fig. 14. Characteristic of a UWB BPF: $\hbox{- - -}{ S}_{11}, {-......Page 52
V. C ONCLUSION......Page 53
W. T. Kim, S. S. Myoung, and J. G. Yook, A study on RF component......Page 54
Fig.€1. TWPD.......Page 55
III. R ESULTS......Page 56
Fig.€3. Electrical frequency response of the GaAs TWPD from Fig.......Page 57
Fig.€6. Drift electric field along the symmetry plane of the TWP......Page 58
D. LTG GaAs-Based TWPD in 1.3- $\mu$ m Wavelength Regime......Page 59
R. Vahldieck and D. Pasalic, Effects of high-power optical signa......Page 60
D. Pasalic, R. Vahldieck, and A. Aste, Rigorous analysis of trav......Page 61
II. F ABRICATION OF BST I NTERDIGITATED V ARACTORS......Page 62
Fig.€2. Schematic of the tunable combline bandpass filter.......Page 63
IV. F ILTER C HARACTERIZATION......Page 64
A. A. Tamijani, L. Dussopt, and G. M. Rebeiz, Miniature and tuna......Page 65
J. Nath, D. Ghosh, J.-P. Maria, M. B. Steer, and A. I. Kingon, A......Page 66
I. I NTRODUCTION......Page 68
Fig.€2. Unit cells of CCS TL. (a) For series connection. (b) For......Page 69
Fig.€4. Multifunction module incorporating four-layer meandered......Page 70
Fig.€7. Brief description of the TL BPF design. (a) Low-pass pro......Page 71
Fig.€10. Three-dimensional view of a 2.5-GHz TL BPF.......Page 72
VI. C ONCLUSION......Page 73
G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters,......Page 74
II. P OWER R EFLECTION -C OEFFICIENT M ETHOD......Page 76
B. Tag Design......Page 77
C. Comparison to Experimental Results......Page 78
K. V. S. Rao, H. Heinrich, and R. Martinez, On the analysis and......Page 79
A. Description of Model......Page 81
B. Computation of Reflection Coefficients......Page 82
B. Comparison With Measurement......Page 83
Fig.€9. Measured receiving power profiles for three cases. (a) I......Page 84
V. C ONCLUSION......Page 85
T. Azuma, K. Masuda, A. Kobayashi, and O. Hashimoto, A basic stu......Page 86
Fig.€1. General arrangement of the hybrid planar/NRD integrated......Page 87
A. Current Discretization and System Matrix Structure......Page 88
B. Analytical Evaluation in Space-Domain Integration and First-O......Page 90
B. NRD Interconnect Between Planar Circuits......Page 92
C. Integrated Transition of Microstrip-Line-to-NRD-Guide Structu......Page 93
IV. C ONCLUSION......Page 94
Fig.€8. (a) Top view of the microstrip-line-to-NRD-guide transit......Page 95
L. Han, K. Wu, and R. G. Bosisio, An integrated transition of mi......Page 96
K. A. Michalski and J. R. Mosig, Multilayed media Green's functi......Page 97
I. I NTRODUCTION......Page 98
Fig.€2. Parallel-topology oscillator, based on a cubic nonlinear......Page 99
Fig.€4. Sequential stability analysis by means of the Nyquist pl......Page 100
Fig. 5. Phase-noise variation versus the time delay $\Delta {T}$......Page 101
Fig.€7. Self-injected oscillator with a dielectric resonator in......Page 102
Fig.€10. Parallel-resonance oscillator with a stabilization loop......Page 103
IV. A NALYSIS AND E XPERIMENTAL C HARACTERIZATION OF A 5-GHz O S......Page 104
Fig.€15. VCO at 5 GHz. Comparison between the simulated and meas......Page 105
F. Ramírez, E. de Cos, and A. Suárez, Nonlinear analysis tools f......Page 106
I. I NTRODUCTION......Page 107
Fig.€1. Structure of the general two-port Neuro-SM nonlinear mod......Page 108
3) Analytical Large-Signal Mapping: For large-signal simulation,......Page 109
1) dc Sensitivity: Let ${\mbi V}_{f,{\rm DC}}$ and $\mathhat{V}_......Page 110
III. P ROPOSED T RAINING A LGORITHM FOR THE A NALYTICAL N EURO -......Page 111
1) dc and Small-Signal Training: The mapping neural network is t......Page 112
IV. D ISCUSSIONS......Page 113
Fig.€4. Comparison between the original ADS solution (device dat......Page 114
A. Analytical Neuro-SM Models of SiGe HBT......Page 115
B. Analytical Neuro-SM Models of GaAs MESFET......Page 116
Fig.€7. S-parameter comparison between the original HEMT data fr......Page 117
TABLE IX S ENSITIVITY C OMPARISON IN THE HEMT E XAMPLE . S ENSIT......Page 118
Fig.€10. Comparison of the frequency doubler (with MESFET models......Page 119
Fig.€12. Frequency doubler (with HEMT models) HB solutions using......Page 120
A. S. Yanev, B. N. Todorow, and V. Z. Ranev, A broad-band balanc......Page 121
II. S IX -P ORT A MPLITUDE AND P HASE D ISCRIMINATOR......Page 123
Fig.€1. Six-port discriminator.......Page 124
IV. S IMULATION R ESULTS......Page 125
Fig.€6. Constellation of the demodulated PSK/QAM signals without......Page 126
Fig.€10. Measurement test bench with details.......Page 127
Fig.€13. $\Gamma$ radius versus the input power.......Page 128
G. F. Engen, The six-port reflectometer: An alternative network......Page 129
W. C. Jakes, Microwave Mobile Communications . Englewood Cliffs,......Page 130
I. I NTRODUCTION......Page 132
B. Analytical Example......Page 133
A. Mathematical Conditions......Page 134
B. Reduction of the Input-Power Threshold in Regenerative Divide......Page 135
A. Mathematical Conditions......Page 136
B. Shift of the Frequency Band of a Subsynchronized Oscillator......Page 137
Fig.€6. Enlargement of the operation band of a subsynchronized o......Page 138
A. Mathematical Conditions......Page 139
Fig.€8. Sketch of the global behavior of harmonic injection divi......Page 140
VI. T RANSFORMATION OF A S UBCRITICAL B IFURCATION I NTO A S UPE......Page 141
E. Palazuelos, A. Suárez, J. Portilla, and F. J. Barahona, Hyste......Page 142
E. de Cos, F. Ramírez, and A. Suárez, Multi-harmonic generator b......Page 143
II. D ESIGN OF $X$ -B AND CMOS Q UADRATURE V CO......Page 144
Fig.€2. (a) Schematic of the proposed transformer-based VCO core......Page 145
A. Harmonics of Quadrature Signals......Page 146
Fig.€3. (a) Output signal of a pinchoff clipper derived by an in......Page 147
IV. E XPERIMENTAL R ESULTS......Page 148
Fig.€8. QVCO with a small coupling transistor (eight fingers). P......Page 149
B. Proposed Frequency Sources With the VCOs......Page 150
Fig.€15. Harmonic spectrum of the fourth-order frequency multipl......Page 151
Fig.€18. Phase-noise measurement of the fourth-order frequency m......Page 152
V. C ONCLUSION......Page 153
R.-C. Liu, H.-Y. Chang, C.-H. Wang, and H. Wang, A 63 GHz VCO us......Page 154
I. I NTRODUCTION......Page 156
III. P ROPOSED A PPROACH......Page 157
V. E XAMPLES......Page 158
Fig. 4. Optimal target response $(\hbox{---})$, the fine-model r......Page 159
Fig.€7. Topology of the single-resonator filter.......Page 160
TABLE II O PTIMIZATION R ESULTS FOR THE S INGLE -R ESONATOR F IL......Page 161
Case 1: Empirical Coarse Model: A coarse model with lumped induc......Page 162
Case 2: Coarse-Grid TLM Model: We utilize a coarse-grid TLM mode......Page 163
TABLE V O UR A PPROACH W ITH /W ITHOUT D ATABASE S YSTEM V ERSUS......Page 164
M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.......Page 165
II. T RANSMISSION Z ERO C ONDITIONS......Page 167
III. F ILTER D ESIGN P ROBLEMS......Page 168
TABLE II C IRCUIT P ARAMETERS OF F ILTER B......Page 169
Fig. 7. Passband $S_{21}$ of filters A and B modified by the met......Page 170
V. D ESIGN E QUATIONS FOR THE R IGHT $Z_{0e}$ AND $Z_{0o}$......Page 171
VI. F ILTER D ESIGNS AND M EASUREMENTS......Page 172
D. Kajfez and S. Govind, Effect of difference in odd- and even-m......Page 173
II. B ACKGROUND......Page 174
Fig.€4. CMOS LNA topologies: (a) with and (b) without inductive......Page 175
Fig.€5. Proposed switch connected to representative LNA circuit......Page 176
VI. M EASURED R ESULTS......Page 177
Fig.€12. Measured: (a) $S11$ and (b) $S21$ from 10 MHz to 2 GHz......Page 178
IX. C ONCLUSION......Page 179
W. B. Kuhn, D. Nobbe, D. Kelly, and A. W. Orsborn, Dynamic range......Page 180
I. I NTRODUCTION......Page 181
II. I NDUCTANCE AND R ESISTANCE V IA FEM......Page 182
A. Vector Potential......Page 183
B. Inductance and Resistance......Page 184
Fig. 4. Importance ${\cal F}_{Ri}$ for 1111 poles. This figure c......Page 185
Fig.€6. Reconstructed resistance from approximated impedance wit......Page 186
V. E RROR A NALYSIS......Page 187
VI. C ONCLUSION......Page 188
Proc. Int. Mathematical Congress, vol. 2, J. C. Fields, Ed., To......Page 189
I. I NTRODUCTION......Page 190
III. D ESIGN O PTIMIZATION......Page 191
Nonlinear Modeling of the Schottky Diode: Abundant literature is......Page 192
Modeling of the Diode Cell: For triplers, the second-harmonic id......Page 193
Fig.€5. Simulated input coupling per diode of the 600-GHz balanc......Page 194
Fig.€7. Measured estimated efficiency (top curves with filled ma......Page 195
N. R. Erickson, G. Narayanan, R. Grosslein, G. Chattopadhyay, A.......Page 196
G. Chattopadhyay, F. Maiwald, E. Schlecht, R. J. Dengler, J. C.......Page 197
Fig.€1. Geometry of the proposed FGMSL LPF with the upper strip......Page 199
A. Offset FGMSL With High Impedance......Page 200
C. FGMSL Shunt Capacitive Elements......Page 201
IV. N OVEL FGMSL LPFs......Page 202
Fig.€13. Predicted and measured $S$ -parameters of the FGMSL LPF......Page 203
G. E. Ponchak, A. Margomenos, and L. P. B. Katehi, Low loss fini......Page 204
S. G. Kim and K. Chang, Ultrawide-band transitions and new micro......Page 205
I. I NTRODUCTION......Page 206
A. Electromagnetic Field Equations......Page 207
C. Coupled System of Equations......Page 208
D. Solution Algorithm/Computational Complexity Analysis......Page 209
E. Parallelization and TD-AIM Acceleration......Page 210
Fig.€3. The $i$ - $v$ characteristics of the Gunn diodes and the......Page 211
B. Microwave Amplifier......Page 212
C. Reflection-Grid Amplifier......Page 213
Fig.€8. Small signal analysis using the large-signal circuit mod......Page 214
TABLE I E BERS -M OLL M ODEL P ARAMETERS......Page 215
D. Parallel Performance......Page 216
IV. C ONCLUSION......Page 217
A. E. Yılmaz, J. M. Jin, and E. Michielssen, Time domain adaptiv......Page 218
A. Guyette, R. Swisher, F. Lecuyer, A. Al-Zayed, A. Kom, S.-T. L......Page 219
I. I NTRODUCTION......Page 221
II. T HEORY......Page 222
Fig. 5. Tuning range versus the gap for the TE $_{011}$ mode in......Page 223
Fig. 9. Resonant frequency and $Q_{C}$ of the TE $_{01d}$ mode v......Page 224
C. Dielectric Ring Resonator on Substrate......Page 225
Fig. 14. $H$ -field distribution of (a), (b) the TE $_{011}$ mod......Page 226
E. Spherical and Hemispherical DRs......Page 227
IV. C ONCLUSION......Page 228
S. W. Chen and K. A. Zaki, Dielectric ring resonators loaded in......Page 229
I. I NTRODUCTION......Page 230
III. D ESIGN OF THE D OHERTY A MPLIFIER......Page 231
Fig.€2. Load-pull measurement system.......Page 232
Fig.€5. (a) Output power and (b) PAE of a standalone simulated c......Page 233
Fig.€7. (a) Measured gain and (b) PAE of Doherty amplifier gate......Page 234
VI. E XPERIMENTAL R ESULTS......Page 235
VII. C ONCLUSION......Page 236
J. Vuolevi, J. Manninen, and T. Rahkonen, Cancelling the memory......Page 237
I. I NTRODUCTION......Page 239
III. F ORMULATION......Page 240
Fig.€2. (a) Two-dimensional multistatic backscattering geometry......Page 241
IV. C ALIBRATION M ETHOD......Page 242
A. Measurement Parameters......Page 243
Fig.€4. Measured results of (1) Fourier-domain data and (2) reco......Page 244
R. M. Lewis, Physical optics inverse diffraction, IEEE Trans. An......Page 245
MATLAB: The Language of Technical Computing, MathWorks, Natick,......Page 246
Fig.€2. Circuit theory model for the $L$ and $2L$ -length throug......Page 247
II. D OUBLE -D ELAY T HEORY S UMMARY......Page 248
V. F ASTER E VALUATION OF THE D OUBLE -D ELAY D ATA S ET......Page 249
VII. S IGNIFICANCE OF TEM E QUIVALENT C HARACTERISTIC I MPEDANCE......Page 250
Fig.€5. Phase of the SOC calculated characteristic impedance is......Page 251
J. C. Rautio, A new definition of characteristic impedance, in I......Page 252
J. C. Rautio, De-embedding the effect of a local ground plane in......Page 253
II. T WO -S TAGE P ERFORMANCE A NALYSIS......Page 254
Fig. 3. $\hbox{PAE}_1$ versus $\Delta \hbox{PAE}$ for $G_1$ equa......Page 255
Fig.€5. (a) Output stage class-E PA. (b) Hybrid two-stage class-......Page 256
C. Two-Stage Switched-Mode Amplifier......Page 257
TABLE I M EASURED H YBRID T WO -S TAGE C LASS -E A MPLIFIER P ER......Page 258
Fig.€13. Measured power characteristics of the class-E output st......Page 259
V. D ISCUSSION......Page 260
F. Raab, Effects of circuit variations on the class-E tuned powe......Page 261
G. Gonzales, Microwave Transistor Amplifiers Analysis and Design......Page 262
II. V ARIATIONAL F ORMULA FOR R ESONANCE F REQUENCY......Page 263
III. T RIAL F IELDS......Page 264
B. Dielectric Losses......Page 266
Fig.€2. Schematic cross section of the open resonator with tempo......Page 267
VI. E STIMATING THE E FFECTIVE A IR -G AP S IZE......Page 268
TABLE III D ETAILS OF M EASUREMENT OF A LUMINA /F ERROELECTRIC S......Page 269
R. N. Clarke and C. B. Rosenberg, Fabry-Perot and open resonator......Page 270
T. Hu, H. Jantunnen, and S. Leppävuori, Co-firing of ferroelectr......Page 271
B. $Y$ -Factor Method......Page 272
III. M EASUREMENT S ETUP......Page 273
D. Test Structures......Page 274
B. Noise Parameters......Page 275
B. Instrumental Uncertainties......Page 276
A. Noise-Parameter Extraction......Page 277
M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen,......Page 279
I. I NTRODUCTION......Page 281
Fig.€2. Proposed three-port de-embedding method. (a) DUT and its......Page 282
III. R ESULTS AND D ISCUSSION......Page 283
Fig. 7. Pad capacitances $C_{\rm PAD}$ and input capacitances $C......Page 284
Fig.€11. Comprehensive small-signal equivalent-circuit model for......Page 285
B. Effects of External Parasitics on Device Characteristics......Page 286
IV. C ONCLUSION......Page 287
S. C. Wang, G. W. Huang, K. M. Chen, A. S. Peng, H. C. Tseng, an......Page 288
I. I NTRODUCTION......Page 290
B. Equivalent Model and Stopband Prediction......Page 291
Fig.€3. Dispersion diagrams ( $f$ as a function of $k$ ). (a) 9-......Page 292
B. Radiation (or EMI) Elimination......Page 293
Fig.€7. Measurement setup for EMI in 3 m fully anechoic chamber.......Page 294
Fig.€9. Four-layer structure with transmission line transient be......Page 295
V. C ONCLUSION......Page 296
Y. H. Lin and T. L. Wu, Investigation of signal quality and radi......Page 297
I. I NTRODUCTION......Page 298
III. P ROPERTY OF K OCH F RACTAL -S HAPED C OUPLER......Page 299
A. Design of Fractal-Shaped Filter on LCP......Page 300
B. Fabrication and Experiment......Page 301
D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Te......Page 302
II. C IRCUIT A RCHITECTURE AND D ESIGN......Page 304
Fig.€3. (a) Integrated balanced phase-shifter chip mounted in th......Page 305
C. Fabrication and Assembly......Page 306
Fig.€6. Phase shift between the two bias states of the diodes as......Page 307
TABLE II B REAKDOWN OF C ONTRIBUTIONS TO P HASE -S HIFTER I NSER......Page 308
N. S. Barker and G. M. Rebeiz, Optimization of distributed MEMS......Page 309
H. Xu, G. S. Schoenthal, J. L. Hesler, R. M. Weikle II, and T. W......Page 310
II. P ROPERTIES OF LH M ETAMATERIALS......Page 311
III. C OMPUTING THE G REEN ' S F UNCTION U SING E WALD ' S M ETH......Page 312
B. Dyadic Green's Function......Page 313
Fig.€3. Reflection and transmission coefficients for the structu......Page 314
Fig.€5. FDTD computational domain: the space is formed by 300 $\......Page 315
C. Numerical Prism......Page 316
Fig.€8. Instantaneous absolute value of the electric field withi......Page 317
Fig.€9. Absolute value of the electric field distribution from a......Page 318
Fig.€11. Evolution of the real part of the electric field as fun......Page 319
H. Chen, L. Ran, J. Huangfu, X. Zhang, K. Chen, T. M. Grzegorczy......Page 320
T. M. Grzegorczyk, M. Nikku, X. Chen, B.-I. Wu, and J. A. Kong,......Page 321
A. SIW and UC Photonic-Bandgap (UC-EBG) Structure......Page 323
B. SIW-EBG Filter......Page 324
D. SIW-CPW Filter......Page 325
B. SIW-DGS Filter......Page 326
IV. E XPERIMENTAL R ESULTS......Page 327
Fig.€14. Measured results of the 11-cell SIW-EBG filters.......Page 328
Fig.€18. Measured and simulated results for the 11-cell SIW-DGS......Page 329
V. C ONCLUSION......Page 330
J. J. Simpson, A. Taflove, J. A. Mix, and H. Heck, Computational......Page 331
I. I NTRODUCTION......Page 333
Fig.€3. Simplified equivalent circuit of the considered diodes:......Page 334
Fig.€5. (a) Required $Q$ factor of the matching network versus t......Page 335
C. Power-Matching Network......Page 336
Fig.€7. Power-matching network.......Page 337
Fig.€9. Equivalent circuit of the system antenna tag to calculat......Page 338
Fig.€11. Required input power versus the amplitude of the antenn......Page 339
A. ASK and PSK Backscatter Modulation......Page 340
1) Received Signal at the Reader's Antenna: As already said, the......Page 341
2) Receiver Architecture: The scheme of the PSK receiver is show......Page 342
3) Noise Spectral Density: We can suppose that the noise at the......Page 343
Fig.€16. $X$ values that satisfy the (43) and (60) as a function......Page 344
G. De Vita and G. Iannaccone, Design criteria for the RF section......Page 345
II. N UMERICAL M ODEL......Page 346
Fig.€2. 3-D mesh domain.......Page 348
C. Propagation on the Waveguide......Page 349
Fig.€9. Output voltage wave at 19.2 $\mu$ m from the gap edge on......Page 350
Fig.€13. Dependence of the electric pulse amplitude on the optic......Page 351
C. C. Wang, M. Currie, R. Sobolewski, and T. Y. Hsiang, Subpicos......Page 352
Fig.€1. (a) Example of a hologram pattern. (b) Schematic view of......Page 354
C. Design Results......Page 355
A. Submillimeter-Wave Instrumentation......Page 356
A. Measurement Results......Page 357
Fig.€6. Hologram II: measured quiet-zone field at 644 GHz at 3 m......Page 358
IEEE Standard Test Procedure for Antennas, IEEE Standard 149-197......Page 359
J. Ala-Laurinaho, T. Hirvonen, P. Piironen, A. Lehto, J. Tuovine......Page 360
II. R ECIPROCAL T ECHNIQUE......Page 362
III. T HEORY......Page 363
VI. S IMULATED R ESULTS......Page 364
Fig.€5. $S$ -parameters shown similarly to Fig.€4, but for the c......Page 365
Fig.€7. Magnitudes of all $S$ -parameters of the PCB through con......Page 366
VIII. C ONCLUSION......Page 367
W. H. Press, B. P. Flannery, S. A. Teukolsky, and W. T. Vetterli......Page 368
I. I NTRODUCTION......Page 369
B. WPBC in the Frequency Domain......Page 370
C. WPBC in the Time Domain......Page 371
Fig. 2. Reflection coefficient for the TE $_{10}$ mode in an emp......Page 372
Fig.€4. Impulse response as a function of time with $k_c^2=986.9......Page 373
Fig.€6. Time-domain modal amplitude for the empty rectangular wa......Page 374
Fig. 7. Generalized $S_{11}$ parameter for the waveguide discont......Page 375
C. Cavity Resonator......Page 376
IV. C ONCLUSION......Page 377
J. Maloney, G. Smith, and W. Scott, Accurate computation of the......Page 378
II. R EVIEW OF P REVIOUS W ORKS AND F UNDAMENTALS OF THE C AUSE......Page 379
A. Pulsewidth Equation......Page 380
B. Spectrum of Spurs......Page 381
C. Spurs in the Case of Multiple Disturbances in the Loop......Page 382
IV. O UTPUT S PUR S PECTRUM FOR THE I NPUT M ODULATION C ASE IN......Page 383
Fig. 12. Measured OPLL output spur spectrum with ${\rm IF}=45.5$......Page 384
Fig.€13. Comparison of the measured OPLL spur spectrums before a......Page 385
VI. C ONCLUSION......Page 386
Fig.€16. Situation with two disturbances coexisting.......Page 387
Digital Cellular Telecommunications System (Phase 2+); Radio Tra......Page 388
II. T EST S TRUCTURES AND E XPERIMENTAL S ETUP......Page 390
Fig.€2. Inductive source degeneration impedance matching (a) wit......Page 391
Fig.€3. Double- $\pi$ RF subcircuit model for interconnects.......Page 392
Fig.€5. (a) Measured versus simulated parasitic inductance. (b)......Page 393
Fig.€10. Double- $\pi$ RF interconnect model continuity. Simulat......Page 394
Fig.€16. Magnitude of measured and simulated $S$ -parameters ver......Page 395
V. M ODEL V ERIFICATION U SING G IGAHERTZ A MPLIFIER AND V OLTAG......Page 396
Fig.€17. Schematics (a), (b), and die photo (c) of a simple giga......Page 397
C. B. Sia, B. H. Ong, K. M. Lim, K. S. Yeo, M. A. Do, J. G. Ma,......Page 398
Fig.€1. (a) Target collector emitter voltage's waveform for thir......Page 400
Fig.€4. Set of dependencies of $\theta_1$ on $\theta$ with $% \ome......Page 401
B. Conditions of Class-F Realization......Page 402
Fig.€8. Collector current and collector emitter voltage waveform......Page 403
IV. C ONCLUSION......Page 404
L. J. Giacoletto, Study of p-n-p alloy junction transistors from......Page 405
500 - [email protected] 406
510 - [email protected] 408
520 - [email protected] 410
Website......Page 411
540 - 01505035......Page 412
550 - 01505036......Page 413
560 - 01505037......Page 414

Citation preview

SEPTEMBER 2005

VOLUME 53

NUMBER 9

IETMAB

(ISSN 0018-9480)

MINI-SPECIAL ISSUE ON ASIA–PACIFIC MICROWAVE CONFERENCE Guest Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. B. Steer

2649

MINI-SPECIAL ISSUE PAPERS Performance of Inter-Chip RF-Interconnect Using CPW, Capacitive Coupler, and UWB Transceiver. . . . . . . . . . . . . . . . . .M. Sun and Y. P. Zhang Tapered Dual-Plane Compact Electromagnetic Bandgap Microstrip Filter Structures . . . . . . . . . . . . . . . . . . . . . . . . . . S. Y. Huang and Y. H. Lee Analysis of the SAR Distributions in Three-Layered Bio-Media in Direct Contact With a Water-Loaded Modified Box-Horn Applicator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. C. Gupta and S. P. Singh

2650 2656

ESD Protection Design for 1- to 10-GHz Distributed Amplifier in CMOS Technology . . . . . . . . . . . . . . . . . M.-D. Ker, Y.-W. Hsiao, and B.-J. Kuo Sub-Threshold Analysis and Drain Current Modeling of Polysilicon Thin-Film Transistor Using Green’s Function Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Sehgal, T. Mangla, S. Chopra, M. Gupta, and R. S. Gupta A Miniaturized Multilayer Quasi-Elliptic Bandpass Filter With Aperture-Coupled Microstrip Resonators. . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2672

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-F. Chen, T.-Y. Huang, C.-H. Tseng, R.-B. Wu, and T.-W. Chen Resonance-Suppressed Magnetic Field Probe for EM Field-Mapping System . . . . . . . . . . . . . . . . . . . . . . . J.-M. Kim, W.-T. Kim, and J.-G. Yook A Hybrid Drift-Diffusion–TLM Analysis of Traveling-Wave Photodetectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Pasalic and R. Vahldieck An Electronically Tunable Microstrip Bandpass Filter Using Thin-Film Barium–Strontium–Titanate (BST) Varactors. . . . . . . . . . . . . . . . . . . . .

2688 2693 2700

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer Miniaturized Microwave Passive Filter Incorporating Multilayer Synthetic Quasi-TEM Transmission Line. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-S. Wu, H.-J. Yang, C.-J. Peng, and C.-K. C. Tzuang Power Reflection Coefficient Analysis for Complex Impedances in RFID Tag Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2707

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. V. Nikitin, K. V. S. Rao, S. F. Lam, V. Pillai, R. Martinez, and H. Heinrich Analysis on Effectiveness of Wave Absorbers to Improve DSRC Electromagnetic Environment on Express Highway . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. K. Pokharel, M. Toyota, and O. Hashimoto

2721

2665

2682

2713

2726

CONTRIBUTED PAPERS A Generalized Surface-Volume Integral-Equation (SVIE) Approach for Analysis of Hybrid Planar/NRD-Guide Integrated Circuits . . . D. Li and K. Wu Analysis of Stabilization Circuits for Phase-Noise Reduction in Microwave Oscillators . . . . . . . . . . . . . . . . . . . . . . . . A. Suárez and F. Ramírez Efficient Analytical Formulation and Sensitivity Analysis of Neuro-Space Mapping for Nonlinear Microwave Device Modeling . . . . . . . . . . . . . .

2732 2743

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Zhang, J. Xu, M. C. E. Yagoub, R. Ding, and Q.-J. Zhang

2752

(Contents Continued on Back Cover)

(Contents Continued from Front Cover)

Ka

-Band Analog Front-End for Software-Defined Direct Conversion Receiver . . . . S. O. Tatu, E. Moldovan, K. Wu, R. G. Bosisio, and T. A. Denidni Application of Bifurcation Control to Practical Circuit Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Collado and A. Súarez - and -Bands CMOS Frequency Sources With -Band Quadrature VCO . . . . . . . . . . . . . . . . S. Ko, J.-G. Kim, T. Song, E. Yoon, and S. Hong

2768 2777 2789

TLM-Based Modeling and Design Exploiting Space Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . J. W. Bandler, A. S. Mohamed, and M. H. Bakr Improved Coupled-Microstrip Filter Design Using Effective Even-Mode and Odd-Mode Characteristic Impedances. . . . . . H.-M. Lee and C.-M. Tsai A Resonant Switch for LNA Protection in Watt-Level CMOS Transceivers . . . . . . . . . . . . . . . . W. B. Kuhn, M. M. Mojarradi, and A. Moussessian Guaranteed Passive Direct Lumped-Element Modeling of Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-H. You and E. F. Kuester

2801 2812 2819 2826

K

Q

X

A 540–640-GHz High-Efficiency Four-Anode Frequency Tripler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A. Maestrini, J. S. Ward, J. J. Gill, H. S. Javadi, E. Schlecht, C. Tripon-Canseliet, G. Chattopadhyay, and I. Mehdi Stopband-Enhanced and Size-Miniaturized Low-Pass Filters Using High-Impedance Property of Offset Finite-Ground Microstrip Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Sun and L. Zhu A Parallel FFT Accelerated Transient Field-Circuit Simulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. E. Yılmaz, J.-M. Jin, and E. Michielssen CAD-Oriented Analysis of Cylindrical and Spherical Dielectric Resonators in Cavities and MIC Environments by Means of Finite Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. M. Gil A Robust Modeling and Design Approach for Dynamically Loaded and Digitally Linearized Doherty Amplifiers . . . . . . . . . . . . . . . . . . . . . . .

2835 2844 2851 2866

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Sirois, S. Boumaiza, M. Helaoui, G. Brassard, and F. M. Ghannouchi An Effective Usage of Vector Network Analyzer for Microwave Imaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Tseng and T.-H. Chu Unification of Double-Delay and SOC Electromagnetic Deembedding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. C. Rautio and V. I. Okhmatovski -Band Two-Stage High-Efficiency Switched-Mode Power Amplifiers . . . . . . . . . . . S. Pajic´, N. Wang, P. M. Watson, T. K. Quach, and Z. Popovic´

2875 2884 2892 2899

Open Resonator Technique for Measuring Multilayered Dielectric Plates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. N. Deleniv and S. Gevorgian Improved -Factor Method for Wide-Band On-Wafer Noise-Parameter Measurements . . . L. F. Tiemeijer, R. J. Havens, R. de Kort, and A. J. Scholten A Shield-Based Three-Port De-Embedding Method for Microwave On-Wafer Characterization of Deep-Submicrometer Silicon MOSFETs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu

2908 2917

X

Y

Electromagnetic Bandgap Power/Ground Planes for Wideband Suppression of Ground Bounce Noise and Radiated Emission in High-Speed Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T.-L. Wu, Y.-H. Lin, T.-K. Wang, C.-C. Wang, and S.-T. Chen Fractal-Shaped Microstrip Coupled-Line Bandpass Filters for Suppression of Second Harmonic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2926 2935

. . . . . . . . . . . . . . . . . . . . . . . I. K. Kim, N. Kingsley, M. Morton, R. Bairavasubramanian, J. Papapolymerou, M. M. Tentzeris, and J.-G. Yook Broad-Band 180 Phase Shifters Using Integrated Submillimeter-Wave Schottky Diodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Liu, J. C. Midkiff, H. Xu, T. W. Crowe, and R. M. Weikle II Properties of Left-Handed Metamaterials: Transmission, Backward Phase, Negative Refraction, and Focusing . . . . . . . . . . . . . . . . . . . . . . . . .

2943

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. M. Grzegorczyk, C. D. Moss, J. Lu, X. Chen, J. Pacheco, Jr., and J. A. Kong Compact Super-Wide Bandpass Substrate Integrated Waveguide (SIW) Filters. . . . . . . . . . . Z.-C. Hao, W. Hong, J.-X. Chen, X.-P. Chen, and K. Wu Design Criteria for the RF Section of UHF and Microwave Passive RFID Transponders . . . . . . . . . . . . . . . . . . . . . G. De Vita and G. Iannaccone Coupling 3-D Maxwell’s and Boltzmann’s Equations for Analyzing a Terahertz Photoconductive Switch. . . . . M. Sirbu, S. B. P. Lepaul, and F. Aniel

2956 2968 2978 2991

Experimental Study on a Hologram-Based Compact Antenna Test Range at 650 GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .T. Koskinen, J. Ala-Laurinaho, J. Säily, A. Lönnqvist, J. Häkli, J. Mallat, J. Tuovinen, and A. V. Räisänen Investigation of a Method to Improve VNA Calibration in Planar Dispersive Media Through Adding an Asymmetrical Reciprocal Device . . . J. B. Scott An Accurate Waveguide Port Boundary Condition for the Time-Domain Finite-Element Method . . . . . . . . . . . . . . . . . . . . . Z. Lou and J.-M. Jin

2999 3007 3014

Systematic Analysis of the Offset-PLL Output Spur Spectrum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-F. Lee and S. T. Peng Accurate and Scalable RF Interconnect Model for Silicon-Based RFIC Applications . . . . . . . C. B. Sia, B. H. Ong, K. S. Yeo, J.-G. Ma, and M. A. Do BJT Class-F Power Amplifier Near Transition Frequency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. N. Rudiakova

3024 3035 3045

2949

LETTERS Comments on “Thermal Resistance Calculation of AlGaN–GaN Devices” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W.-Y. Yin Authors’ Reply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A. M. Darwish, A. Bayba, and H. A. Hung Corrections on “Precision Open-Ended Coaxial Probes for In Vivo and Ex Vivo Dielectric Spectroscopy of Biological Tissues at Microwave Frequencies” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Popovic, L. McCartney, C. Beasley, M. Lazebnik, M. Okoniewski, S. C. Hagness, and J. H. Booske

3051 3052 3053

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3054

CALLS FOR PAPERS Mini-Special Issue on Measurements for Large-Signal Characterization and Modeling of Nonlinear Analog Devices, Circuits, and Systems. . . . . . .

3055

2006 IEEE MTT-S International Microwave Symposium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3056

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. C. GUPTA, President M. P. DE LISO S. M. EL-GHAZALY M. HARRIS

T. ITOH

K. VARIAN, Vice President D. HARVEY J. HAUSNER L. KATEHI

A. MORTAZAWI, Secretary T. LEE D. LOVELACE J. MODELSKI

S. KAWASAKI J. S. KENNEY N. KOLIAS

Honorary Life Members A. A. OLINER K. TOMIYASU T. S. SAAD L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH W. HOEFER

M. HARRIS, Treasurer

V. J. NAIR B. PERLMAN D. RUTLEDGE Distinguished Lecturers T. ITOH B. KIM J. LASKAR J. C. RAUTIO

K. VARIAN R. WEIGEL S. WETENKAMP

W. SHIROMA R. SNYDER R. SORRENTINO

D. RYTTING M. SHUR P. SIEGEL R. J. TREW

Past Presidents R. J. TREW (2004) F. SCHINDLER (2003) J. T. BARR IV (2002)

MTT-S Chapter Chairs Albuquerque: G. WOOD Atlanta: J. PAPAPOLYMEROU Austria: R. WEIGEL Baltimore: B. MCCARTHY Beijing: Y.-R. ZHONG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Buenaventura: L. HAYS Buffalo: M. R. GILLETTE Bulgaria: F. FILIPOV Cedar Rapids/Central Iowa: D. JOHNSON Central New England/Boston: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: J.-F. LEE Croatia: J. BARTOLIC Czech/Slovakia: P. HAZDRA Dallas: P. WINSON Dayton: A. TERZOULI, JR. Denver: K. BOIS East Ukraine: A. KIRILENKO Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’BRIEN

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: M. A. BARNES India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: H. JUI-PANG Kitchener-Waterloo: R. R. MANSOUR Long Island/New York: M. HANCZOR Los Angeles Council: T. LEE Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: G. N. S. PRASANNA New South Wales: G. TOWN North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: M. OLAVSBRATEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU

Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Poland, Lithuania: I. NAIDIONOVA Portugal: V. FERENDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: F. POLO Romania: I. SIMA Russia, Jt. Nizhny: Y. BELOV Russia, Jt. Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: U. S. DHALIWAL San Fernando Valley: J. C. WEILER, JR Santa Clara Valley/San Francisco: M. SHAKOURI Seattle: T. RASCHKO Seoul Council: H.-Y. LEE Siberia, Jt. Novosibirsk: V. SHUVALOV Siberia, Tomsk: E. GOLOVIN Singapore: O. B. LEONG South Africa: J. JOUBERT South Australia: B. BATES

South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: J. F. JOHANSSON Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: V. VIVEK Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine West: M. I. ANDRIYCHUK Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: A. N. BIANCHI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Eastern Northern Virginia: E. ADLER Winnipeg: S. NOGHANIAN Yugoslavia: A. MARINCIC

Associate Editors

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

ANDREAS CANGELLARIS Univ. of Illinois, Urbana Champaign USA email: [email protected] AMIR MORTAZAWI Univ. of Michigan at Ann Arbor USA email: [email protected] YOSHIO NIKAWA Kokushikan Univ. Japan email: [email protected] M. GUPTA, Editor, IEEE Microwave Magazine

STEVEN MARSH JOSÉ PEDRO KENJI ITOH Univ. of Aveiro Mitsubishi Electric Corp. Midas Consulting Portugal Japan U.K. email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC RUEY-BEEI WU MANH ANH DO Univ. of Colorado at Boulder National Taiwan Univ. Nanyang Technological Univ. USA Taiwan, R.O.C. Singapore email: [email protected] email: [email protected] email: [email protected] DYLAN F. WILLIAMS ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Florence Univ. of Bologna NIST Italy Italy USA email: [email protected] email: [email protected] email: [email protected] R. VAHLDIECK, Editor, IEEE Microwave and Wireless Component Letters T. LEE, Web Master

IEEE Offficers LEAH H. JAMIESON, Vice President, Publication Services and Products W. CLEON ANDERSON, President and CEO MARC T. APTER, Vice President, Regional Activities MICHAEL R. LIGHTNER, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association MOHAMED EL-HAWARY, Secretary JOHN R. VIG, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer GERARD A. ALPHONSE, President, IEEE-USA ARTHUR W. WINSTON, Past President MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

Executive Staff

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $69.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2005 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2005.856959

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2649

Guest Editorial

T

HE 16th Asia–Pacific Microwave Conference (APMC’04) was held in New Delhi, India, 15–18 December 2004. As the largest international microwave conference in the Asia–Pacific region, APMC has been widely supported by microwave and wireless academia and industry. The first APMC was held in India in 1986 and subsequently held annually in various countries throughout Asia and Australasia. It has become one of the most renowned international microwave conferences along with the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), held in North America, and the European Microwave Conference, held in Europe. For all three conferences, Special Issues are published by this TRANSACTIONS, but this is the first for APMC. As with the other international microwave conferences, an industry exhibition is held simultaneously with APMC and, thus, all three have a consistent flavor of close linkage between industrial developments and scholarly presentation. Microwave engineering is experiencing substantial growth and much of this growth is coming from Asia and fueled by demands for wireless connectivity. Around half of the total submissions to this TRANSACTIONS come from Asia. It is clear from these submissions that many countries in Asia are developing a viable microwave industry and establishing a strong research base with unique concepts and ideas. Some of these ideas are brought together in this TRANSACTIONS’ Mini-Special Issue. Authors of papers included in the conference were invited to submit manuscripts to this TRANSACTIONS. Altogether 79 submissions were received, and 12 are included here. An additional paper was accepted, but was not ready in time for production. Papers were reviewed with the same procedure as regular papers. In a departure from past years, an archival conference digest was not produced for APMC’04, but in future years, APMC should return to archival publication of conference papers. This TRANSACTIONS maintains a web site at http://www.mtt. org/publications/Transactions/transactions.htm where Calls for Papers for Special Issues and links to author tools are maintained. Current Calls for Papers are as follows. • Mini-Special Issue on Measurements for Large-Signal Characterization and Modeling of Nonlinear Analog Devices, Circuits, and Systems. Deadline for submission of manuscripts: 15 December 2005. Scheduled publication date: September 2006.

Digital Object Identifier 10.1109/TMTT.2005.854222

• Mini-Special Issue on the 2006 International Conference on Microwave Radar and Wireless Communications (MiKon). Deadline for submission of manuscripts: 1 June 2006; scheduled publication date: February 2007. • Special Issue on the 35th (2005) European Microwave Conference. Deadline for submission of manuscripts: 1 October 2005; scheduled publication date: June 2006. Upcoming Special Issues whose submission dates have passed are as follows. • Special Issue on Ultra-Wideband. Scheduled publication date: April 2006. • Special Issue on Microwave Photonics. Scheduled publication date: February 2006. • Mini-Special Issue on Radio Frequency Integrated Circuits. Scheduled publication date: January 2006. • Special Issue on the 2005 IEEE MTT-S International Microwave Symposium. Scheduled publication date: November 2005. Recent Special Issues have been has follows. • Special Issue on Metamaterial Structures, Phenomena, and Applications. Publication date: April 2005. • Special Issue on Multifunctional RF Systems. Publication date: March 2005. • Mini-Special Issue on the 2004 IEEE RFIC Symposium. Publication date: February 2005. • Mini-Special Issue on the 2004 International Conference on Microwave Radar and Wireless Communications (MiKon). Publication date: February 2005. • Special Issue on the 2004 IEEE MTT-S International Microwave Symposium. Publication date (in three parts): November 2004, December 2004, January 2005. • Mini-Special Issue on Terahertz Electronics. Publication date: October 2004. • Special Issue on Model-Order Reduction Methods for Computer-Aided Design of RF/Microwave and Mixed-Signal ICs and Systems. Publication date: September 2004. • Mini-Special Issue on Ultra-Wideband. Publication date: September 2004. • Special Issue on Medical Applications and Biological Effects of RF/Microwaves. Publication date: August 2004. MICHAEL B. STEER, Editor-In-Chief North Carolina State University Department of Electrical and Computer Engineering Raleigh, NC 27606-7911 USA

0018-9480/$20.00 © 2005 IEEE

2650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Performance of Inter-Chip RF-Interconnect Using CPW, Capacitive Coupler, and UWB Transceiver M. Sun and Y. P. Zhang

Abstract—A novel inter-chip RF-interconnect system operating in the range of 22–29 GHz is described and analyzed in terms of system bit error rate (BER) performance. After characterizing the interconnect channel, plotting the transmitted and received ultrawideband pulses, and estimating the switching noise power density by proposing a novel switching noise attack model, we finally get the results of the system performance. It is shown that the performance degrades with the interconnect distance and the switching noise attacker number. It is concluded that a high data rate at 3.33 Gb/s with a low BER 10 5 over the entire chip of size 2 30 30 mm is achievable with the radiated power density less than 41 dBm/MHz (or the average transmitted power less than 2.85 dBm). Index Terms—Bit error rate (BER), capacitive coupler, coplanar waveguide (CPW), inter-chip RF-interconnect (RFI), ultra-wideband (UWB) radio.

I. INTRODUCTION

S

EMICONDUCTOR technologies continuously scale down feature size to improve the speed of operation. Taking complementary metal–oxide semiconductor (CMOS) technology as an example, the minimum feature size of metal–oxide semiconductor (MOS) transistors has been reduced to 90 nm and the speed of operation has exceeded 100 GHz [1]. Such rapid scaling has two profound impacts. First, it enables a much higher degree of integration. Second, it implies a much greater challenge of the interconnect because the metal wire width and space are greatly reduced and fundamental material limits are approaching [2]. Revolutionary interconnect methods and techniques must be pursued to carry on the fast progress of future ultra large-scale integration (ULSI) technology. At this point, RF-interconnect (RFI) become possible with high-frequency silicon technologies and ever-increasing integrated-circuit (IC) size [3]–[6]. A novel RFI system concept is first proposed in [3]. Its structure is based on RF-transceiver and capacitive coupling over an impedance-matched transmission line, where RF signals are up-linked to the shared broadcasting medium, coplanar waveguide (CPW), or microstrip transmission line (MTL) via transmitting capacitive couplers, then down-linked via receiving capacitive couplers to fulfill the interconnect function. This RFI system structure overcomes the limits of conventional digital interface systems using the direct-coupled interconnect (DCI)

Manuscript received December 17, 2004; revised March 17, 2005. The authors are with the Integrated Systems Research Laboratory, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854213

and the capacitive coupled interconnect (CCI). It improves the signal-to-noise ratio and lowers the signal swing and output consumption while it increases the transmission data rate [4]. For this RFI system structure, it has demonstrated a maximum data rate of 2.2 Gb/s in 0.18- m CMOS technology [4]. However, in [3]–[5], the transceivers of these previous RFI systems are all based on a traditional radio structure. As compared with conventional radios, the UWB radio is much simpler and there is no reference oscillator, frequency synthesizer, voltage-controlled oscillator, mixer, or power amplifier, which directly translates to smaller circuitry overhead and power consumption [7]. The concept of integration of an ultra-wideband (UWB) transceiver into a chip for an intra- and inter-chip wireless interconnect has been proposed in a novel configuration of wireless chip area networks (WCANs) as its physical layer [8]. In [9], the UWB radio is firstly proposed as the transceiver for the inter-chip RFI system using CPW and capacitive couplers. Based on this idea, a novel RFI system structure is proposed to offer an alternative solution for the chip-to-chip interconnect problem. It has the advantage of the small attenuation of the CPW and capacitive coupler channel, as well as the advantage of the UWB radio for short-range communication. In this paper, the performance of this RFI system will be analyzed in detail. The interconnect channel will be characterized and the transmitted and received UWB pulses will be plotted and, after that, a realistic switching noise attack model will be proposed to estimate the system SNR and evaluate the system bit error rate (BER) performance in terms of the different switching noise attack number.

II. SYSTEM STRUCTURE AND PERFORMANCE ANALYSIS Fig. 1 shows the proposed inter-chip RFI system located inside a multichip module (MCM) package to fulfill the interconnect function between digital I/O A and B [9]. It uses UWB radios as transceivers, which comprises a pulse generator, a transmit/receive (T/R) switch, a low-noise amplifier (LNA), a matched filter, and a threshold circuit. In addition, this system features a unique channel, composed by capacitive couplers, and an off-chip, but in-package passive MTL or CPW as a shared broadcasting medium. The transmitted pulse is directly fed to the transmitting capacitive couplers. The information can be transmitted using pulse position modulation (PPM). The received pulse is passed through the matched filter. The original information is then recovered with an adjustable high-gain threshold circuit. The system operates at the 22–29-GHz UWB frequency band. The advantage of this higher band as opposed to the 3.1–10.6-GHz UWB band will be shown below.

0018-9480/$20.00 © 2005 IEEE

SUN AND ZHANG: PERFORMANCE OF INTER-CHIP RFI USING CPW, CAPACITIVE COUPLER, AND UWB TRANSCEIVER

Fig. 1. Inter-chip RFI system architecture.

Fig. 2. Channel model: C is the transmitter’s coupling capacitor, C is the receiver’s coupling capacitor, R is the transmitter’s output resistance, R is the receiver’s input resistance, V is the source signal voltage, d is the distance between the transmitter and receiver, Z is the impedance looked into the CPW, V is the channel’s input voltage, and V is the channel’s output voltage.

A. Characterization of the Interconnect Channel The channel comprises capacitive couplers and a shared CPW. The characteristic of this channel is first analyzed in [3] based on transmission-line theory with some approximation. Here, the channel’s exact transfer function is derived as follows in (1) based on transmission-line theory using the channel model shown in Fig. 2 [9]:

(1) where

is the complex propagation constant of the CPW. Its real part in nepers per meter represents the attenuation constant and its imaginary part in radians per meter represents the phase constant. Based on the simulated frequency-dependant and values in [9], we examined the parameters’ effect on the

2651

amplitude of transfer function , as shown in Fig. 3(a)–(c). The parameters include the distance between the transmitter and re, and the receiver , the coupler capacitance sistance . Note that the value of coupler caand resistance is the same for the transmitter pacitance and receiver because of our system’s bidirectional communication nature. As expected, the amplitude of the transfer function shows the high-pass characteristic. In addition, Fig. 3(a) shows quickly decreases with distance. The that the amplitude of longer distance has the larger attenuation. Fig. 3(b) shows that the coupler capacitance has an important effect on the amplitude of . The smaller capacitance has the larger channel fF is chosen attenuation. Based on this simulation, for our system. Furthermore, it is found that output resistance has a certain effect on the amplitude of . with a small value will cause the fluctuation of the amplitude of in high frequency, as shown in Fig. 3(c). Based on this simulation, we k . The phase of the transfer function in terms of choose distance is also examined in Fig. 3(d). It shows the linear characteristic, and the longer distance has the larger delay. Based on the above observation, we conclude that the CPW and capacitive coupler channel can be regarded as a high-pass filter, which has a linear increased delay with interconnect length. This conclusion reconciles well with the measurement result in [10]. This high-pass characteristic of the channel can greatly reduce the switching noise coupling from the on-chip digital circuitry into the channel at the transmitter end, as illustrated in Fig. 4 [10]. It also explains why the higher UWB 22–29-GHz band is preferable to the lower band. However, for a realistic condition, switching noise will randomly couple to the CPW channel at any point. The more realistic switching noise model will be developed and the according average noise power spectral density (PSD) will be presented in Section II-C. B. Transmitted and Received UWB Pulses The PPM scheme is used in UWB radio. The designed UWB pulse was plotted in [9]. It has 0.25-ns time duration and 7-GHz bandwidth located from 22 to 29 GHz. The expression of transmitted UWB pulses using the PPM scheme was also presented in [9]. PPM delay is optimized as 0.02 ns. Appropriate frame width is chosen to realize the interconnect data rate Gb/s. The peak amplitude of the transmitted pulse is adjusted to change the transmitted energy per bit , e.g., value of 131.4811 dB when is 0.03 V, we obtain the for 22–29-GHz bandwidth and the transmitted power density is less than 41 dBm/MHz. Fig. 5(a) shows the transmitted data, transmitted pulses, and mm. It received pulses with normalized amplitude at is found that the delay is serious. This can be explained by the channel transfer function , which has linear increased delay with interconnect length. One method is developed in simulation to estimate the delay accurately. The result is shown in Fig. 6. As expected, the delay increases with distance. Using this estimated delay to compensate the received signal, we obtain the result, as shown in Fig. 5(b), which confirms the accuracy of delay estimation. Furthermore, the received signal suffers energy loss, as shown in Fig. 6, computed using a time-domain

2652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 3. Amplitude and phase of H versus frequency. (a) Effect of distance: C = 500 fF, R = 5 k . (b) Effect of coupler capacitance: d (c) Effect of resistance: d = 5 mm, C = 500 fF. (d) Effect of distance: C = 500 fF, R = 5 k .

Fig. 4.

Suppression of switching noise at the transmitter end in the RFI.

waveform of the signal after the channel. As expected, the energy loss Gloss increases with distance. C. Switching Noise Attack Model For our system that integrates both the analog radio front end and digital baseband processing circuits, the switching noise produced by the digital circuits may be significant and impact the receiver performance. Two types of switching noise coupling can be considered. The first type is the noise generated by the transistors in digital circuits injecting currents into the common substrate. Its effect on the system can be modeled by the capacitive coupling. The second is the noise capacitively coupled to the CPW in the same layer or from adjacent layers

= 5 mm, R = 5 k .

[11]. Therefore, the switching noise attack of both types can be modeled based on the capacitive coupling mechanism. Fig. 7 on a victim shows the attack by a switching noise source CPW through capacitive coupling at the point . The more realas opposed to a piecewise-linear istic attacker waveform one is proposed in [11] based on the Markov chain and low-pass filter (LPF) model, as shown in Fig. 8. The switching noise ac, whose tivity is modeled by the Markov chain producing PSD is shown as follows in (2), where is the probability that a particular attacker switches and is the shortest delay between state transition: (2) The realistic attack noise waveform is obtained by making pass through a first-order LPF having a gain and a time constant . Its PSD is then derived as (3) For the switching noise attack model shown in Fig. 7, the between and can be exactly transfer function derived based on transmission-line theory according to the three and . The received noise cases

SUN AND ZHANG: PERFORMANCE OF INTER-CHIP RFI USING CPW, CAPACITIVE COUPLER, AND UWB TRANSCEIVER

2653

Fig. 7. Switching noise attack model.

Fig. 8. Markov chain model for the switching activity of attackers. (b) Fig. 5. Transmitted, received, and delay compensated received signal with normalized amplitude at d = 20 mm.

by superposing the contribution of each individual attacker. In for the case of MATLAB, we simulated the average PSD at the number of attacker of 5, 10, and 15, respectively, which is calculated by (5)

Fig. 6.

Received signal’s delay and energy loss versus distance.

PSD at contributed by the single noise attacker obtained by

is then (4)

It is assumed that the position of each attacker is a random variable, which is uniformly distributed in the range of 0 to the is also assumed to be a random CPW’s length . The gain variable having the uniform distribution in the range from 0 to 1. To consider the realistic case of many switching noise attackers to the victim CPW, the total PSD of the noise at is determined

where is the total test number, is the test index, and represents the th switching noise attacker. In every test, a noise source’s coupling gain and coupling position is produced is then calrandomly according to their distribution. at culated according to its position . The simulated the attacker number of 5, 10, and 15, respectively, is shown in increases with the number of Fig. 9. As expected, the has no dc comattacker. It is also worth noting that the ponent and is fairly flat in the frequency range of 22–29 GHz. will be used to esIts average value in this frequency range timate the average bit SNR at the receiver end in Section II-D. The exact transfer function and realistic switching noise attack model presented here makes it possible to realistically model the switching noise PSD on the victim line, which will provide important information to evaluate our system’s performance. D. BER Performance For an inter-chip interconnect within a package, the signal is only contaminated by thermal noise and switching noise. The has been presented in expression of the thermal noise PSD [9] based on the receiver noise figure . It is shown that

2654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

and BER versus distance for the The average bit SNR different attacker number are shown in Fig. 10. The paramedB, dB, and ters used in simulation are dB and the peak amplitude of the transmitted pulse is adjusted to 0.03 V. As expected, decreases with distance and the attacker number. The BER increases with distance and the attacker number. It is concluded that a high interconnect data over the entire chip rate at 3.33 Gb/s with a low of size 30 30 mm is achievable with the radiated power density less than 41 dBm/MHz (or the average transmitted power less than 2.85 dBm).

III. CONCLUSION Fig. 9.

Average switching noise PSD versus frequency.

A novel inter-chip RFI system operating in the range of 22–29 GHz has been described and analyzed in terms of system BER performance. This system features a channel comprised by the CPW and capacitive couplers. It also features an UWB radio as the transceiver. For this system, the transmitted UWB pulse is designed and the transfer function of the interconnect channel is derived; after that, a realistic switching noise attack model is proposed to estimate the system SNR and evaluate the system BER performance in terms of the different attack number. As expected, the BER increases with distance and the attacker number. It is concluded that a high data rate at over the entire chip of size 3.33 Gb/s with a low 30 30 mm is achievable with the radiated power density less than 41 dBm/MHz (or the average transmitted power less than 2.85 dBm).

Fig. 10. Average bit SNR and BER versus distance for a different attacker number.

is 6.6 dB in the lower band and 8.6 dB in the upper band for a CMOS UWB radio operating from 3.1 to 10.6 GHz [12], [13]. can be reasonably assumed to be 15 dB. The Thus, here, simulated average switching noise PSD was obtained in Section II-C as . The average bit SNR at the receiver end is then shown as follows: (6) where is the received average energy per bit calculated using the expression presented in [9] based on the gain of the and the implementation margin . receiver The BER of our system using PPM modulation is then obtained as a -function [9]

(7) (8) is the received pulse corresponding to our designed where without delay and the PPM delay is optimized as pulse 0.02 ns to obtain the best BER performance.

REFERENCES [1] International Technology Roadmap for Semiconductors (ITRS), 2002 Update, SIA. [2] R. H. Havemann and J. A. Hutchby, “High-performance interconnects: An integration overview,” Proc. IEEE, vol. 89, no. 5, pp. 586–601, May 2001. [3] M. F. Chang, V. P. Roychowdhury, L. Zhang, S. Hyunchol, and Y. X. Qian, “RF/wireless interconnect for inter- and intra-chip communications,” Proc. IEEE, vol. 89, no. 4, pp. 456–466, Apr. 2001. [4] H. Shin, Z. Xu, and M. F. Chang, “RF-interconnect for multi-Gb/s digital interface based on 10 GHz RF-modulation in 0.18 m CMOS,” IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, pp. 477–480, Jun. 2002. [5] H. Shin and M. F. Chang, “1.1 Gbit/s RF-interconnect based on 10 GHz RF-modulation in 0.18 m CMOS,” Electron. Lett., vol. 38, no. 2, pp. 71–72, Jan. 2002. [6] Y. P. Zhang, “Bit-error-rate performance of intra-chip wireless interconnect systems,” IEEE Commun. Lett., vol. 8, no. 1, pp. 39–41, Jan. 2004. [7] J. Foerster, E. Green, S. Somayazulu, and D. Leeper, “Ultra-wideband technology for short- or medium-range wireless communications,” Intel Technol. J. Q2, pp. 1–11, 2001. [8] Y. P. Zhang, “Wireless chip area network: A new paradigm for antennas, RF(MM)IC’s, and communications,” presented at the Asia–Pacific Microwave Conf., 2004. [9] M. Sun and Y. P. Zhang, “Inter-chip RF-interconnect using CPW, capacitive coupler and UWB transceiver,” presented at the Asia–Pacific Microwave Conf., 2004. [10] H. Shin, Z. Xu, K. Miyashiro, and M. F. Chang, “Estimation of signal-tonoise ratio improvement in RF-interconnect,” Electron. Lett., vol. 38, no. 25, pp. 1666–1667, Dec. 2002. [11] M. Saint-Laurent, Z. Ajmal, M. Swaminathan, and J. D. Meindl, “A model for interlevel coupling noise in multilevel interconnect structures,” in Interconnect Technol. Conf., vol. 4–6, Jun. 2001, pp. 110–112. [12] IEEE Standard 802.15-03/139r5, 2003. [13] IEEE Standard 802.15-03/334r3, 2003.

SUN AND ZHANG: PERFORMANCE OF INTER-CHIP RFI USING CPW, CAPACITIVE COUPLER, AND UWB TRANSCEIVER

M. Sun was born in Gansu, China, in 1980. She received the B.S. degree in electrical and information engineering from the Hunan University, Hunan, China, in 2000, the M.S. degree in electronic engineering from the Beijing Institute of Technology, Beijing, China, in 2003, and is currently working toward the Ph.D. degree in electrical and electronic engineering at Nanyang Technological University, Singapore. Her research interests include intra- and inter-chip RF wireless communication system simulation and implementation and integrated antenna design for wireless communication.

2655

Y. P. Zhang received the B.E. degree from Taiyuan Polytechnic Institute, Shanxi, China, in 1982, the M.E. degree from and the Shanxi Mining Institute of Taiyuan University of Technology, Shanxi, China, in 1987, and the Ph.D. degree from the Chinese University of Hong Kong, Hong Kong, in 1995, all in electronic engineering. From 1982 to 1984, he was with the Shanxi Electronic Industry Bureau. From 1990 to 1992, he was with the University of Liverpool, Liverpool, U.K. From 1996 to 1997, he was with the City University of Hong Kong. From 1987 to 1990, he was with the Shanxi Mining Institute. From 1997 to 1998, he was with the University of Hong Kong. In 1996, he became a Full Professor with the Taiyuan University of Technology. He is currently an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He currently guides a research group with the Integrated Systems Research Laboratory, School of Electrical and Electronic Engineering, Nanyang Technological University, to develop radio technologies for inter- and intra-chip wireless interconnection, communications, and networking. He has been involved in the areas of propagation of radio waves, characterization of radio channels, miniaturization of antennas, and implementation of wireless communications systems. He is listed in Marquis ’ Who’s Who in Science and Engineering and Cambridge University Press’s IBC 2000 Outstanding Scientists of the 21st Century. He serves on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering and was a Guest Editor of the journal for the “Special Issue on RF and Microwave Subsystem Modules for Wireless Communications.” Prof. Zhang was the recipient of the 1990 Sino-British Technical Collaboration Award for his contribution to the advancement of subsurface radio science and technology. He was also the recipient of the 2000 Best Paper Award presented at the Second International Symposium on Communication Systems, Networks and Digital Signal Processing, Bournemouth, U.K.

2656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Tapered Dual-Plane Compact Electromagnetic Bandgap Microstrip Filter Structures Shao Ying Huang, Student Member, IEEE, and Yee Hui Lee, Member, IEEE

Abstract—In this paper, the designs of two novel tapered dual-plane compact electromagnetic bandgap (C-EBG) microstrip filter structures are presented. With the dual-plane configuration, the proposed structure displays an ultrawide stopband with high attenuation within a small circuit area. Chebyshev distribution is adopted to eliminate ripples in the passband caused by the periodicity of the EBG structure. This gives rise to a compact EBG structure that exhibits excellent transmission and rejection characteristics in the passband and the stopband, respectively. The proposed structures are implemented and the measurement results are found to be in good agreement with the simulation results, verifying the excellent stopband and passband performance obtained using the proposed configuration. These novel structures are easy to fabricate and are promising structures that have wide applications for compact and high performance circuit component designs in microwave circuits. Index Terms—Bandstop filters, dual-plane, electromagnetic bandgap (EBG) structures, low sidelobe array theory, microstrip filters, tapering functions, tapering techniques.

I. INTRODUCTION

E

LECTROMAGNETIC bandgap (EBG) structure has been a term widely accepted nowadays to name the artificial periodic structures that prohibit the propagation of electromagnetic waves at microwave or millimeter wave frequencies. The three-dimensional (3-D) artificial periodic bandgap structure was introduced at optical frequencies [1]–[3] and known as photonic bandgap (PBG) structure. Due to the scalability of the periodic structure, relevant research work has progressed into the field of microwave, millimeter wave, and infrared. The unique feature of EBG structures is the existence of the bandgap where electromagnetic waves are not allowed to propagate. It has been widely applied as the substrate of planar microwave circuits such as patch antennas to suppress the surface waves [4], [5] and power amplifiers to reduce the harmonics [6]. The planar EBG structure was introduced in [7] where planar periodic elements are etched in the ground plane. It has the advantage of ease of fabrication and yet capable of maintaining a similar control on the wave propagation in the structure to that in the EBG structure with 3-D periodic elements. The only drawback of planar structures is that they are not able to prohibit the wave propagation in the entire 3-D space. Nevertheless, planar EBG structures are compatible with microstrip circuits thus making them attractive as microstrip filters. Manuscript received December 9, 2004; revised May 26, 2005. The authors are with the School of Electrical and Electronic Engineering, Nanyang Technological University, 639798 Singapore (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854212

A microstrip line with an array of patches etched in the ground plane exhibits a prominent bandgap in the transmission along the conducting line [7]. Due to the high confinement of electromagnetic waves around the transmission line, the well-known one-dimensional (1-D) EBG microstrip reflector was proposed [8] where a single column of etched periodic elements below the line is sufficient to obtain a bandgap when the Bragg reflection condition is satisfied. A bandgap can be obtained in the EBG microstrip structure when the geometry of the etched patch is changed or when the periodic elements are introduced in the microstrip line [9], [10]. Defected ground structure (DGS) has a defect with unique geometry and is able to exhibit an attenuation pole even with a single unit [11]. Several approaches have been taken to enhance the stopband performance of 1-D planar EBG microstrip Bragg reflectors while maintaining a reasonable ripple level in the passband and keeping a small physical size. For example, in order to design a high performance bandstop filter that exhibits a wide stopband with high attenuation in a relatively small physical area, a meander 1-D EBG microstrip structure was proposed [12]. In [13], a compact EBG bandstop filter structure with a wide stopband was proposed by combining a 1-D periodic DGS and a modulated microstrip line. This structure is able to introduce an increase in the bandwidth of the stopband while maintaining a small ripple level in the lower passband. A novel tapered dual-plane compact EBG (C-EBG) microstrip filter structure has been introduced in [14]. Due to the dual-plane configuration and the adopted tapering technique, the proposed structure exhibits superior passband and stopband characteristics. In this paper, the characteristics of the novel unique dual-plane configuration of the proposed design are studied in detail. A comprehensive study on the tapering techniques in the application for 1-D EBG microstrip structures is presented including formulas and a comparison of the effects of different tapering techniques. In Section II, the two single-plane EBG structures that are used to construct the proposed C-EBG structures are studied. The relative location of the single-plane EBG structures and its effects on the performance of the C-EBG structure are examined and analyzed. A comparison between the performances of the proposed structure and its associated single-plane EBG structures is included. In Section III, a systematical study on tapering techniques is presented. In Section IV, two novel tapered dual-plane C-EBG microstrip filter structures with significant elimination of ripples are proposed by adopting the Chebyshev distribution. The proposed C-EBG structures were fabricated and tested. Experimental results are presented in the same section.

0018-9480/$20.00 © 2005 IEEE

HUANG AND LEE: TAPERED DUAL-PLANE COMPACT ELECTROMAGNETIC BANDGAP MICROSTRIP FILTER STRUCTURES

where

2657

is the guided wavelength and is given by (3)

where is the center frequency of the stopband, is the effective permittivity of the substrate material, and is the speed of light in free space. From (1) and (2), the period equals as expressed by the following half of the guided wavelength equation: (4)

Fig. 1. Schematic of the proposed dual-plane C-EBG microstrip structure. (a) 3-D view. (b) Top view.

II. DUAL-PLANE COMPACT EBG (C-EBG) MICROSTRIP FILTER STRUCTURE Fig. 1(a) and (b) shows the 3-D schematic and top view of the proposed dual-plane compact EBG (C-EBG) microstrip structure, respectively. As can be seen in Fig. 1(a), the proposed structure consists of the two single-plane EBG structures. One of which is a ground plane with etched circles, while the other is a modulated microstrip line. Between these two planes, there and a is a dielectric material with a relative permittivity of thickness of . A. Single-Plane EBG Microstrip Structures The single-plane EBG microstrip structure consisting of a single column of circles with uniform dimension etched in the ground plane below a simple straight transmission line is a typical 1-D planar EBG microstrip structure. By etching circles in the ground plane, the coupling between the transmission line and the ground plane is reduced and therefore additional inductance is seen by the microstrip line. This 1-D planar EBG structure exhibits a bandgap when the Bragg reflection condition is satisfied [8]. The distance between the centers of two adjacent circles defines the period of the structure . According to the Bragg reflection condition, the period is approximated by the following expression: (1) where is the guided wavenumber in the substrate material and is described by the following equation: (2)

The radius of the circle is represented by . The ratio of and is called filling factor which is used to indicate the relative size of the EBG cell to the period of the structure. In the case where there is no overlap between any two adjacent circles, it ranges from 0 to 0.5. For an EBG structure with uniform distribution, Radisic et al. [7] have shown that a large filling factor introduces a wide and deep stopband while increasing the ripple is found level in the passband. In [7], the optimal value of to be 0.25 where a good compromise between the stopband and passband performance can be obtained. The other single-plane EBG structure used is the modulated microstrip line with no etched holes in the ground plane, as can be seen on the top of the proposed C-EBG structure (Fig. 1). It is a modulated microstrip line with rectangular patches periodically inserted in it. A prominent stopband can be obtained in the transmission of this microstrip line. In Fig. 1(b), the length and width of the patch is represented , respectively. The width of the microstrip line is by and . In this EBG structure, the variation range of is expressed as (5) With a width that is larger than the width of the microstrip line, the EBG unit increases the coupling between the microstrip line and the ground plane, and accordingly increases the capacitance. Moreover, these patches guarantee the power-handling capability of the transmission line. The structure also satisfies the Bragg reflection condition and therefore, the period of the can also be determined by (4). structure B. Dual-Plane C-EBG Microstrip Structure Design The proposed C-EBG structure is designed by superposing the two single-plane EBG structures mentioned above to obtain a dual-plane configuration, resulting in a structure shown in Fig. 1. The center frequency of the EBG structure on each plane equals . As can be is identical. According to (3) and (4), seen in Fig. 1(b), with the dual-plane arrangement, the proposed C-EBG structure is able to increase the variation of reactance in the longitudinal direction due to the additional equivalent inductance and capacitance introduced by the etched circles and the inserted patches, respectively. Therefore, its stopband performance can be enhanced. In this C-EBG structure, the relative location between the two single-plane EBG structures is the key factor in deciding the enhancement of the stopband performance.

2658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I SIMULATED PERFORMANCES OF DUAL-PLANE C-EBG STRUCTURES.

Fig. 2. Simulated S parameters of the dual-plane C-EBG structures with a varying d and d = 5:18 mm.

C. Effects of Relative Location Between the Two Single-Plane EBG Structures Due to the dual-plane configuration, the relative location of the single-plane EBG structure on each plane ( and ) has significant effects on the performance of the proposed structure. The transversal alignment offset and the longitudinal offset are illustrated in Fig. 1(b). is defined as the distance between the central axis of the circles and the longitudinal center line of the modulated microstrip line in the transversal direction. is defined as the short distance between the centers of the adjacent etched circle and rectangular patch in the longitudinal direction. It ranges from 0 to , and periodically repeats anywhere else. and indicate the relative location between the two single-plane EBG structures in the transversal and the longitudinal direction, respectively. The method-of-moments (MOM)-based software, Zeland IE3D is used to simulate the proposed dual-plane C-EBG microstrip structure (Fig. 1). The simulation model consists of a microstrip line with five inserted square patches and a ground plane with six etched circles. The substrate used is Taconic with a dielectric constant of 2.43 and a thickness of 30 mils. To operate in the -band range, the center frequency of each single-plane EBG structure is set to be 10 GHz. Therefore, according to the Bragg reflection condition, the period of the EBG structure and are both 10.35 mm. The width of the microstrip line is set to 2.29 mm, corresponding to at 10 GHz. The filling a characteristics impedance of 50 factor is set to its optimal value of 0.25. Using this optimal filling factor, the radius of the circle is determined to be 2.59 mm. The length and the width of the inserted patch in the microstrip line and are both fixed to 5 mm. Fig. 2 shows the simulated parameters of the C-EBG structures with a fixed mm and a varying mm mm mm . As can be seen in Fig. 2, as increases, the 10-dB stopband bandwidth reduces from 7.91 to 5.70 to 3.55 GHz. The C-EBG structure with circles etched exactly below the microstrip line ( mm) shows the largest stopband bandwidth. The performances of these three C-EBG structures are tabulated in Table I. As shown in the table, a decrease in gives rise to an increase in both the bandwidth and the attenuation within the stopband. However,

Fig. 3. Simulated S parameters of the dual-plane C-EBG structures with a varying d and d = 0 mm.

as decreases, the ripple level in both the higher and the lower passband increases. It is also observed that due to the higher insertion loss at high frequencies, the ripple level in the higher passband is always larger than that in the lower passband. The results reveal that in the proposed dual-plane C-EBG structure, an increase in the transversal alignment offset degrades the stopband performance significantly with only a slight improvement in the passband performance. This is because the equivalent inductance introduced by the etched circles diminishes with an increase in due to the high confinement of fields around the microstrip line. mm is the optimal location to significantly enhance the stopband performance because it gives the largest variation of equivalent reactance in the C-EBG structure. Fig. 3 depicts the simulated parameters of the dual-plane C-EBG structures with a fixed mm and a varying mm mm mm . When mm, there is no overlap between the etched circle in the ground plane and the inserted patch in the microstrip line; when mm, the etched circle partially overlaps the inserted patch; and when mm, they almost totally overlap each other. As can be seen in the figure, when mm, no stopband is observed since the additional capacitive effect introduced by the inserted square patches cancels the inductive effect introduced by the circles of similar size etched in the ground plane. The performances of the two C-EBG structures with a stopband are included in Table I. As shown in the table, when is decreased from 5.18 to 2.59 mm, the stopband performance of the C-EBG structure is

HUANG AND LEE: TAPERED DUAL-PLANE COMPACT ELECTROMAGNETIC BANDGAP MICROSTRIP FILTER STRUCTURES

degraded in terms of bandwidth and attenuation. Its ripple level in the higher passband increases while its performance in the lower passband is slightly improved. The results obtained imply that the longitudinal offset considerably affects the stopband performance of the proposed dual-plane C-EBG microstrip structure while its effect on the passband performance is minor. The bandwidth and attenuation of the stopband decrease with a decreasing . This is because the reduction in the longitudinal offset introduces a section of microstrip line without the inductive effect, and an overlap between the etched circle and the inserted patch where the inductive effect introduced by the etched circle cancels out the capacitive effect introduced by the inserted patch. It equivalently decreases the variation of reactance along the transmission line, thus degrades the stopband performance. From the analysis, the optimal configuration of the C-EBG structure happens when there is no overlap between the EBG units on the two different planes. In the design of the proposed dual-plane C-EBG structure, a large variation of equivalent reactance can only be obtained when both the longitudinal offset and the dimension of EBG units on both planes are properly determined. In the C-EBG (or ) and a fixed radius of the structure with set to circle , the length of the inserted patch is determined by the following expression: (6) to avoid any overlap between the etched circle and the inserted patch. The variation of equivalent reactance along the microstrip line is proportional to when is fixed. Thus, when , the variation is maximized and a good stopband performance mm, mm, and can be obtained. With mm, the proposed dual-plane C-EBG structure satisfies the condition in (6). It has the optimal relative location of the two . Therefore, it single-plane EBG structures and a shows a highly attenuated stopband with a large bandwidth. D. Comparison Between Single-Plane and Dual-Plane EBG Structures With the dimension of the EBG units set previously, the two single-plane EBG structures; the straight microstrip line with six circles etched in the ground plane (SP-six-circle); the modulated microstrip line on a smooth ground plane (SP-five-patch); and the proposed dual-plane C-EBG structure with the optimal relative location are simulated and compared. Fig. 4 shows the parameters of all three structures. As can be seen simulated from the figure, SP-five-patch EBG structure has a 10-dB bandwidth of 3.27 GHz with an attenuation of 20.17 dB, a ripple level of 1.60 dB in the lower passband, and a ripple level of 2.55 dB in the higher passband. The bandwidth performance of this structure is poor, however, its ripple level performance is very promising. The 10-dB bandwidth and attenuation of SP-sixcircle EBG structure are 4.98 GHz and 34.24 dB, respectively, while its ripple level in the lower passband is 2.77 dB and that in the higher passband is 6.55 dB. This structure although performs well in terms of bandwidth, it is inferior in terms of ripple level. When the two single-plane EBG structures are superposed in a compact dual-plane configuration (as shown in Fig. 1), the

2659

Fig. 4. Simulated S parameters of the dual-plane C-EBG structure and two single-plane EBG structures.

proposed dual-plane C-EBG microstrip structure shows a bandwidth and an attenuation within the stopband that are much larger than those shown by the two single-plane EBG structures. With only six EBG cells, this proposed structure is able to achieve a 10-dB bandwidth of 7.91 GHz with a center frequency of 10 GHz. This is extremely difficult to realize by using any single-plane EBG structure (Fig. 4). As compared to the meander 1-D EBG microstrip structure proposed in [12], the C-EBG microstrip structure is able to obtain a comparable stopband performance within a much smaller circuit area. Although the C-EBG structure exhibits good stopband performance, it suffers in terms of its ripple level. In this structure, the ripple level increases to 3.97 dB in the lower passband and 8.80 dB in the higher passband. Like many other conventional methods to enhance a stopband in an EBG structure, the proposed dual-plane C-EBG structure introduces an increase in the bandwidth with high attenuation within the stopband at the expense of a high ripple level in the passband. Since the bandwidth and attenuation performance of the proposed structure is greatly enhanced, it allows a compromise in the stopband for a reduction in ripple level. As effective means to optimize the performance of an EBG structure in terms of its transmission in the passband, tapering techniques are to be used to tailor the high ripple level in the proposed C-EBG structure.

III. TAPERING TECHNIQUES Tapering techniques are effective for eliminating ripples in the passband caused by the periodicity in an EBG microstrip structure. In some tapered EBG microstrip structures, tapering techniques are applied by directly adopting tapering functions (such as Hamming tapering function [15] and Kaiser tapering function [16]) to modify the dimension of the periodic elements. The effects of adopting Bartlett, Hanning, Hamming, Nuttall, and Kaiser tapering function on the transmission of a 1-D planar EBG microstrip structure are compared and studied in [17]. The other approach to taper EBG structures is base on low sidelobe array theory [18] including Binomial array, Dolph–Tschebyscheff array (Chebyshev array), and Taylor

2660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

array. The significant effects of Binomial and Chebyshev distribution on the passband performance of an EBG microstrip structure have been shown by Karmakar et al. [19]. The effect of the Taylor distribution on the performance of a 1-D EBG coplanar waveguide (CPW) structure was shown in [20]. For a 1-D planar EBG microstrip structure with a single column of circles etched in the ground plane of a microstrip line, the distribution of the dimension of the circle follows the following expression:

TABLE II TAPERING FUNCTIONS

(7) when tapering functions are applied, and (8) when low sidelobe array theory is applied. is an integer with a in the case that is an even number and range from 1 to in the case that is an odd number. is the from 1 to radius (or the area) of the th circle, is the radius (or the area) is the tapering function, and of the central circle. In (7), is the normalized distance between the center of the th circle and the center point of the structure that can be determined by the following expression: (9) where is the distance between the center of the th circle and is the total length the center point of the microstrip line and of the EBG microstrip structure. In (8), is the th coefficient in the array. In the case that is even, the central circle does not exist but it is used to determine the dimension of the circle when employing tapering functions. Whereas when the low sidelobe array theory is applied, the two circles at the center are regarded as central circles in the calculation [9]. Table II shows some popular tapering functions used in EBG technology and their plots. In the Kaiser tapering function, is the modified Bessel function of the first kind. The variance in the Gaussian tapering function is generally set to 1 for a normal distribution. According to the definition, the value of the function varies in the range from 0 to 1 corresponding to with the exception in Kaiser tapering function where . The coefficients of a Binomial array are determined by the as series binomial expansion of the function

(10) The positive coefficients of the series expansion for a value of can be used for tapering in a -element 1-D EBG microstrip structure. The Binomial array has wide variations between the coefficients, especially for an array with a large number of elements. Chebyshev array is a compromise between uniform and Binomial array. Its coefficients are related to Tschebyscheff polynomial that satisfies the recurrence relations expressed as follows: (11) (12)

where

. Letting

is expressed as (13)

The tapering techniques mentioned above are applied to the six-cell single-plane EBG microstrip structure with circles etched in the ground plane of a microstrip line that is one of the two single-plane EBG structures used to construct the proposed C-EBG structure (Fig. 1). Their effects on the performance of the EBG structure are studied. Taconic ( mils) is used as the substrate material of the structure. The center frequency of the stopband is 10 GHz giving a period of the structure mm, according to the Bragg reflection condition. The width of the microstrip is 2.29 mm corresponding to a characteristics impedance of 50 at 10 GHz. is determined to be [0.182, 0.545, 0.909] for the tapering functions. To determine the coefficients in Binomial and Chebyshev array, the element number is set to six. For Chebyshev array, the major-to-minor lobe ratio is fixed at 25 dB. Table III shows the corresponding values of every tapering function and the normalized coefficients of the arrays.

HUANG AND LEE: TAPERED DUAL-PLANE COMPACT ELECTROMAGNETIC BANDGAP MICROSTRIP FILTER STRUCTURES

TABLE III VALUE OF TAPERING FUNCTIONS AND NORMALIZED COFFICIENTS

The area of the circle is tapered according to (7) or (8) where of the central circle is set to be 0.25. the filling factor All tapered EBG structures are simulated. Each tapered EBG structure exhibits a stopband centered at 10 GHz although the bandwidth and attenuation within the stopband, and the ripple level in the passband vary from one to another. Some structures show similar transmission characteristics, thus, they are classified together to form two groups. Table IV shows the performances of structures in these two groups in terms of bandwidth and attenuation of the stopband, ripple levels in the passbands, parameter. As can be seen in and sidelobe levels in the the table, structures in Group One are good in terms of ripple level performance but poor in terms of stopband performance. The stopband performances of the structures in Group Two are better than those in Group One but the ripple levels are higher in the Cosine and Welch tapered EBG structure. The Kaiser tapered EBG structure in Group Two has the lowest ripple level of 0.08 dB in the lower passband and 2.4 dB in the higher passband. Therefore, it is able to tolerate an increase in the ripple level for an enhancement of the stopband. The superior transmission in the passband of the Kaiser tapered EBG structure is indicated by its low sidelobe levels of the parameter in Fig. 5. Fig. 6 shows the simulated parameters of the tapered 1-D EBG microstrip structures (the Blackman, Binomial, Kaiser, Chebyshev, and Gaussian tapered EBG structure) and that of the EBG structure with a uniform distribution. Binomial and Kaiser tapered EBG structure are chosen as representatives of their groups. As shown in the figure, the structure with a uniform distribution displays a good bandwidth performance while exhibiting high ripple levels in both passbands. As can be seen, all tapered structures have a significant reduction in the ripple level in both passbands. However, this comes at the expense of a reduction in both the bandwidth and attenuation within the stopband. In all tapered structures, the Gaussian tapered EBG structure behaves the most similarly to the structure with a uniform distribution. The Blackman tapered EBG structure has the lowest ripple levels (0.16 dB in the lower passband and 1.9 dB in the higher passband). However, its bandwidth and attenuation are greatly reduced. It is observed that for the performance of tapered EBG structures, the reduction in the ripple level in the passband

2661

is commonly proportional to the reduction in the bandwidth and attenuation of the stopband. Nevertheless, the Chebyshev tapered EBG structure exhibits a 4.3-GHz-wide stopband at 10 dB with an attenuation of 24.36 dB, a ripple level of 0.17 dB in the lower passband, and a ripple level of 2.9 dB in the higher passband. This is similar to the performance of the Kaiser tapered EBG structure in the sense that they are both able to obtain a low ripple level while maintaining a relatively wide and deep stopband. Although their stopband performances are not the best, the very low ripple level in the passband enables them to obtain a good tradeoff between the ripple level in the passband, and the bandwidth and attenuation of the stopband. As compared to Kaiser tapered EBG structure, the Chebyshev tapered EBG structure has higher ripple levels in both passbands, but smaller transition width from the passband to the stopband that implies a higher selectivity [19]. IV. TAPERED DUAL-PLANE C-EBG MICROSTRIP FILTER STRUCTURE A. Design and Numerical Simulation To eliminate the ripple in the passband due to the periodicity in the dual-plane C-EBG structure proposed in Fig. 1, the Chebyshev distribution is adopted to taper the dimension of the EBG unit and two tapered C-EBG structures are proposed; the ground-tapered C-EBG structure and the double-tapered C-EBG structure. Fig. 7 shows the schematic of the ground-tapered C-EBG structure where the area of the etched circles at two ends of the structure are tapered. The coefficients of the six-element Chebyshev array obtained previously (Table III) are used in the design. Amplitude 1 corresponds to the area of 0.25. of the two central circles with a filling factor Accordingly, the radius of the circle from the left to the right is 1.61, 2.21, 2.59, 2.59, 2.21, and 1.61 mm, respectively. Fig. 8 shows the schematic of the double-tapered C-EBG structure in which the dimensions of the EBG units in both the ground plane and the microstrip line are tapered by applying the Chebyshev distribution. Besides the six-element Chebyshev array that is applied to tailor the dimension of the etched circle in the ground plane, the coefficients of the five-element Chebyshev array are used to taper the area of the square patch in the microstrip line. With a major-to-minor lobe ratio set to be 25 dB, its normalized coefficients are determined to be 1, 0.797, and of the central patch are both set to 5 mm. Ac0.393. and , and can be determined to be 4.46, cordingly, 4.46, 3.13, and 3.13 mm, respectively. Fig. 9 shows the simulated S-parameters for the ground-tapered C-EBG structure (Fig. 7), the double-tapered C-EBG structure (Fig. 8), and the proposed uniform C-EBG structure (Fig. 1). As can be seen in Fig. 1(a), the uniform C-EBG structure shows a 25-dB bandwidth of 7.00 GHz with an attenuation of 50.71 dB. The ground-tapered C-EBG structure has a 25-dB bandwidth of 6.05 GHz with an attenuation of 67.71 dB. This is a reduction of about 14% of bandwidth shown by the uniform C-EBG structure. However, as compared to the uniform C-EBG structure, the ground-tapered C-EBG structure has a ripple level that is significantly lowered from 3.97 to 0.92 dB in the lower passband and from 8.80 to 3.42 dB in the higher passband. For the ground-tapered C-EBG structure, its stopband performance

2662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE IV PERFORMANCE OF TAPERED 1-D EBG MICROSTRIP STRUCTURES

Fig. 8. Schematic of the ground-tapered C-EBG structure adopting the Chebyshev distribution.

Fig. 5. Simulated in Group Two.

S

parameters of tapered 1-D EBG microstrip structures

Fig. 6. Simulated S parameters of tapered 1-D EBG microstrip structures and the EBG structure with a uniform distribution.

Fig. 7. Schematic of the ground-tapered C-EBG structure adopting the Chebyshev distribution.

Fig. 9. Simulated S-parameters of the tapered and uniform dual-plane C-EBG microstrip structure. (a) S . (b) S .

is slightly degraded by the adopted tapering technique. However, its ripple level is significantly lowered, which implies an excellent transmission in the passband.

In the same figure, the double-tapered C-EBG structure exhibits a stopband with a 25-dB bandwidth of 5.05 dB and an attenuation of 41.54 dB. Its ripple level in the lower passband is

HUANG AND LEE: TAPERED DUAL-PLANE COMPACT ELECTROMAGNETIC BANDGAP MICROSTRIP FILTER STRUCTURES

2663

0.16 dB whereas that in the higher passband is 3.20 dB. Comparing the double-tapered C-EBG structure to the ground-tapered C-EBG structure, its performance is improved in terms of ripple levels in both passbands. However, the bandwidth and attenuation of its stopband are reduced. Although the stopband performances of both tapered C-EBG structures are degraded, their bandwidth and attenuation are still larger than those in the single-plane EBG structures (Fig. 4). Fig. 9(b) shows their parameters. It is observed that both tapered C-EBG structures show much lower sidelobe levels than those of the uniform structure, which implies the smooth transmission in the passband. The double-tapered C-EBG structure has the best performance of the three structures in terms of ripple level in the passband while its stopband performance is the worst. As revealed by the results above, the ripple level of the proposed C-EBG structure can be tailored by applying a tapering technique to taper the dimension of EBG units on different planes, which comes with degradation of the stopband performance. A double-tapered C-EBG structure is able to obtain a lower ripple level than that shown in a single tapered C-EBG structure such as the ground-tapered C-EBG structure. With the unique dual-plane configuration, the filtering functionality of the proposed C-EBG structure can be adjusted to meet different requirements in various applications. B. Measurement Results Both the proposed ground-tapered and double-tapered five-patch six-circle dual-plane C-EBG microstrip structure were fabricated and tested. Fig. 10(a) and (b) shows the modulated microstrip line and the ground plane with etched circles of the fabricated structures, respectively. These structures are highly compact with a dimension of 70 mm by 26 mm as shown in Fig. 10. Figs. 11 and 12, show the simulated and measured S-parameters of the fabricated ground-tapered C-EBG structure and double-tapered C-EBG structure, respectively. As can be seen from the measurement results in the two figures, with only six EBG cells, the ground-tapered C-EBG structure is able to achieve a 25-dB bandwidth of 5.98 GHz at 10 GHz, with an attenuation of 39.00 dB, a ripple level of 1.56 dB in the lower passband, and a ripple level of 4.68 dB in the higher passband whereas the fabricated double-tapered C-EBG structure exhibits a 25-dB bandwidth of 4.87 GHz, an attenuation of 52.9 dB, a ripple level of 0.51 dB in the lower passband, and a ripple level of 5.47 dB in the higher passband. An excellent agreement between the measurement and the simulation results have been obtained in both the proposed tapered dual-plane C-EBG structures. The slight difference is probably due to the effect of SMA connectors, the lack of material uniformity and cell uniformity, the over etching, and the nonideal soldering. The infinite ground plane assumed in the simulation is another possible cause for the difference. Both proposed tapered dual-plane C-EBG structures demonstrate the advantages of large bandwidth, high attenuation, smooth passband, compact size, and easy fabrication process. The ground-tapered C-EBG structure is able to obtain an ultrawide stopband with very high attenuation and relatively small ripple levels in both passbands whereas the double-tapered C-EBG structure shows an extremely small ripple level in the passband but a relatively smaller stopband bandwidth and

Fig. 10. Photographs of the fabricated ground-tapered dual-plane C-EBG microstrip structure (upper) and the fabricated double-tapered dual-plane C-EBG microstrip structure (lower). (a) Microstrip line. (b) Ground plane.

Fig. 11. Simulated and measured S-parameters of the ground-tapered C-EBG microstrip structure.

attenuation. Due to their common characteristics and the unique feature of each structure, these two proposed structures can be

2664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 12. Simulated and measured S-parameters of the double-tapered C-EBG microstrip structure.

easily applied to various circuit applications to meet different requirements on the passband and the stopband performance. V. CONCLUSION In this paper, the design and implementation of two novel tapered dual-plane C-EBG microstrip filter structures have been presented. Due to the unique dual-plane arrangement, the novel structure has an ultrawide stopband with a large bandwidth of above 4.8 GHz at 25 dB and high attenuation of beyond 35 dB within a compact physical size. Its ripple level in the passband is well tailored by adopting the Chebyshev distribution, and therefore, the tapered C-EBG structure exhibits an excellent transmission in the passband. The proposed structure is easy to fabricate and is compatible with MMIC technology. Both tapered C-EBG structures were fabricated and tested. The measurement results are in good agreement with the simulation results. The novel design of this structure is able to achieve high performance as a bandstop filter with superior passband and stopband characteristics. The proposed 1-D EBG dual-plane configuration can be further employed in other applications for compact microwave circuits. For example, it can be used as the reflectors in the design of resonators or for the coupling elimination of two close microstrip lines. ACKNOWLEDGMENT The authors would like to thank the anonymous reviewers for their valuable suggestions.

[5] R. Gonzalo, P. D. Maagt, and M. Sorolla, “Enhanced patch-antenna performance by suppressing surface waves using photonic-bandgap substrates,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 11, pp. 2131–2138, Nov. 1999. [6] V. Radisic, Y. Qian, and T. Itoh, “Broad-band power amplifier using dielectric photonic bandgap structure,” IEEE Microw. Guided Wave Lett., vol. 8, no. 1, pp. 13–14, Jan. 1998. [7] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [8] F. Falcone, T. Lopetegi, and M. Sorolla, “1-D and 2-D photonic bandgap microstrip structures,” Microw. Opt. Technol. Lett., vol. 22, no. 6, pp. 411–412, Sep. 1999. [9] Q. Xue, K. M. Shum, and C. H. Chan, “Novel 1-D microstrip PBG cells,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 403–405, Oct. 2000. [10] T. Lopetegi, M. A. G. Laso, J. Hernandez, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly-line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [11] D. Ahn, J. Park, C. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 1, pp. 86–93, Jan. 2001. [12] F. Falcone, T. Lopetegi, M. Irisarri, M. A. G. Laso, M. J. Erro, and M. Sorolla, “Compact photonic bandgap microstrip structures,” Microw. Opt. Technol. Lett., vol. 23, no. 4, pp. 233–236, Nov. 1999. [13] J. Kim and H. Lee, “Wideband and compact bandstop filter structure using double-plane superposition,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 279–280, Jul. 2003. [14] S. Y. Huang, Y. H. Lee, and Y. Lu, “A novel compact electromagnetic bandgap filter design,” presented at the 2004 Asia-Pacific Microwave Conf., New Delhi, India, Dec. 2004. [15] A. D’Orazio, M. D. Sario, V. Gadaleta, V. Petruzzelli, and F. Prudenzano, “Meander microstrip photonic bandgap filter using a Kaiser tapering window,” Electron. Lett., vol. 37, no. 19, pp. 1165–1167, Sep. 2001. [16] T. Lopetegi, M. A. G. Laso, M. Irisarri, M. J. Erro, F. Falcone, and M. Sorolla, “Optimization of compact photonic bandgap microstrip structures,” Microw. Opt. Technol. Lett., vol. 26, no. 4, pp. 211–216, Aug. 2000. [17] M. Bozzetti, A. D’Orazio, M. D. Sario, V. Petruzzelli, F. Prudenzano, and F. Renna, “Tapered photonic bandgap microstrip lowpass filters: Design and realization,” Proc. IEE Microwaves, Antennas and Propagation, vol. 150, no. 6, pp. 459–462, Dec. 2003. [18] C. Balanis, Antenna Theory Analysis and Design. New York: Wiley, 1997, 2nd, pp. 294–299. [19] N. C. Karmakar and M. N. Mollah, “Investigations into nonuniform photonic-bandgap microstripline low-pass filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 564–572, Feb. 2003. [20] S. K. Padhi, “Improved performance of EBG’s on a co-planar transmission line using tapered distribution,” Microw. Opt. Technol. Lett., vol. 42, no. 2, pp. 128–131, Jul. 2004. Shao Ying Huang (S’04) received the B.Eng. degree from Nanyang Technological University, Singapore, in 2002, where she is currently working toward the M.Eng. degree in the School of Electrical and Electronic Engineering. Her research interest includes the design, development, and modeling of electromagnetic bandgap structures and their applications.

REFERENCES [1] E. Yablonovitch, “Inhibited spontaneous emission in solid-state physics and electronics,” Phys. Rev. Lett., vol. 58, no. 20, pp. 2059–2062, May 1987. [2] S. John, “Strong localization of photons in certain disordered dielectric superlattices,” Phys. Rev. Lett., vol. 58, no. 23, pp. 2486–2489, Jun. 1987. [3] G. Kurizki and A. Z. Genack, “Suppression of molecular interactions in periodic dielectric structures,” Phys. Rev. Lett., vol. 61, no. 19, pp. 2269–2271, Nov. 1988. [4] E. R. Brown, C. D. Parker, and E. Yablonovitch, “Radiation properties of a planar antenna on a photonic-crystal substrate,” J. Opt. Soc. Amer. B, vol. 10, no. 2, pp. 404–407, Feb. 1993.

Yee Hui Lee (S’96–M’02) received the B.Eng. and M.Eng. degree from the Nanyang Technological University, Singapore, in 1996 and 1998, respectively. She received her Ph.D. degree from the University of York, York, U.K., in 2002. Since July 2002, she has been an Assistant Professor at the School of Electrical and Electronic Engineering, Nanyang Technological University. Her interest is in evolutionary techniques, computational electromagnetics, and antenna design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2665

Analysis of the SAR Distributions in Three-Layered Bio-Media in Direct Contact With a Water-Loaded Modified Box-Horn Applicator Ramesh Chandra Gupta and S. P. Singh, Senior Member, IEEE

Abstract—This paper presents theoretical analysis of the specific absorption rate (SAR) distribution in three-layered bio-media (skin, fat, and muscle layers) in direct contact with a modified box-horn applicator in which the horn is flared in both the - and -planes for hyperthermia treatment of cancer. The modified box-horn is assumed to be filled with water to provide a better impedance match to the bio-media. The present analysis is based on a plane-wave spectral technique. The spatial distribution of SAR in skin, fat, and muscle layers are computed and presented at 433 and 2450 MHz. Higher SAR value in each of the bio-layers, higher penetration depth, and lower resolution in the muscle layer are observed at 433 MHz in comparison to those at 2450 MHz for the water-loaded modified box-horn. The effect of substituting -plane sectoral horn in the a pyramidal horn in place of an design of the box-horn on the SAR distribution is also examined. The results have been validated against published results in the literature. Index Terms—Bio-media, hyperthermia, modified box-horn, plane-wave spectra, specific absorption rate (SAR).

I. INTRODUCTION

T

HE MOST interesting and advanced potential application area of microwave is hyperthermia (local, regional, or whole body) as an adjunct to cancer therapy. Several types of hyperthermia applicators have been investigated by numerous researchers and are described in the literature including waveguide applicators [1]–[4], multimodal applicators [5], conical horn antennas [6], etc. The type of applicator selected depends on the production of sufficient thermal field distributions at different depths of the tumor in a variety of anatomical sites. For superficial tumors, single contact applicators at 915 and 2450 MHz have been used to treat well localized tumors extending up to a depth of 3 cm. Waveguide and horn antennas are also put under this category of hyperthermia applicators. To increase the penetration depth a little more, the illumination frequency may be reduced further. For uniform heating of these tumors, properly designed multimode waveguide/horns can be used. Guy [1] began to set the foundation in the early 1970s for analytical and experimental investigations of the rectangular waveguide applicator in direct contact with two-layered tissue.

Manuscript received January 5, 2005; revised April 16, 2005. This work was supported in part by the Department of Electronics Engineering, Institute of Technology, Banaras Hindu University, and by the University Grants Commission under Grant EcE/Res/2003-04/580. The authors are with the Department of Electronics Engineering, Institute of Technology, Banaras Hindu University, Varanasi, U.P. 221 005, India (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854209

Nikita and Uzunoglu [2] extended the research by analyzing power coupling from a water-loaded waveguide applicator into a three-layered tissue model. Van Koughnett and Wyslouzil [3] further suggested the use of an inhomogeneously loaded dielectric waveguide to simulate TEM-mode wave propagation for biological studies to take advantage of the uniformity of the fields across the aperture of the waveguide. In a pioneering work, Lin et al. [5] presented a multimodal applicator for uniform field distribution at the aperture of the applicator for hyperthermia application. Samaras et al. [6] presented an analytical model of a Lucite cone applicator for superficial hyperthermia and investigated specific absorption rate (SAR) distribution of the same applicator at 433 MHz. Nikawa et al. [7] reported a direct-contact metal-plate converging lens inserted in the waveguide for local microwave hyperthermia and showed that the applicator operated at 2450 MHz could heat up to twice the depth reached from a simple and conventional waveguide applicator. Nikawa and Okada [8] developed a lens applicator through the integration of a waveguide partially filled with a dielectric for a deep-seated tumor at 430 MHz and investigated that the heating pattern of the applicator can be controlled by varying the size of the dielectric material. Alexander and Liu [9] extended the work of Nikawa and Okada by analyzing the field focusing effect of a dielectric-slab-loaded waveguide applicator for hyperthermia at 430 MHz. Recently, Gupta and Singh [10] proposed an empty multimode box-horn for hyperthermia and have shown that the box-horn has a relatively uniform SAR distribution across the aperture in comparison with the single-mode flared horn of identical aperture size. In this paper, the authors have proposed a water-loaded modified box-horn applicator in which the horn exciting the box waveguide is also flared in the -plane to increase its aperture size for hyperthermia treatment of cancer. The field distribution in the -plane of the aperture is closer to uniform distribution since the modified box-horn supports and modes. It has greater directivity in the -plane than a flared horn of identical aperture. The modified box-horn is filled with water. Loading the box-horn with water provides a good impedance match and ensures good transmission into the tissue [2]. It also reduces the size of the box-horn, which makes it suitable for array configuration. The expressions for the SAR distribution in bio-layers (skin, fat, and muscle layers) are derived and computed at 433 and 2450 MHz. To show the validity of the proposed method, the numerical results concerning the aperture admittance of the rectanmode terminated in gular aperture antenna carrying the

0018-9480/$20.00 © 2005 IEEE

2666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

and Harrington [12]. The -component of the electric field at the aperture of the modified box-horn [13] is represented by

(1) and are amplitude coefficients, and are where reflection coefficients at the interface between the water-loaded and are modified box-horn aperture and skin layer, and and modes, respectively. the phase constants for the With the aperture electric field as given in (1), the fields in biomedia are TE to and TE to everywhere [11], [12]. Hence, the fields may be represented by an electric vector potential (2) where

and

are both solutions to the wave equation (3)

are, respectively, the complex propagation conwhere stants of layers 1–3. The solutions for and may be constructed as the sum of a continuous spectrum of eigenvalues. The electric and magnetic fields are found from the relations Fig. 1. Three-layered bio-media heated by: (a) water-loaded modified box-horn and (b) water-loaded conventional box-horn.

(4) (5)

lossy media are compared with those reported by Compton [11]. This analysis is also verified by computing the heating pattern in muscle for a rectangular waveguide terminated in bilayered tissue at 918.8 MHz and comparing it with that obtained by Guy [1]. The theory is further validated by computing the field distribution for the water-loaded waveguide aperture terminated in three-layered bio-media at 432 MHz and comparing it with that given by Nikita and Uzunoglu [2]. The theoretical results are shown to be in agreement with those available in the literature [1], [2] and [11].

Through the use of the spectral technique as discussed by Compton [11] and as given by Harrington [12], spectral integral representations of the fields in different layers are obtained. The -, -, and -components of the electric field in different layers (skin, fat, and muscle) are derived and are as follows:

(6) II. THEORY A. Analysis of SAR in Different Layers of Bio-Media A water-loaded modified box-horn terminated in three-layered bio-media (skin, fat, and muscle layers) is shown in Fig. 1(a) and a conventional box-horn applicator in direct contact with bio-layers is shown in Fig. 1(b). The narrow and broad dimensions of the aperture of the boxhorn are denoted as and , respectively. The length of the box-horn along the -direction is denoted as . Skin and fat layers are of finite thickness, while the muscle layer is considered to be of infinite thickness in the current analysis. Skin, fat, and , and muscle layers have complex permittivities of respectively. The analysis of fields in the bio-media presented here follows the plane-wave spectral technique discussed by Compton [11]

(7)

(8)

(9)

GUPTA AND SINGH: ANALYSIS OF SAR DISTRIBUTIONS IN THREE-LAYERED BIO-MEDIA

2667

(18) (10)

(19) (20)

(11)

(21)

(12)

(22)

(23) (13)

(24)

(14) where the plane-wave spectra are in the skin in the fat layer (layer 2), layer (layer 1), in the muscle layer (layer 3). It should be noted and that and . The equations for the components of the -field can similarly be found by utilizing (2)–(3) and (5). Taking the inverse Fourier gives transform of (6) and (7) at

Equations (15)–(24) can be solved for the plane-wave spectra in different layers. The solution of (15)–(24) is tedious, but straightforward. From (15) and (16), and can written as (25) where

and (26)

(15) and (16) Applying the boundary conditions, i.e., the continuity of the tangential electric and magnetic fields at (the skin–fat (the fat–muscle interface) gives the necinterface) and essary remaining eight equations as follows:

(17)

The expressions for and obtained from (25) and (26) are substituted into (17)–(22) and the resulting equations are written in terms of six unknown plane-wave spectra and . These six resulting equations are solved for and using Cramer’s rule. Once the solution for the aforementioned plane-wave spectra are available, the solution for other and can be obtained plane-wave spectra using (25), (26), (23), and (24), respectively. The plane-wave and spectra are functions of thicknesses and of skin and fat layers, complex propagation constants and of the layers, and of the modified parameters box-horn, and other variables. The field distribution in different layers of bio-media can then be found using (6)–(14).

2668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

The SAR in the

layer

can be evaluated by (27)

and are the electric-field intenwhere sity, conductivity, density, and imaginary part of the relative permittivity of the th layer, respectively. The electric-field intensity layer is given by of the (28)

B. Design of Water-Loaded Modified Box-Horn For the modified box-horn, the - and -plane flared-horn (pyramidal horn) exciting the box waveguide is designed as discussed by Terman [14] and the box waveguide is designed per Silver [13] at the frequencies of 433 and 2450 MHz. To investigate the effect of the flare angle in the -plane on SAR values, two modified box-horns of different dimensions are considered at 2450 MHz. In the first design, the computed dimensions of the cm, cm, water-loaded modified box-horn are cm, and the flare angles of the pyramidal horn exand in the -plane and in the citing the box are -plane, while in the second design, cm, cm, cm and flare angles of the pyramidal horn exand citing the box are 30 in both the - and -planes. At 433 MHz, cm, cm, and cm and flare angles of the horn exciting the box are 30 in both the - and -planes. and are flare angles of the pyramidal horn exciting the box in the - and -planes, respectively. The flare determines the -plane dimension angle in the -plane of the mouth of the pyramidal horn and the ratio of the -plane dimensions of the mouth of the pyramidal horn and box waveto . Thereguide determines the ratio of the coefficients governs the ratio of fore, the flare angle in the -plane to . For uniform aperture field distribution, optimum efto ficiency and minimum amplitude taper loss, the ratio of is chosen to be 0.3 in the design of the modified/conven[13]. The flare angle in tional box-horn for which the -plane governs the narrow dimension of the modi[14]. Thus, and determine the values fied box-horn and , which affect the SAR distribution of parameters in different layers. The water-loaded conventional box-horn applicator is designed as discussed by Silver [13]. The computed dimensions cm, cm, of the box-horn at 2450 MHz are cm. The flare angle of the horn exciting the box and is 30 in the -plane. III. VALIDATION OF THE ANALYSIS The theory is validated by calculating the aperture admitmode termitance of an aperture antenna carrying the nated in lossy media and comparing the result with that obtained by Compton [11]. The admittance of the antenna terminated in for lossy media (with complex permittivity layer 1 followed by a free-space layer) computed at 2450 MHz

Fig. 2. Relative heating pattern in muscle layer for TE mode waveguide with a = 12 cm and b = 16 cm in y –z -plane. Validation of the analysis against Guy [1].

Fig. 3. Aperture electric-field distributions for TE mode waveguide applicator with a = 2:8 cm and b = 5:6 cm. Validation of the analysis against Nikita and Uzunoglu [2].

is found to be equal to mho. The computed aperture admittance is in agreement with the value ( mho) reported by Compton [11]. The relative heating pattern along the -direction in muscle using a waveguide as an applicator terminated in bilayered biological tissue is numerically computed at 918.8 MHz, and the results are compared with those reported by Guy [1]. Good agreement between the two results is obtained as illustrated in Fig. 2. Furthermore, electric-field distribution at the aperture of the mode in direct contact waveguide applicator carrying the with the three-layered tissue model obtained with the help of the current theory has been compared with that computed by Nikita and Uzunoglu [2] at 432 MHz. These results are also in agreement with each other, as shown in Fig. 3. IV. NUMERICAL RESULTS AND DISCUSSION The values of the SAR in different layers of bio-media for a water-loaded modified box-horn applicator designed at 433 and

GUPTA AND SINGH: ANALYSIS OF SAR DISTRIBUTIONS IN THREE-LAYERED BIO-MEDIA

2669

TABLE I PROPERTIES OF BIO-MEDIA

Fig. 5. SAR distributions for modified box-horn (with  =  along x- or y -direction at 433 and 2450 MHz in muscle layer.

= 30 )

Fig. 6. SAR distributions for modified box-horn (with  =  = 30 ) along z -direction at 433 and 2450 MHz in skin, fat, and muscle layers.

Fig. 4. SAR distribution for modified box-horn (with  =  = 30 ) at 2450 MHz along x- and y -direction in: (a) skin layer, (b) fat layer, and (c) muscle layer.

2450 MHz are numerically solved using MATLAB. The thicknesses [15], complex relative permittivities [16], [17], and densities [18] of different layers of bio-media and permittivity of water [19] filling the modified box-horn compiled from the relevant literature are given in Table I. Fig. 4 shows a three-dimensional plot of the spatial distribution of the SAR in skin, fat, and muscle layers at 2450 MHz.

The SAR values in skin, fat, and muscle layers are normalized to the maximum value of the SAR that occurs in the muscle layer near the fat and muscle boundary. The value of the SAR is the minimum in the fat layer due to its poor conductivity and is the highest in the muscle region because muscle is highly conducting. SAR distribution in the muscle layer along the -/ -direction at 433 MHz for the modified box-horn is also obtained and is presented in Fig. 5 along with the SAR distribution for 2450 MHz. The SAR distribution at 433 MHz is similar to that at 2450 MHz, but the value of the SAR for any -/ -value is much larger at 433 MHz as compared to that at 2450 MHz because of higher penetration at 433 MHz. Therefore, the effective heating strongly depends on the frequency used and the field distribution across the aperture of the applicator. Fig. 6 illustrates the results for a wave transmitted through subcutaneous skin and fat media into the muscle medium in the -direction at 433 and 2450 MHz for the modified box-horn. The SAR is normalized to unity in the muscle at the fat–muscle interface. The penetration depth in the muscle (depth where the SAR value is down to 13.5% of the maximum in the muscle) is

2670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE II HALF-POWER WIDTH/DEPTH IN x-, y -, AND z -DIRECTIONS

Fig. 7. SAR distributions for modified box-horns [(i) with  = 30 ;  = 30 and (ii) with  = 20 ;  = 30 ] and for conventional box-horn (with  = 0 ;  = 30 ) at 2450 MHz: (a) along x- or y -direction in muscle layer and (b) along z -direction in skin, fat, and muscle layers.

found to be 2.01 cm ( cm) and 3.41 cm ( cm) for applicators designed at 2450 and 433 MHz, respectively. The power absorption coefficient of the muscle defined as the inverse of the penetration depth in muscle is found to be 49.75 and 29.33 m for applicators designed at 2450 and 433 MHz, respectively. Thus, at a higher frequency, dimensions of the modified box-horn are compatible with localized treatment of the tumor, but the penetration depth is less, whereas the penetration depth is higher for the applicator designed at a lower frequency. The disadvantage of using a lower frequency is the poor localization of heating because of an increased dimension of the applicator. Thus, there is a tradeoff between the penetration depth and localization. The profile of wave penetration into the body is also strongly dependent on frequency. To increase penetration depth further, the modified box-horn can be arranged in a phased-array configuration. By dielectric slab loading in the center of the modified box-horn, the penetration depth can also be improved. Integration of the modified box-horn with a metal-plate converging lens may also increase the penetration depth to be useful for deep-seated tumors. Fig. 7 depicts the SAR distributions along the -/ - and -directions for the modified box-horn for different flare angles in

the -plane keeping the flare angle in the -plane fixed at 30 along with those for a conventional boxand . It can be seen from Fig. 7 that horn for a modified box-horn gives much higher values of the SAR in the -/ - and -directions in comparison with those for a conventional box-horn. Thus, higher values of SAR can be obtained by increasing the flare angle of the horn, which is also coupled to the box waveguide in the -plane. The resolution of an applicator refers to the ability to resolve/distinguish two adjacent objects. Since two objects could be resolved if separated by a distance greater than the half-power width/depth (shown in one of the curves each of Figs. 5–7) of the SAR pattern, the half-power width/depth [20], [21] is conveniently referred to as the resolution of an applicator. Resolution in the - or -direction can be expressed in terms of the half-power width of the SAR distribution in muscle and resolution in the -direction can be expressed in terms of the half-power depth of the SAR distribution in muscle. Higher resolution can be obtained by the lower half-power width/depth and vice-versa. The half-power widths in the - or -direction and the half-power depth of SAR distribution in muscle computed from Figs. 5–7 are listed in Table II. Thus, we conclude that resolutions (half-power width/depth) in the -, -, and -directions at 2450 MHz for the modified box-horn are identical to the respective values for the conventional box-horn. The modified box-horn has lower resolutions in the -, -, and -directions at 433 MHz, as compared with the respective values at 2450 MHz. The resolution of modified box-horn depends on operating frequency. The aperture size of modified box-horn has no effect on resolution for the assumed dimensions. For hyperthermia application, the high SAR region should be limited in a small area (higher resolution) to avoid unnecessary damage to normal tissue. These requirements of the applicator can be achieved by employing a modified box-horn in the phased-array configuration. V. CONCLUSION An analytical solution has been presented for SAR distributions in skin, fat, and muscle layers illuminated by a waterloaded modified box-horn applicator at 433 and 2450 MHz. The water-loaded modified box-horn gives higher SAR values in each of the bio-layers and higher penetration depth and lower

GUPTA AND SINGH: ANALYSIS OF SAR DISTRIBUTIONS IN THREE-LAYERED BIO-MEDIA

resolution in the muscle layer at 433 MHz, as compared with those at 2450 MHz. Therefore, a water-loaded modified boxhorn designed for higher frequency operation is better for hyperthermia treatment of a localized tumor at a shallow depth, whereas a water-loaded modified box-horn designed for a lower frequency operation may become a potential applicator for treatment of a cancerous tumor at greater depth inside the body. The analysis is validated by computing aperture admittance, heating pattern, and field distribution for a waveguide applicator carmode and the results are compared with those rying the available in the literature. These results are found in agreement with each other. The analysis and results presented here may be useful in designing multimode applicators and in analyzing the performance of the multiapplicator phased array for hyperthermia treatment of cancer. ACKNOWLEDGMENT The authors wish to thank the reviewers for their invaluable comments and suggestions. Author R. C. Gupta wishes to acknowledge the Department of Electronics Engineering, Institute of Technology, Banaras Hindu University (IT-BHU), Varanasi, India, and the University Grants Commission (UGC), New Delhi, India, for awarding him a Senior Research Fellowship.

2671

[12] R. F. Harrington, Time–Harmonic Electromagnetic Field. New York: McGraw-Hill, 1961, pp. 123–135. [13] S. Silver, Ed., Microwave Antenna Theory and Design. ser. MIT Radiation Lab.. New York: McGraw-Hill, 1949, vol. 12, pp. 123–135. [14] F. E. Terman, Electronic and Radio Engineering, 4th ed. Tokyo, Japan: McGraw-Hill, 1955, pp. 913–916. [15] M. J. Hagmann, “Propagation on a sheath helix in a coaxially layered lossy dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 1, pp. 122–126, Jan. 1984. [16] M. A. Stuchly and S. S. Stuchly, “Dielectric properties of biological substances—Tabulated,” J. Microwave Power, vol. 15, no. 1, pp. 19–26, Jan. 1980. [17] H. P. Schwan and K. R. Foster, “RF field interactions with biological systems: Electrical properties and biophysical mechanism,” Proc. IEEE, vol. 68, no. 1, pp. 104–113, Jan. 1980. [18] P. A. Manson, W. D. Hurt, T. J. D’Andra, P. Gaj˘sek, K. L. Ryan, D. A. Nelson, K. I. Smith, and J. M. Ziriax, “Effect of frequency, permittivity, and voxel size on predicted specific absorption rate values in biological tissue during electromagnetic field exposure,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 2050–2058, Jan. 2000. [19] ITT Company, Reference Data for Radio Engineers, 5/e. Indianapolis, IN: H. W. Sams & Company, 1968. [20] J. Loane, H. Ling, B. F. Wang, and S. W. Lee, “Experimental investigation of a retro-focused microwave hyperthermia applicator: Conjugate-field matching scheme,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 5, pp. 490–494, May 1986. [21] W. Gee, S.-W. Lee, N. K. Bong, C. A. Cain, R. Mittra, and R. L. Magin, “Focused array hyperthermia applicator: Theory and experiment,” IEEE Trans. Biomed. Eng., vol. BME-31, no. 1, pp. 38–46, Jan. 1984.

REFERENCES [1] A. W. Guy, “Electromagnetic fields and relative heating patterns due to a rectangular aperture source in direct contact with bilayered biological tissue,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 214–223, Jan. 1971. [2] K. S. Nikita and N. K. Uzunoglu, “Analysis of the power coupling from a waveguide hyperthermia applicator into a three-layered tissue model,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 7, pp. 1794–1800, Jul. 1989. [3] A. L. Van Koughnett and W. Wyslouzil, “A waveguide TEM-mode exposure chamber,” J. Microwave Power, vol. 7, pp. 381–383, Dec. 1972. [4] F. M. Waterman and R. E. Nerlinger, “The effect of coupling materials on specific absorption rate distributions at 915 MHz,” Med. Phys., vol. 13, pp. 391–395, 1986. [5] J. C. Lin, G. Kantor, and A. Ghods, “A class of new microwave therapeutic applicators,” Radio Sci., vol. 17, pp. 119s–124s, Sep.–Oct. 1982. [6] T. Samaras, P. J. M. Rietveld, and G. C. V. Rhoon, “Effectiveness of FDTD in predicting SAR distributions from the Lucite cone applicator,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 2059–2063, Jan. 2000. [7] Y. Nikawa, H. Wantanabe, M. Kikuchi, and S. Mori, “A direct-contact microwave lens applicator with a microcomputer-controlled heating system for local hyperthermia,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 5, pp. 626–630, May 1986. [8] Y. Nikawa and F. Okada, “Dielectric-loaded lens applicator for microwave hyperthermia,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1173–1177, Jul. 1991. [9] P. H. Alexander and J. Liu, “Field analysis of dielectric-loaded lens applicator for microwave hyperthermia,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 5, pp. 792–796, May 1993. [10] R. C. Gupta and S. P. Singh, “Analysis of the field and SAR distributions in three-layered bio-media in direct contact with a box-horn,” presented at the IEEE Asia–Pacific Microwave Conf., New Delhi, Delhi, India, Dec. 15–18, 2004, Paper APMC/04/C/107. [11] R. T. Compton, Jr., “The admittance of aperture antenna radiating into lossy media,” Antenna Lab., The Ohio State Univ., Columbus, OH, Rep. 1691-5, 1964.

Ramesh Chandra Gupta was born in Sultanpur (Uttar Pradesh), India, in 1975. He received the B.E. degree in electronics engineering from Shivaji University, Kolhapur, India, in 2000, the M.E. degree in communication, control, and networking from the University of Technology of Madhya Pradesh, Bhopal, India, in 2002, and is currently working toward Ph.D. degree in electronics engineering at the Institute of Technology, Banaras Hindu University (BHU), Varanasi, India. Since February 14, 2004, he has been a Senior Research Fellow with the Department of Electronics Engineering, Institute of Technology, BHU. His research interest includes box-horn antennas and slotted waveguide arrays terminated in lossless or lossy media.

S. P. Singh (M’81–SM’04) received the B.Sc. degree in science, B.Tech. degree in electronics engineering, and M.Tech. and Ph.D. degrees in microwave engineering from Banaras Hindu University (BHU), Varanasi, India, in 1971, 1976, 1979, and 1989, respectively. He is currently Professor and Coordinator of the Center of Advanced Study, Department of Electronics Engineering, Institute of Technology, BHU. He has authored or coauthored over 70 research papers in international/national journals/conference proceedings. His areas of current research and publications include bio-electromagnetics and microwave antennas. He has supervised five Ph.D. theses and about 25 M.Tech. dissertation projects. He is a reviewer for a few international journals. Dr. Singh is a Life Fellow of the Institution of Electronics and Telecommunication Engineers (IETE), India.

2672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

ESD Protection Design for 1- to 10-GHz Distributed Amplifier in CMOS Technology Ming-Dou Ker, Senior Member, IEEE, Yuan-Wen Hsiao, Student Member, IEEE, and Bing-Jye Kuo

Abstract—Two distributed electrostatic discharge (ESD) protection schemes are presented and applied to protect distributed amplifiers (DAs) against ESD stresses. Fabricated in a standard 0.25- m CMOS process, the DA with the first protection scheme of the equal-sized distributed ESD (ES-DESD) protection scheme, contributing an extra 300 fF parasitic capacitance to the circuit, can sustain the human-body model (HBM) ESD level of 5.5 kV and machine-model (MM) ESD level of 325 V and exhibits the flat-gain of 4.7 1 dB from 1 to 10 GHz. With the same amount of parasitic capacitance, the DA with the second protection scheme of the decreasing-sized distributed ESD (DS-DESD) protection scheme achieves better ESD robustness, where the HBM ESD level over 8 kV and MM ESD level is 575 V, and has the flat-gain of 4.9 1.1 dB over the 1 to 9.2-GHz band. With these two proposed ESD protection schemes, the broad-band RF performances and high ESD robustness of the DA can be successfully codesigned to meet the application specifications. Index Terms—Decreasing-sized distributed ESD (DS-DESD), distributed amplifier (DA), electrostatic discharge (ESD), equal-sized distributed ESD (ES-DESD), resistive ladder.

I. INTRODUCTION

D

ISTRIBUTED broad-band amplifiers have many applications, such as television, pulsed radars, and broad-band optical communication. Distributed amplifiers (DAs) employ a topology where the capacitance contributed by the gain stages are separated, but the output currents still combine together. Inductive elements are used to separate and compensate the capacitances at the inputs and outputs of adjacent gain stages. The combination of series inductive elements and shunt capacitances forms a lumped artificial transmission line with specific characteristic impedance. The value of the characteristic impedance can be adjusted according to the terminal impedance to achieve good matching over a very wide bandwidth. Early DAs were implemented by using vacuum tubes and high-speed GaAs MESFETs [1]–[6]. Recently, DAs were also realized in CMOS technology and reported for the advantages of both a lower cost and a potentially higher state of integration [7]–[13]. The advantage of an integrated DA would be the capability to use arbitrary line impedances instead of the typical 50- interface. A DA utilizing parasitic packaging and bondwire inductors has been realized in a 0.8- m CMOS process to achieve a gain of 5 1.2 dB over the 300-kHz to 3-GHz band [7]. Manuscript received January 17, 2005; revised March 31, 2005. This work was supported by National Science Council (NSC), Taiwan, R.O.C., under Contract NSC93-2215-E-009-014. M.-D. Ker and Y.-W. Hsiao are with the Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics, National Chiao-Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]). B.-J. Kuo is with the MediaTek Incorporation, Hsinchu 300, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.854208

Implemented in a 0.6- m CMOS process, a fully integrated DA using on-chip planar square inductors has achieved a measured passband gain of 6.1 dB from 0.5 to 4 GHz with 5.5-GHz unitygain frequency [8]. Besides, a fully differential DA in the same process has performed 5.5-dB passband gain from 0.5 to 7.5 GHz and 8.5-GHz unity-gain frequency [9]. A three-stage DA designed with coplanar strip lines has achieved a low-frequency gain of 5 dB and the unity-gain frequency of 15 GHz in a 0.18m CMOS process [10]. Two DAs employing high impedance coplanar waveguides as inductive elements has shown 8- and 10-dB gains up to 10 GHz, respectively [11]. Using the cascade topology, another two broad-band CMOS DAs fabricated in a 0.18- m CMOS process were reported with 7.3 0.8 dB gain from 0.6 to 22 GHz [12], and 10.6 0.5 dB gain over the 0.5- to 14-GHz bandwidth [13], respectively. The operating frequencies of DAs have been going higher and the gains have been elevated larger. However, electrostatic discharge (ESD) protection, which is a very important reliability issue in IC fabrication, is neither considered nor mentioned in those works. Recently, broad-band ESD protection schemes were reported in several previous works [14]–[16]. A distributed ESD protection scheme using transmission lines to match the capacitances of the ESD protection devices had been demonstrated [14]. The quantitative calculation to analyze the performance degradation of the RF circuits due to ESD protection had been discussed [15]. Another broad-band technique by using monolithic T-coils to match the parasitic capacitance of the ESD protection elements had been reported [16]. In this paper, the DAs codesigned with two new proposed ESD protection schemes are proposed and verified in a standard 0.25- m CMOS process. By dividing one ESD protection device into several equal-sized parts and placing each of them before each gain stage, the first ESD protection scheme is called the equal-sized distributed ESD (ES-DESD) protection. Applied in DAs, the second ESD protection schem [the decreasing-sized distributed ESD (DS-DESD) protection] divides one large ESD protection device into several parts with different sizes, and allocates them from input port to the gate-line terminal with descending sizes. The broad-band performances and ESD robustness of the DA without ESD protection and DAs with ES-DESD or DS-DESD protection schemes have been verified and compared in this work [17]. II. DISTRIBUTED AMPLIFIER A. Simple DA Structure A simple DA structure is shown in Fig. 1. To be fabricated in a standard 0.25- m CMOS process with five-layer Al-metal

0018-9480/$20.00 © 2005 IEEE

KER et al.: ESD PROTECTION DESIGN FOR 1-TO-10 GHz DISTRIBUTED AMPLIFIER IN CMOS TECHNOLOGY

Fig. 2. where

2673

Normalized gain response of the DA under different staggering factors,

r = 1 corresponds to the unstaggered case.

Fig. 1. Basic scheme of the DA.

interconnects, this DA will be codesigned with the distributed ESD protection scheme. A three-stage DA with a flat gain of 5 dB over a 10-GHz bandwidth was expected to be achieved. The number of stages was decided according to the consideration of the layout area, the inductor loss, and the power consumption. The input and output were matched to 50 , and the phase shift was designed to be approximately linear over the passband. The supply voltage of the DAs in the 0.25- m CMOS process is 2.5 V. The gate-inductors and the parasitic gate-capacitances form the artificial gate-line. Similarly, the drain-inductors and the drain-capacitances construct the artificial drain-line. The cutoff frequency of the artificial transmission line is defined as . According to the circuit structure shown in Fig. 1, a peak in the gain response will appear near the cutoff frequency of the transmission line. Since a flat gain response across the passband is preferred, this effect should be reduced. The staggering technique [18] was employed in this design. The dependence of the gain response of the DA on the staggering factor is shown in Fig. 2. Defined as the ratio of the drain-line to the gate-line cutoff frequencies, the staggering factor of about 0.7 has been analyzed as the optimum value from Fig. 2, [18]. The impedance looking into the termination of the – arti) can be expressed as ficial transmission line (

(1) and are the inductance, capacitance, and cutoff freThe quency of the – artificial transmission line, respectively. The impedance looking into the – artificial transmission line will exhibit a strong deviation from the nominal impedance near the line’s cutoff frequency. One way to realize the image-impedance match is to insert -derived half sections between the lines and each termination or each port [19]. Such half sections can greatly improve the impedance match. The -derived half circuit is illustrated in Fig. 3, where the optimum value of

Fig. 3.

Low-pass

m-derived half section.

Fig. 4. Modified DA with staggering technique and

m-derived half section.

is applied to this DA circuit. With the combination of the staggering technique and the -derived half section, the basic DA is modified and shown in Fig. 4. B. Ideal DA With the given topology and the design specifications, the circuit parameters can be obtained. After some minor tuning based on those component values in the given CMOS process, the DA circuit schematic is shown in Fig. 5 with the matching component values. The additional capacitance has been added to fulfill value in Fig. 4. The simulated result of S21-pathe required rameter of this DA is shown in Fig. 6, where it performs approximately 5.1 0.3 dB over 16 GHz. The simulated results of RF performance on S11-, S22-, and S12-paremeter almost achieve the value below 10 dB over 16 GHz, as shown in Fig. 6. The

2674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 8.

Fig. 5.

Frequency-dependent  -model of on-chip inductor.

Initial DA according to the theorems.

Fig. 9. Comparison of inductance among the lumped simulated spiral inductor.

 -model and the

C. Inductor Modeling

Fig. 6. Simulated results of RF performance on S21-, S11-, S22-, and S12-parameters of the initial DA in Fig. 5.

Fig. 7.

Due to the mutual influences among the components, to optimize a DA with physical components becomes a complicated design cycle. Because of the complexities, an auto-optimization solution is employed. To utilize this solution, the passive component models need to be constructed first. Among those passive components, on-chip spiral inductors are the most important and critical for the complicated parasitic effects. Thus, an on-chip spiral inductor library should be built up first. The method to generate inductor models is a combination of the analytic methods, measured data, simulated data, and some other techniques [20], [21]. In this work, six on-chip spiral inductors have been generated by the lumped -model shown in Fig. 8 and modeled from 1 to 3.5 turns with the step of 0.5 turn. The basic structures, including the inner radius of 55 m, top metal width of 10 m, and the spacing between two metals of 2 m, of these inductors were kept the same. The inductance curve of the lumped model, illustrated in Fig. 9, fits well to the simulation curve of the on-chip spiral inductor up to 16 GHz. Therefore, these lumped models can still be employed to replace the simulated spiral inductors for optimizing the RF performance of the DA.

Simulated phase shift of S21-parameter of the initial DA in Fig. 5.

D. Optimized DA simulated phase shift of the S21-parameter in Fig. 7 is approximately linear, which means the time delay is almost constant over the bandwidth from 0.5 to 18 GHz. As observed, the simulated results fit well to the conventional theory. However, with the consideration of the parasitics of the passive components, especially the on-chip spiral inductors, the situation could be different.

After building an on-chip spiral inductor library, the auto-optimization can be operated in the ADS simulator. A general DA structure was set up as that in Fig. 5, with all variable passive component values. Then, the optimization targets were set up in the EM simulator. First, the S-parameters except S21-parameter were chosen to be less than 10 dB over the 16-GHz bandwidth. The forward gain S21 was kept more than 5 dB over the

KER et al.: ESD PROTECTION DESIGN FOR 1-TO-10 GHz DISTRIBUTED AMPLIFIER IN CMOS TECHNOLOGY

2675

Fig. 12. Comparison of S21-parameters among the feasibly optimized, randomly optimized, and ideal-inductor DAs. Fig. 10.

Randomly optimized DA.

Fig. 13. Traditional ESD protection design with a pair of diodes connected to the input pad and a VDD-to-VSS ESD clamp circuit.

For the area saving and the simplicity to compare the DAs with different ESD protection schemes, the performance of the feasible DA in such a 0.25- m CMOS process was acceptable.

III. DISTRIBUTED AMPLIFIER WITH ESD PROTECTION DESIGN A. Concept of Distributed ESD Protection

Fig. 11. Feasibly optimized DA.

same bandwidth. Second, the difference of the time delay over the 16-GHz bandwidth was controlled to the minimum. The inductor model can be adjusted by changing the turns. The DA is kept in optimization cycles until these goals can not be approached. The optimized structure with the component values is shown in Fig. 10. Observed in Fig. 10, the turns of the inductors were random values between 1 and 3.5, which were difficult to be implemented in physical design. So, we had to reoptimize the circuit with the feasible turn values which are between 1 and 3.5 with the step of 0.5. After replacing the ideal inductors with the feasible on-chip spiral inductors, the feasible DA is shown in Fig. 11. The -derived half sections were removed, for the reason that the on-chip inductors could not reach the arbitrary turns required in the optimization process. Without the -derived half sections, the S21-parameter does not attenuate very rapidly around the cutoff frequency compared to the ideal-inductor one and the randomly optimized one, as shown in Fig. 12.

The ESD protection is very important during IC manufacturing. Since the DA is the front-end of the whole system, the ESD protection is indispensable. The parasitic capacitance and resistance from the ESD protection circuit will degrade the performance of DA in impedance match and noise figure. To avoid these, the ESD protection components should be built with low capacitances and high Q factors. The shallow-trench-isolated (STI) diodes fit these two requirements [22]. Besides, they can sustain a very high ESD protection level with the cooperation of a turn-on efficient VDD-to-VSS ESD clamp circuit [23]. However, the broad-band matching over 10 GHz is infeasible with the traditional ESD protection scheme [24], which uses a pair of diodes connected to the input pad with a VDD-to-VSS ESD clamp circuit, as shown in Fig. 13. To achieve a comparable broad-band input match of the DA after inserting the ESD protection circuit, the ESD protection components must be separated as the MOSFETs in the DA. The extra parasitic capacitance of each ESD protection component can be absorbed into each section of the artificial gate-line. Hence, the value of the characteristic impedance in each section changed little and the matching condition can still be maintained.

2676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I COMPONENT VALUES IN THE ESD PROTECTION CIRCUITS

Fig. 14. DA with distributed ESD (DESD) protection circuit. The dimensions of the devices in DA are the same as those in Fig. 11.

Fig. 15. event.

Resistive ladder model of the DESD protection circuit during the ESD Fig. 16. Simulated results of RF performance on S21-parameters of the DAs without and with the distributed ESD (DESD) protection circuits. The total parasitic capacitance of all ESD diodes is 300 fF.

B. New Proposed Distributed ESD Protection Circuits According to the distributed ESD topology in [15], a DA is codesigned with the ES-DESD protection scheme, as shown in Fig. 14. The STI diodes, used as ESD protection devices, were divided into three sections with equal sizes to conform to the gain stages of the DA. With the turn-on-efficient VDD-to-VSS ESD clamp circuit, the DA with the ES-DESD protection scheme was supposed to have high ESD robustness. Under the ESD stress, the DA with ES-DESD protection can be approximately modeled as a simple resistive ladder [14], as shown in Fig. 15, where Rc denotes the series resistance of the spiral inductor and Resd is the equivalent turn-on resistance of the ESD diode. The large values of Rc and Resd degraded the ESD robustness when the ESD-generated heat across them. Therefore, in order to enhance the ESD robustness, the Resd and Rc should be minimized. According to this consideration, the decreasing-sized distributed ESD (DS-DESD) protection scheme is proposed. The new proposed DS-DESD protection scheme by enlarging the size of ESD protection devices at the first ESD protection stage can reduce the Resd of the first stage, where is usually the most possible location to be damaged by ESD. With a relatively large device size at the first ESD protection stage, it can discharge ESD current more quickly at the first ESD protection stage, as compared to the ES-DESD protection scheme. Thus, the DA with the new proposed DS-DESD protection is believed to have better ESD robustness, as compared to that of the DA with the ES-DESD protection.

C. Broad-band Performance of DA Without and With ESD Protection For a broad-band circuit, the S-parameters, the noise figure, and the phase shift are the main factors to determine the RF performance. The simulations of the DA without the ESD protection and the DAs with the ES-DESD protection or DS-DESD protection were operated to examine how much the degradation of the performance would be after inserting the ESD protection circuit into the DA. In the ES-DESD and DS-DESD protection schemes, two amounts of the total parasitic capacitances contributed by all the ESD protection diodes were chosen. The first amount was 300 fF and the second one was 600 fF. The parasitic capacitances of the ESD protection diodes in these ESD protection circuits are listed in Table I. In the first group, S21-parameters and the phase shifts of the DA0 (DA without the ESD protection), DA1 (ES-DESD protection with 300 fF), and DA2 (DS-DESD protection with 300 fF) were compared in Figs. 16 and 17, respectively. As seen from Fig. 16, DA0 had the best performance, and DA2 had the worst frequency response among these three circuits. However, the difference of the passband-gain among these three circuits was small. The phase shifts of these three types of DAs in Fig. 17 were three straight lines from low frequency to about 14 GHz, which had no apparent difference.

KER et al.: ESD PROTECTION DESIGN FOR 1-TO-10 GHz DISTRIBUTED AMPLIFIER IN CMOS TECHNOLOGY

Fig. 17. Simulated phase shifts of the DAs without and with the distributed ESD (DESD) protection circuits. The total parasitic capacitance of all ESD diodes is 300 fF.

Fig. 19. Simulated phase shifts of the DAs without and with the distributed ESD (DESD) protection circuits. The total parasitic capacitance of all ESD diodes is 600 fF. TABLE II PARASITIC CAPACITANCES OF THE ESD PROTECTION DIODES FABRICATED DAs WITH ESD PROTECTION

Fig. 18. Simulated results of RF performance on S21-parameters of the DAs without and with the distributed ESD (DESD) protection circuits. The total parasitic capacitance of all ESD diodes is 600 fF.

In the second group, the total parasitic capacitance was twice the amount of the first group. Hence, the S21-parameters of DA3 (ES-DESD protection with 600 fF) and DA4 (DS-DESD protection with 600 fF) degraded more seriously than those of DA1 and DA2 in the first group, as shown in Fig. 18. Still, the DA with the DS-DESD protection had the worst frequency response. The phase shifts of the circuits in the second group were compared in Fig. 19. The phase shifts of DA3 and DA4 were less linear than those of DA1 and DA2 because of the larger parasitic capacitances contributed by the ESD protection circuits. From the simulation results, to provide the distributed ESD (DESD) protection to a DA and to take care of the broad-band performance simultaneously, the sizes of the ESD diodes can not be too large. Thus, the DAs with the DESD protection scheme in the first group with total parasitic capacitance of 300 fF (contributed by ESD protection diodes) were preferred. IV. EXPERIMENTAL RESULTS One DA without ESD protection (DA0) and five DAs with ESD protection circuits have been fabricated in a standard

2677

IN THE

0.25- m CMOS process. The parasitic capacitances contributed by the ESD protection diodes in the five DAs with ESD protection are listed in Table II. The die photo of these fabricated DAs is shown in Fig. 20. In the following subsections, the broad-band performances, including the S-parameters, the noise figures, and the phase shifts of these six DAs will be measured and compared. The ESD protection levels of these six DAs will be also tested and compared with failure analysis. A. Broad-band RF Performance The S-parameters of these six DAs have been measured on-wafer with two-port ground-signal-ground (G-S-G) probes from 1 to 18 GHz. The 20-GHz S-parameter measurement system (HP85122A) is used to characterize the behavior of the circuits. The S21-parameter of the DA without ESD protection compared to that of the simulated one is shown in Fig. 21. The probed response, shown in Fig. 21, correlates well with the response of the post-simulation, but still some differences exist. The post-simulation was operated with the addition of the interconnects used in layout. The effects of the interconnects were obtained from the EM simulator of ADS momentum. The simulated response is 5 dB with 1-dB flatness from 1 GHz to 10 GHz and a unity-gain frequency of 15.1 GHz. However, the -dB flatness from 1 to 11.4 measured response is 5 dB with GHz and a unity-gain frequency of 16.7 GHz. The deviation of the RF performance can be attributed to several reasons,

2678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 22. Comparison of the measured S21-parameters among the DA without ESD protection and the DAs with ESD protection. Fig. 20. Die photo of the DAs without and with the ESD protection circuits. The DA1–DA5 have ESD protection, but the DA0 which is a reference for RF performance comparison has no ESD protection.

Fig. 21. Measured S21-parameter compared to the post-simulated S21-parameter of the DA without the ESD protection circuit.

including the inaccuracy of the simulated spiral inductors, the temperature coefficients of the resistors, and the imprecise estimation of the pad effects, etc. The coupling between the inductors was not considered in the circuit design. Since the inductances used in this circuit are quite small, the coupling between the inductors is also rather small. As shown in Fig. 21, there is a slight difference between the simulated and measured broad-band RF performances of the DAs. The measured RF performance is degraded as compared to the simulated one. However, the degradation is fairly slight below the frequency of 14 GHz. Thus, the coupling between the inductors can be ignored without causing major design errors in the target of 1- to 10-GHz DAs. According to the simulation results of S21-parameters in Figs. 16 and 18, the DA without ESD protection achieves the best gain response among all the DAs. With the same total

parasitic capacitance contributed by ESD protection diodes, the DAs with ES-DESD protection perform better in S21-parameter than the DAs with DS-DESD protection. The measured results of the DA without ESD protection and five DAs with ESD protection, as compared in Fig. 22, conform to the simulated performances with the exception that DA2 achieves a better gain response than DA1. The reason for this measured result is that the decreasing parasitic capacitances of the ESD protection diodes compensating the miller effect in each gain stage with the increasing gain. With the increasing total parasitic capacitance of the ESD diodes in the DAs with ESD protection, the S21-parameters in these DAs are degraded. Hence, only DA1 and DA2 have the comparable performance to DA0. The S-parameters, except S21, of the simulated and implemented DAs without ESD protection, DA1, and DA2 are shown in Fig. 23(a)–(c). The measured S11-parameter of the DA without ESD protection, as illustrated in Fig. 23(a), corresponds well to the simulated one and meets the specification of less than 10 dB. The measured S11-parameter of DA1 degrades less than that of DA2, but they both do not meet the specification anymore. As shown in Fig. 23(b) and (c), the measured S22-parameters and S12-parameters of these three implemented circuits exhibit better output matching and reverse isolation than the simulated ones of the DA without ESD protection. As shown in Fig. 24, the simulated and measured phase shifts of the DA without ESD protection both present roughly linear curves from 1 to 16 GHz, but DA1 and DA2 only maintain the same situation up to 14 GHz. The noise figure was measured by the high frequency modeling system (HP85122A) and the noise parameters extraction software (ATN NP5B) from 1 to 18 GHz. The results are compared in Fig. 25. The measured data of DA0 achieve the lowest value of 4.4 dB at 6 GHz. The lowest value in measurement is larger than that of 3.6 dB in simulation. In DA1 and DA2, the lowest noise figures are 0.5 and 0.6 dB higher than that of the measured DA without ESD protection. It means that the ESD protection diodes contribute extra noise.

KER et al.: ESD PROTECTION DESIGN FOR 1-TO-10 GHz DISTRIBUTED AMPLIFIER IN CMOS TECHNOLOGY

2679

Fig. 24. Phase shifts among the simulated and fabricated DAs without ESD protection, DA1 with ES-DESD protection, and DA2 with DS-DESD protection.

Fig. 25. Noise figures among the simulated and fabricated DAs without ESD protection, DA1 with ES-DESD protection, and DA2 with DS-DESD protection.

Fig. 23. (a) S11-parameters, (b) S22-parameters, and (c) S12-parameters among the simulated and fabricated DA0s without ESD protection, DA1 with ES-DESD protection, and DA2 with DS-DESD protection.

B. ESD Robustness To compare the ESD robustness, DA0 (the DA without the ESD protection) and five DAs with ESD protection were tested according to the criterion of 30% I–V curve shift at 1- A current. The results of the human-body model (HBM) [25] ESD stresses and the machine model (MM) [26] ESD stresses are

shown in Table III. As seen in Table III, the DA without ESD protection only sustains a very low ESD protection level, which is far below the ESD specifications for commercial ICs which are 2 kV in HBM and 200 V in MM. The ESD robustness of the DA is substantially improved after inserting the distributed ESD protection circuit. The enhancement of ESD robustness is significant in that DA1 (employing the ES-DESD protection scheme) achieves the HBM ESD level of 5.5 kV and the MM ESD level of 325 V. Furthermore, equipped with the equally total parasitic capacitance of ESD protection diodes in DA1, DA2 with the DS-DESD protection scheme sustains the even higher ESD level of more than 8 kV in HBM and 575 V in MM. With larger ESD protection diodes, contributing larger parasitic capacitances, the ESD robustness of the DA with ESD protection is better and the DS-DESD protection scheme exhibits higher ESD robustness than the ES-DESD one. Table IV summarizes the performances of the published CMOS DAs compared with the DA without ESD protection, DA1, and DA2 in this work. DA1 and DA2 exhibit satisfactory

2680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE III HBM AND MM ESD TEST RESULTS

TABLE IV COMPARISONS AMONG THE PUBLISHED CMOS DAs

broad-band performances and succeed in providing excellent ESD protection. C. Failure Analysis The EMMI (photon emission microscope) pictures in Fig. 26 have confirmed that the ESD damage, indicated by the arrow, is located on the junction of the first p-diode with a large shining area after the positive-to-VSS (PS-mode) MM ESD stress. In PS-mode, the input pad is zapped by a positive ESD stress and the VSS pad is grounded. From the resistive ladder model in Fig. 15, during the ESD event, most ESD current is surely discharged through the shortest path, namely the first section of ESD protection circuit. This evidence has confirmed that the DS-DESD scheme can indeed achieve better ESD performance than the ES-DESD scheme in DA application. V. CONCLUSION Two new types of broad-band ESD protection schemes used to protect the DA have been proposed and successfully investigated in a standard 0.25- m CMOS process. From the experimental results, the DA, employing the ES-DESD protection scheme with the total parasitic capacitance of 300 fF contributed by the ESD protection diodes in the input port, has high ESD robustness (the HBM ESD level of 5.5 kV and the

Fig. 26. EMMI photographs to show the location of ESD damage in DA2 with DS-DESD protection after positive-to-VSS (PS-mode) MM ESD stress. (a) Whole view of DA2 with DS-DESD protection. (b) Zoomed-in view of the damaged location on the p-diode (Dp1) at the first section of ESD protection.

MM ESD level of 325 V) with only a little degradation on the broad-band RF performance. The decreasing-sized distributed ESD (DS-DESD) protection scheme, applied to the DA with the total parasitic capacitance of 300 fF contributed by the ESD diodes in the input port, has enhanced the ESD robustness to a higher level (the HBM ESD level of more than 8 kV and the MM ESD level of 575 V) and has not deteriorated the broad-band RF performance much. Hence, the proposed two ESD protection schemes are useful and feasible to codesign the RF performance and ESD robustness of DA in broad-band applications. REFERENCES [1] T. Wong, Fundamentals of Distributed Amplification. Norwood, MA: Artech House, 1993. [2] E. W. Strid and K. R. Gleason, “A DC-12 GHz monolithic GaAsFET distributed amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT–30, no. 7, pp. 969–975, Jul. 1982. [3] Y. Ayasli, R. L. Mozzi, J. L. Vorhaus, L. D. Reynolds, and R. A. Pucel, “A monolithic GaAs 1–13-GHz traveling-wave amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 7, pp. 976–981, Jul. 1982. [4] K. B. Niclas, W. T. Wilser, T. R. Kritzer, and R. R. Pereira, “On theory and performance of solid-state microwave distributed amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 447–456, Jun. 1983.

KER et al.: ESD PROTECTION DESIGN FOR 1-TO-10 GHz DISTRIBUTED AMPLIFIER IN CMOS TECHNOLOGY

[5] K. B. Niclas, R. D. Remba, R. R. Pereira, and B. D. Cantos, “The declining drain line lengths circuit—A computer derived design concept applied to a 2–26.5-GHz distributed amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 4, pp. 427–434, Apr. 1986. [6] S. N. Prasad, J. B. Beyer, and I.-S. Chang, “Power-bandwidth considerations in the design of MESFET distributed amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1117–1123, Jul. 1988. [7] P. J. Sullivan, B. A. Xavier, and W. H. Ku, “An integrated CMOS distributed amplifier utilizing packaging inductance,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1969–1975, Oct. 1997. [8] B. M. Ballweber, R. Gupta, and D. J. Allstot, “A fully integrated 0.5–5.5-GHz CMOS distributed amplifier,” IEEE J. Solid-State Circuits, vol. 35, no. 2, pp. 231–239, Feb. 2000. [9] H.-T. Ahn and D. J. Allstot, “A 0.5–8.5-GHz fully differential CMOS distributed amplifier,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 985–993, Aug. 2002. [10] B. Kleveland, C. H. Diaz, D. Vook, L. Madden, T. H. Lee, and S. S. Wong, “Monolithic CMOS distributed amplifier and oscillator,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 1999, pp. 70–71. [11] B. M. Frank, A. P. Freundorfer, and Y. M. M. Antar, “Performance of 1–10-GHz traveling wave amplifiers in 0.18-m CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 327–329, Sep. 2002. [12] R.-C. Liu, K.-L. Deng, and H. Wang, “A 0.6–22-GHz broad-band CMOS distributed amplifier,” in Proc. IEEE Radio Freq. Integrated Circuit Symp., 2003, pp. 103–106. [13] R.-C. Liu, C.-S. Lin, K.-L. Deng, and H. Wang, “A 0.5–14-GHz 10.6-dB CMOS cascode distributed amplifier,” in Proc. IEEE VLSI Circuits Symp. Dig. Tech. Papers, 2003, pp. 139–140. [14] B. Kleveland, T. J. Maloney, I. Morgan, L. Madden, T. H. Lee, and S. S. Wong, “Distributed ESD protection for high-speed integrated circuits,” IEEE Electron Device Lett., vol. 21, no. 8, pp. 390–392, Aug. 2000. [15] C. Ito, K. Banerjee, and R. W. Dutton, “Analysis and design of distributed ESD protection circuits for high-speed mixed-signal and RF ICs,” IEEE Trans. Electron Devices, vol. 49, no. 8, pp. 1444–1454, Aug. 2002. [16] S. Galal and B. Razavi, “Broad-band ESD protection circuits in CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2334–2340, Dec. 2003. [17] M.-D. Ker, B.-J. Kuo, and Y.-W. Hsiao, “Co-design on broadband CMOS RF distributed amplifier with on-chip ESD protection circuit,” in Proc. 16th Asia Pacific Microwave Conf., 2004, p. 7. [18] D. G. Sarma, “On distributed amplification,” Proc. Inst. Elect. Eng., pp. 689–697, 1954. [19] D. M. Pozar, Microwave Engineering. Reading, MA: Addison-Wesley, 1990. [20] J. R. Long and M. A. Copeland, “The modeling, characterization, and design of monolithic inductors for silicon RF ICs,” IEEE J. Solid-State Circuits, vol. 32, no. 3, pp. 357–369, Mar. 1997. [21] S. S. Mohan, M. M. Hershenson, S. P. Boyd, and T. H. Lee, “Simple accurate expressions for planar spiral inductances,” IEEE J. Solid-State Circuits, vol. 34, no. 10, pp. 1419–1424, Oct. 1999. [22] R. M. D. A. Velghe, P. W. H. de Vreede, and P. H. Woerlee, “Diode network used as ESD protection in RF applications,” in Proc. EOS/ESD Symp., 2001, pp. 337–345. [23] M.-D. Ker, “Whole-chip ESD protection design with efficient VDD-to-VSS ESD clamp circuits for submicron CMOS VLSI,” IEEE Trans. Electron Devices, vol. 46, no. 1, pp. 173–183, Jan. 1999. [24] M.-D. Ker, W.-Y. Lo, C.-M. Lee, C.-P. Chen, and H.-S. Kao, “ESD protection design for 900-MHz RF receiver with 8-kV HBM ESD robustness,” in Proc. IEEE Radio Frequency Integrated Circuit Symp., 2002, pp. 427–430. [25] Electrostatic Discharge (ESD) Sensitivity Testing Human Body Model (HBM), 1997. EIA/JEDEC Standard EIA/JESD22-A114-A. [26] Electrostatic Discharge (ESD) Sensitivity Testing Machine Model (MM), 1997. EIA/JEDEC Standard EIA/JESD22-A115-A.

2681

Ming-Dou Ker (S’92–M’94–SM’97) received the B.S. degree in electronics engineering and the M.S. and Ph.D. degrees from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1986, 1988, and 1993, respectively. In 1994, he joined the Very Large Scale Integration (VLSI) Design Department, Computer and Communication Research Laboratories (CCL), Industrial Technology Research Institute (ITRI), Taiwan, R.O.C., as a Circuit Design Engineer. In 1998, he became a Department Manager with the VLSI Design Division, CCL/ITRI. In 2000, he became an Associate Professor with the Department of Electronics Engineering, National Chiao-Tung University, where he is now a Full Professor. He has been invited to teach or help ESD protection design and latchup prevention by hundreds of design houses and semiconductor companies in Taiwan, R.O.C., Silicon Valley, San Jose, CA, Singapore, and Mainland China. His research interests include reliability and quality design for nanoelectronics and gigascale systems, high-speed or mixed-voltage I/O interface circuits, special sensor circuits, and thin-film transistor (TFT) circuts. In the field of reliability and quality design for CMOS ICs, he has authored or coauthored over 200 technical papers in international journals and conferences. He holds over 180 patents on reliability and quality design for ICs, which including 95 U.S. patents. His inventions on ESD protection design and latchup prevention method have been widely used in modern IC products. Dr. Ker has serviced as member of the Technical Program Committee and Session Chair of numerous international conferences. He is currently serving as Associate Editor for the IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS. He was elected as the first President of the Taiwan ESD Association in 2001. He has also been the recipient of numerous research awards presented by ITRI, the National Science Council, National Chiao-Tung University, and the Dragon Thesis Award presented by the Acer Foundation. In 2003, he was selected as one of the Ten Outstanding Young Persons in Taiwan, R.O.C., by the Junior Chamber International (JCI).

Yuan-Wen Hsiao (S’03) was born in Taiwan, R.O.C., in 1982. He received the B.S. degree from the Department of Electronics Engineering, National Chiao-Tung University (NCTU), Hsinchu, Taiwan, R.O.C., in 2004. He is currently working toward the Ph.D. degree in the Institute of Electronics, NCTU. His current research interests include high-speed I/O circuits and ESD protection design for mixedsignal and RF ICs.

Bing-Jye Kuo was born in Taiwan, R.O.C., in 1978. He received the B.S. degree from the Department of Electronics Engineering and the M.S. degree from the Institute of Electronics, National Chiao-Tung University (NCTU), Hsinchu, Taiwan, R.O.C., in 2000 and 2004, respectively. Since 2002, he has been with the Nanoelectronics and Gigascale Systems Laboratory, NCTU. In 2004, he joined MediaTek Incorporation, Hsinchu, as a Design Engineer responsible for the on-chip RF ESD protection circuit design and transmitter circuit design. His current research interests include GSM transmitter circuit design and on-chip RF ESD protection circuit design.

2682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Sub-Threshold Analysis and Drain Current Modeling of Polysilicon Thin-Film Transistor Using Green’s Function Approach Amit Sehgal, Tina Mangla, Sonia Chopra, Mridula Gupta, Member, IEEE, and R. S. Gupta, Senior Member, IEEE

Abstract—An analytical analysis for a poly-crystalline silicon thin-film transistor is presented. The Green’s function approach is adopted to solve the two-dimensional Poisson’s equation using Neumann’s boundary conditions at the silicon–silicon di-oxide interface. The developed model gives an insight of device behavior due to the effect of traps and also grain–boundary effect. The analysis of threshold voltage depicts short-channel effects and drain-induced barrier lowering. The model is extended to analyze the transfer characteristics and obtain the transconductance of the device. The results obtained show good agreement with the numerical model and with simulated results, thus proving the validity of our model. Index Terms—Analytical modeling, poly-silicon (poly-Si) thin-film transistor (TFT).

I. INTRODUCTION

R

ECENTLY poly-silicon (poly-Si) thin-film transistors (TFTs) have emerged as the devices of choice for many applications. This includes for active matrix liquid crystal displays (AMLCDs), printers heads, scanners, static random access memories (SRAMs), image sensors, three-dimensional large-scale integration (LSI) circuits and system-on-panel applications [1]–[3] with desirable electrical characteristics. However, the conventional poly-Si TFT has poor field effect mobility and high leakage current due to the grain boundaries existing in the channel region [4], [5]. As we go scaling down to sub-micrometer poly-Si TFTs [6], the decrease in number of grain boundaries leads to favorable characteristics, i.e., lower threshold voltage and lower leakage current. The number of studies has also shown that poly-Si TFTs [7], [8] have a potential in becoming microwave frequency devices, as transconductance was found to be reported in the range of microsiemens. A successful design of circuits using poly-Si TFTs requires a proper understanding of their electrical properties. Thus, it is needed to formulate a model that shows the Manuscript received January 20, 2005; revised March 30, 2005. This work was supported by the Defence Research and Development Organization, Ministry of Defence, India, and by the University Grants Commission, India. A. Sehgal is with Hansraj College, University of Delhi, Delhi-110007, India (e-mail: [email protected]). T. Mangla, M. Gupta, and R. S. Gupta are with the Semiconductor Device Research Laboratory, Department of Electronic Science, University of Delhi South Campus, New Delhi-110021, India (e-mail: [email protected]). S. Chopra is with Acharya Narendra Dev College, University of Delhi, New Delhi-110019, India and also with the Semiconductor Device Research Laboratory, Department of Electronic Science, University of Delhi South Campus, New Delhi-110021, India. Digital Object Identifier 10.1109/TMTT.2005.854206

Fig. 1. Schematic diagram of poly-crystalline silicon TFT. Dashed lines show the zone under consideration for solving Poisson’s equation.

device insight with the effect of traps and effects at grain–grain boundary interface. The electrical properties of poly-crystalline silicon films have been interpreted by segregation theory and grain boundary trapping theory [9], [10]. The trapped charge states at the grain boundary create potential barriers. In our analysis, the Green’s function technique is used to determine the exact solution of two-dimensional (2-D) Poisson’s equation and can be used for any doping profile. The model is extended to evaluate threshold voltage and then drain current and transconductance. II. MODELING AND DISCUSSION A. Potential Distribution Model The basic structure of poly-Si TFTs is polycrystalline silicon thin film (active region) sandwiched between gate–oxide and buried oxide. Fig. 1 shows the schematic of the ensemble device (poly-Si TFT) with a break line describing number of grain boundaries present in the polycrystalline film. The zone enclosed with dashed lines under consideration is further categorized as different sub-zones. Zone I consists of the gate oxide of the device, the polysilicon film represents Zone II, and lastly, buried oxide lies in Zone III of the device. The 2-D Poisson’s equation for the system is given as (1) where

0018-9480/$20.00 © 2005 IEEE

is the 2-D space–charge density in the different

SEHGAL et al.: SUB-THRESHOLD ANALYSIS AND DRAIN CURRENT MODELING OF POLYSILICON TFT

TABLE I LIST OF BOUNDARY CONDITIONS USED IN THE ANALYSIS FOR THE ZONE

2683

[12] for each zone, the 2-D potential distribution for the given Poisson’s equation (1) is calculated. The expression for the 2-D using Green’s theorem and after substitution potential of Green’s function solutions [13] is

(3) The formulation for polysilicon film, i.e., the section of concern, is given as shown in the equation at the bottom of this page. The and are defined in the coefficients Appendix. In case of a poly-crystalline TFT, the first term in (3) is given by

zones and is given as Zone I Zone II Zone III if lies in grain if lies in grain boundary and if

(2)

(4)

in which are the doping concentrations in grain, grain boundary, respectively, and is the one-dimensional depletion width. The effect of trap/defect states are incorporated by using . continuous density of states in doping concentrations The density of defect states used in the analysis is a combination of exponentially decaying band tail states and Gaussian distributions of midgap states [10] together with a product of occuand are equal to the pation of the trap level [11]. Thus, summation of doping density and contribution to traps. It is seen from (1) that the Poisson’s equation reduces to a Laplace equation for Zone I and Zone III. Also solving the equation zone-wise reduces the complexity in calculation of equivalent charge density as common boundary amongst different zones incorporates these effects. Using the boundary conditions listed in Table I and the Green’s function solution

The variation of surface channel potential, obtained using (3), with normalized channel distance at various channel lengths and drain bias together with simulated [14] and numerical results is plotted in Fig. 2. The numerical model is based on the finite-difference method together with same set of boundary conditions and space–charge density distribution A good matching proves the validity of our model. It can be seen from Fig. 2 that as the channel length reduces, short channel effects comes into the picture. The curves at different drain bias also show the existence of the drain-induced barrier lowering (DIBL) effect. One can see from Fig. 2 that the grain–grain boundary region is realized by a potential barrier. This figure also shows the number of grains present in the channel with formation of potential barriers at the grain boundary. It is seen that the minimum channel potential rises as the number of barriers increase in the channel.

if

2684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 2. Variation of surface channel potential with normalized channel distance at different channel lengths and drain bias for N = 2:15 10 m ; N = 6:5 10 m .

2

2

Fig. 3. Variation of inverse subthreshold swing with channel length at different gate bias and grain size for V = 0 V. Inset shows variation of inverse subthreshold swing with channel length at different gate bias and drain bias for grain size of 0.3 m.

B. Sub-Threshold Swing The subthreshold swing is defined as the change in gate bias required to change the subthreshold drain current by one decade and can be represented as (5) is minimum surface potential extracted from where is thermal voltage. (3) and Sub-threshold swing is seen (Fig. 3) to increase as the device dimensions and gate bias/drain bias increases. The decreasing size of grain affects and decreases subthreshold swing. C. Threshold Voltage and DIBL Effect The threshold voltage of the device is one of the most important parameter for circuit, device, and process characterization. By definition, in case of device modeling, it is referred to as that particular gate voltage at which minimum surface channel , i.e., potential is equal to twice the Fermi potential value the condition of the start of the inversion regime. In our case, while equating the surface horizontal electric field to zero, there exist several minima positions due to formation of barriers in the channel. In order to extract the threshold voltage of the device, surface channel potential at each minima is to be evaluated separately and iteratively equated to twice the Fermi potential. Thus, the maximum of all gate voltages, i.e., threshold voltages is the threshold at the surface channel potential equal to voltage of the device. The DIBL effect in poly-Si TFTs is much more pronounced than in crystalline MOSFETs and cannot be neglected even for long-channel devices. Besides, the reduction of the effective depletion charge (charge sharing) causes a reduction of the threshold voltage. Threshold voltage, a key parameter required for switching applications, is plotted with channel length at different drain bias and grain size together with simulated results [14] in Fig. 4.

Fig. 4. Variation of threshold voltage with channel length at different grain size and drain bias.

Modeled results match remarkably with simulated results. This figure shows rolloff in the value of threshold voltage as we switch toward small dimensions. Drain bias is also assisting in scaling down the threshold voltage value. The impact of change in the number of grain–grain boundaries can be seen from this figure. For fixed grain dimensions, as channel length increases, a greater number of grains is incorporated in the channel and, thus, increases the grain–boundary effect. As the grain dimensions switches from 0.16 to 0.3 m, the threshold voltage of the device also decreases. This is due to a lesser number of grain boundaries incorporated in the channel and, thus, a lowering of the grain–boundary effect. The enhancement of the DIBL effect can be explained as the presence of ionized trap sites. There are two sources for the decrease of the threshold voltage with the drain–source voltage: sharing of the depletion charge with the

SEHGAL et al.: SUB-THRESHOLD ANALYSIS AND DRAIN CURRENT MODELING OF POLYSILICON TFT

2685

drain and source regions (as in crystalline MOSFETs), and the grain barrier height lowering because of the lateral field. D. Transfer Characteristics Drain Current: (i) Linear Region: An expression for drain current in the linear region [15] is obtained as (6)

where is the channel width, is the channel length, is gate–source voltage, the capacitance per unit area, drain–source voltage, and is the short channel coefficient. is the field effect mobility and is expressed as

is is

(7) is field mobility in grain (grain boundary). (ii) Saturation Region: In the saturation region, saturation drain–voltage can be obtained numerically by equating the . Thus, satlinear drain current equation to zero to extract with in (6) as uration current is evaluated by replacing follows:

The variation of drain current with gate voltage at different channel lengths and drain voltages with simulated results [14] is plotted in Fig. 5. Good agreement with simulated results is seen. As the drain bias increases, drain current performance increases. As the channel length decreases, the drain current value also increases as the transit time of the carriers to trace channel length reduces. Transconductance and Cutoff Frequency: The transconductance describes the measure of device gain, and its measure is useful for switching applications. The value of transconductance reflects the degree of control the gate has on the charge under it. The transconductance of the device is extracted as a partial derivative of (6) with respect to gate voltage (8) Table II shows the maximum value of transconductance and cutoff frequency. The values are found to be in good agreement with simulated results [14] and, thus, proves validation of our proposed results. It is clear from Table II that the decrease in channel length results in an increase in transconductance. It is due to the fact that as channel length is reduced, carriers take less time to sweep the channel, and also for the same applied bias with a decrease in channel length, more charges are depleted and further inverted, thus increasing the device transconductance. Further increasing drain bias at a particular channel length, the flow of carriers increases, i.e., drain current increases, thus, in turn, increases the value of transconductance. The gate capacitance (without taking into effect fringing fields) is evaluated for depletion depth of a surface potential equal to 2 , as there is a

Fig. 5. Variation of drain current with gate voltage at different channel length and drain bias.

MODELED

AND

TABLE II SIMULATED MAXIMUM TRANSCONDUCTANCE AND CUTOFF FREQUENCY

marginal increase in its value after the onset of inversion. This minimum gate capacitance is used to evaluate maximum cutoff frequency, and is estimated in Table II, which shows its applicability in microwave frequency types of devices. III. CONCLUSION A 2-D analytical model has been presented for poly-crystalline silicon TFTs. The Green’s function approach has been found to be a promising approach to solve the 2-D Poisson equation for any arbitrary doping profile. The developed model gives an insight of device behavior due to the effect of traps and effects at the grain–grain boundary interface. Short-channel effects and DIBL effects can also be seen from the figures in this paper. These effects contribute in a way such that as the device geometry is reduced, the effects of the potential barrier generated due to traps at the grain boundary are minimized. The drain bias also affects the channel potential as it overcomes the barriers found close to the drain end. The transfer characteristics are analyzed for different channel length and drain bias. The maximum transconductance and cutoff frequency achieved is 30 S and 52.7 GHz at a channel length equal to 0.4 m and V. This value of cutoff frequency forms a basis to find its applicability in microwave frequency types of devices. The

2686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

results obtained have shown a good agreement with simulated results [14] and the numerical model based on the finite-difference method together with the same set of boundary conditions and space–charge density distribution. APPENDIX I

(1A)

(2A)

(3A)

(4A) (5A) (6A) (7A)

(8A) (9A) (10A)

REFERENCES [1] S. Zhang, C. Zhu, J. K. O. Sin, J. N. Li, and P. K. T. Mok, “Ultra-thin elevated channel poly-Si TFT technology for fully-integrated AMLCD system on glass,” IEEE Trans. Electron Devices, vol. 47, no. 3, pp. 569–574, Mar. 2000. [2] T. Yamanaka, T. Hashimoto, N. Hasegawa, T. Tanaka, N. Hashimoto, A. Shimizu, N. Ohki, K. Ishibashi, K. Sasaki, T. Nishida, T. Mine, E. Takeda, and T. Nagano, “Advanced TFT SRAM cell technology using a phase shift lithography,” IEEE Trans. Electron Devices, vol. 42, no. 7, pp. 1305–1313, Jul. 1995. [3] T. Kaneko, Y. Hosokawa, M. Tadauchi, Y. Kita, and H. Andoh, “400-dpi integrated contact type linear image sensors with poly-Si TFT’s analog read out circuits and dynamic shift registers,” IEEE Trans. Electron Devices, vol. 38, no. 5, pp. 1086–1093, May 1991. [4] K. R. Olasupo and M. K. Hatalis, “Leakage current mechanism in submicron polysilicon thin-film transistors,” IEEE Trans. Electron Devices, vol. 43, no. 8, pp. 1218–1223, Aug. 1996. [5] G. A. Bhat, H. S. Kwok, and M. Wong, “Behavior of the drain leakage current in metal-induced laterally crystallized thin-film transistors,” Solid State Electron, vol. 44, no. 7, pp. 1321–1324, Jul. 2000. [6] N. Yamauchi, J. J. Hajjar, and R. Raif, “Poly-silicon thin-film transistors with channel length and width comparable to or smaller than the grain size of the thin-film,” IEEE Trans. Electron Devices, vol. 38, no. 1, pp. 55–60, Jan. 1991. [7] G.-Y. Yang, S.-H. Hur, and C.-H. Han, “A physical-based analytical turn-on model of polysilicon thin-film transistors for circuit simulation,” IEEE Trans. Electron Devices, vol. 46, no. 1, pp. 165–172, Jan. 2000. [8] A. Sehgal, T. Mangla, M. Gupta, and R. S. Gupta, “Temperature dependence on electrical characteristics of short geometry poly-crystalline silicon thin film transistor,” Solid State Electron., vol. 49, no. 3, pp. 301–309, Mar. 2005. [9] J. Y. W. Seto, “The electrical properties of polycrystalline silicon films,” J. Appl. Phys., vol. 46, no. 12, pp. 5247–5254, Dec. 1975. [10] G. Baccarani, B. Ricco, and G. Spadini, “Transport properties of polycrystalline silicon films,” J. Appl. Phys., vol. 49, no. 11, pp. 5565–5570, Nov. 1978. [11] S. M. Sze, Physics of Semiconductor Devices, 2nd ed. New York: Wiley, 1981, p. 35. [12] J. D. Jackson, Classical Electrodynamics, 2nd ed. New York: Wiley, 1975. [13] A. Sehgal, T. Mangla, S. Chopra, M. Gupta, and R. S. Gupta, “A two-dimensional analysis for poly-crystalline silicon thin film transistor using Green’s function approach,” unpublished. [14] Atlas User Manual Device Simulaton Software, 7th ed., Silvaco International, Santa Clara, CA, 2000. [15] M. Shur, Introduction to Electron Devices. New York: Wiley, 1996, p. 442. Amit Sehgal was born in Delhi, India, on December 1, 1979. He received the B.Sc. (with honors) and M.Sc. degrees in electronics from the University of Delhi, Delhi, India, in 2000 and 2002 respectively, and is currently working toward the Ph.D. degree at the University of Delhi. In 2002, he joined the Semiconductor Device Research Laboratory Department of Electronic Science, University of Delhi South Campus. In 2005, he joined Hansraj College, University of Delhi, as a Lecturer. He has authored or coauthored ten publications in international and national journals and conferences. His research interests are the modeling, simulation, and characterization of silicon-based devices, especially poly-crystalline TFT, gate-engineered structures, and MOSFETs with quantization effects. Tina Mangla was born in Delhi, India, on September 6, 1980. She received the B.Sc. (special) degree in electronics from Gujarat University, Gujarat, India, in 2000, the M.Sc. degree in electronics from the University of Delhi, Delhi, India, in 2002, and is currently working toward the Ph.D. degree at the University of Delhi. In 2002, she joined the Semiconductor Devices Research Laboratory, Department of Electronic Science, University of Delhi South Campus. She has authored or coauthored 11 publications in international and national journals and conferences. Her research interests are the modeling, simulation, and characterization of silicon-based devices, especially MOSFETs with quantization effects and poly-crystalline TFT.

SEHGAL et al.: SUB-THRESHOLD ANALYSIS AND DRAIN CURRENT MODELING OF POLYSILICON TFT

Sonia Chopra was born in Delhi, India, on November 18, 1970. She received the B.Sc. (with honors) and M.Sc. degrees in physics and Ph.D. degree in electronics science from the University of Delhi, Delhi, India, in 1992, 1994, and 2000, respectively. In 1995, she joined Acharya Narendra Dev College, University of Delhi, as Lecturer. She is currently a reader with Acharya Narendra Dev College. Since 1996, she has also been affiliated with the Semiconductor Devices Research Laboratory, Department of Electronic Science University of Delhi South Campus. She has authored or coauthored ten publications in international and national journals and conferences. Her research interests are the modeling, simulation, and characterization of silicon-based devices, especially poly-crystalline TFT.

Mridula Gupta (M’01) received the B.Sc. degree in physics, M.Sc. degree in electronics, M.Tech. degree in microwave electronics, and Ph.D. degree in optoelectronics from the University of Delhi, Delhi, India, in 1986, 1988, and 1998, respectively. In 1989, she joined the Department of Electronic Science, University of Delhi, as a Lecturer. She is currently a Reader with the University of Delhi. She has authored or coauthored approximately 67 publications in international and national journals and conferences. She contributed the chapter “MOSFET Modeling” in the Encyclopedia on RF and Microwave Engineering (New York: Wiley, 2005). Her current research interests include modeling and simulation of MOSFETs, MESFETs, and HEMTs for microwave-frequency applications. Dr. Gupta is a Fellow of the Institution of Electronics and Telecommunication Engineers (India). She is a Life Member of the Semiconductor Society of India She was secretary of the 2004 Asia–Pacific Microwave Conference, New Delhi, India, held in December 2004.

2687

R. S. Gupta (SM’81) received the B.Sc. and M.Sc. degrees from Agra University, Agra, India, in 1963 and 1966, respectively, and the Ph.D. degree in electronic engineering form the Institute of Technology, Banaras Hindu University, Varanasi, India, in 1970. In 1971, he joined Ramjas College, University of Delhi, Delhi, India. In 1987, he joined the Department of Electronic Science, University of Delhi, where he is currently a Professor. He heads several major research projects sponsored by the Ministry of Defence, Department of Science and Technology, Council of Science, and Industrial Research and University Grants Commission. In 1988, he was a Visitor with the University of Sheffield, Sheffield, U.K., under the ALIS Link University Grants Commission and British Council Exchange Program and also visited several U.S. and Spainish universities in 1995 and 1999, respectively. He has authored or coauthored over 300 papers in various international and national journals and conferences. He contributed the chapter “MOSFET Modeling” in the Encyclopedia on RF and Microwave Engineering (New York: Wiley, 2005). He has supervised 27 graduated Ph.D. students and eight students who are currently working toward their Ph.D. degrees. His current interests and activities cover modeling of silicon-on-insulator (SOI) submicrometer MOSFETs and lightly drain-doped (LDD) MOSFETs, modeling and design of HEMTs, hot-carrier effects in MOSFETs, and modeling of GaAs MESFETs for high-performance microwave and millimeter-wave circuits and quantum effect devices. He is listed in Who’s Who in the World. Dr. Gupta was an executive member of the IEEE Electron Devices (ED)/Microwave Theory and Techniques (MTT) Chapter India Council. His name also appeared in the Golden List of the IEEE TRANSACTIONS ON ELECTRON DEVICES in December 1998, 2002, and 2004. He is a Fellow of the Institution of Electronics and Telecommunication Engineers (India), a Life Member of the Indian Chapter of the International Centre for Theoretical Physics (ICTP), and a Life Member of the Semiconductor Society of India. He was the secretary of the 1993 International Symposium on Recent Advances in Microwave Technology (ISRAMT) and the 1996 Asia–Pacific Microwave Conference (APMC’96) and the chairman of the Technical Program Committee of APMC’96. He edited the proceedings of both of these international conferences. He was chairman of APMC’2004, held in New Delhi, India, in December 2004.

2688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

A Miniaturized Multilayer Quasi-Elliptic Bandpass Filter With Aperture-Coupled Microstrip Resonators Chi-Feng Chen, Ting-Yi Huang, Chao-Hsiung Tseng, Ruey-Beei Wu, Senior Member, IEEE, and Tsung-Wen Chen

Abstract—A four-pole quasi-elliptic function bandpass filter for a compact low-temperature cofired ceramic is proposed in this paper. The filter is constructed by the open-loop resonators and the miniaturized hairpin resonators that can be coupled through the apertures on the common ground plane, and the 0 feed structure adds two extra transmission zeros to the filter response. It is shown that the filter occupies a very small size. As a result, the proposed structure of the filter occupies a very small circuit area and has a good out-of band rejection. Index Terms—Coupling coefficient, elliptic function filter, miniaturized hairpin resonator, open-loop resonator.

I. INTRODUCTION

W

ITH THE rapid growth of wireless and mobile communications, small-size and high-performance microwave filters are highly demanded in many communication systems. In the current development of bandpass filters, planar filters, which can be fabricated using printed circuit technology, are suitable for commercial applications. Obviously, the size of planar filters is too large to be used in modern systems. Thus, reducing size has been an important issue in developing RF filters. Recently, multilayer technology using a low-temperature cofired ceramic (LTCC) technology [1] has become popular in microwave applications. As the demand for compact, high-performance, high-reliability, and high-integration density increases, the use of LTCC becomes especially desirable. This paper presents a four-pole quasi-elliptic function bandpass filter with aperture-coupled microstrip resonators on LTCC. By using this multilayer technology, the filter has a compact size, which is much smaller than planar filters. In addition, a 0 feeding structure is used to design the filter. The filter with this feeding topology introduces two extra zeros in the stopband. As a result, the proposed filter not only occupies a very small circuit area, but also has a good stopband response. II. FILTER CONFIGURATION

The configuration of the four-pole quasi-elliptic function LTCC bandpass filter is shown in Fig. 1. The filter configuration consists of two types of resonators. One is the open-loop resonator and the other is the miniaturized hairpin resonator [2], [3]. The open-loop resonators and the miniaturized hairpin Manuscript received January 20, 2005. This work was supported in part by the National Science Council under Grant NSC 93-2752-E-002-003-PAE. C.-F. Chen, T.-Y. Huang, C.-H. Tseng, and R.-B. Wu are with the Department of Electrical Engineering and Graduate Institute Communication Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. T.-W. Chen is with the Materials Research Laboratories, Industrial Technology Research Institute, Hsinchu, Taiwan 310, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.854204

Fig. 1. (a) Typical four-pole quasi-elliptic function bandpass filter in a multilayer configuration. (b) Cross-sectional view of the filter.

resonators are located on the outer and inner sides of the LTCC, respectively. With the aid of apertures on the common ground plane, cross-coupling among the resonators is established. III. FILTER DESIGN For convenience, the four resonators are designated as resonators 1–4, as indicated in Fig. 1. Resonators 1 and 4 represent the open-loop resonator. Resonators 2 and 3 represent the miniaturized hairpin resonator, which are composed of a section of a folded transmission line and a section of a coupled line with open-end termination. In our design, the length and width of the central coupled line is made as large as possible, and the gap as small as possible, in order to enhance the capacitive nature so that the size of the resonator can be minimized. The coupling between resonators 1 and 2 is identical to that between resonators 3 and 4. Thus, there are three basic coupling structures in this filter structure. The coupling coefficients of any two adjacent or nonadjacent coupled resonators can be specified by two split resonant frequencies resulting from electromagnetic coupling. Figs. 2–4 show the three basic coupling structures and typical resonant mode splitting phenomena, which

0018-9480/$20.00 © 2005 IEEE

CHEN et al.: MINIATURIZED MULTILAYER QUASI-ELLIPTIC BANDPASS FILTER

2689

Fig. 4. Coupling structures and typical resonant mode splitting phenomena for mixed coupling. Fig. 2. Coupling structures and typical resonant mode splitting phenomena for electric coupling.

are obtained using the full-wave simulator IE3D. The coupling structure in Fig. 2 represents the electric coupling, which can be coupled through the aperture. It is an electric coupling because the electric fringing fields are stronger near the open ends of the resonator. Fig. 3 represents the magnetic coupling through the aperture because the magnetic fringing fields are stronger near the center of the resonator. Fig. 4 is the mixed coupling structure, which contains both electric and magnetic coupling. It is worth mentioning that the coupling structure can be made as well if the two resonators are built on the same layer to reduce the number of layers. Due to the coupling between resonators, each resonator pair shows two resonant peaks with corresponding resonant frequenand , which can be clearly identified. Let be the cies lower of the two resonant frequencies and be the higher one. The coupling coefficient is evaluated from two dominant resonant frequencies and given as [4]–[6] (1)

Fig. 3. Coupling structures and typical resonant mode splitting phenomena for magnetic coupling.

represents the coupling coefficient between reswhere applies to onator and . Herein, the upper sign and , while the lower sign applies to . The computed coupling coefficients for the three basic coupling structures are shown in Fig. 5. The circuit is fabricated on Dupont 951 substrate with and loss tangent . For the given specifications, the center frequency of the filter is 3.02 GHz and the fractional bandwidth (FBW) is 3.7%. The lumped circuit element values of the low-pass prototype filter are found to and be

2690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 6. Fabricated filter.

are realrespectively, while the negative coupling and ized by the electric coupling. The specified values are used to determine the values of and , respectively. The tapped feed lines are often used to achieve the input and output of a filter. In the current design, the 0 feeding structure is introduced for this filter [7]. The signals at the input and output feed points are in-phase when the circuit is resonant at its fundamental mode frequency. The 0 feeding structure creates two extra transmission zeros in the stopband. Thus, the selectivity and out-of-band rejection of the filter are increased. IV. RESULTS

Fig. 5. Typical coupling coefficients of the coupling structures for: (a) electric coupling, (b) magnetic coupling, and (c) mixed coupling.

. The coupling coefficient matrix

are found to

be

(2)

The positive couplings and are realized by the mixed and magnetic couplings,

Fig. 6 presents a photograph of the fabricated filter. Geomm, metric parameters for each resonator are mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The overall size of the four-pole quasi-elliptic function LTCC bandpass filter is 5 mm 7 mm 0.67 mm, i.e., only approximately 0.11 by is the guided wavelength on the 0.16 by 0.01 , where substrate at the center frequency, which occupies a very small size. The measured and simulated performances of the filter are shown in Fig. 7(a) and (b). Solid and dotted lines show the measured and simulated results, respectively. The measured center frequency of the filter is 3.05 GHz and the FBW is 3.9%. One might notice that the measured center frequency is approximately 30 MHz higher than that of the specification, which can be attributed to the fabrication errors. The passband insertion loss is approximately 4.2 dB and the passband return loss is greater than 12 dB. The insertion loss is mainly attributed to the conductor loss. The two attenuation poles near the cutoff frequencies of the passband can clearly be identified as shown in Fig. 7(a), which were caused by the multipath effect. The effect of the two attenuation poles at 2.9 and 3.2 GHz is observed. In Fig. 7(b), it can be clearly observed that there are two extra transmission zeros, which were caused by the 0 feeding structure. One of the extra transmission zeros is at 2.6 GHz and the other is at 4.29 GHz. The effect can increase the rejection in the stopband. The measured results are in good agreement with the full-wave simulation results by IE3D.

CHEN et al.: MINIATURIZED MULTILAYER QUASI-ELLIPTIC BANDPASS FILTER

2691

[2] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [3] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [4] J. S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [5] J. S. Hong and M. J. Lancaster, “Aperture-coupled microstrip openloop resonators and their applications to the design of novel microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [6] , “Design of highly selective microstrip bandpass filters with a single pair of attenuation poles at finite frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1098–1107, Jul. 2000. [7] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000.

Chi-Feng Chen was born in PingTung, Taiwan, R.O.C., on September 3, 1979. He received the B.S. degree in physics from Chung Yuan Christian University, Taoyuan, Taiwan, R.O.C., in 2001, the M.S. degree in electrophysics from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

Fig. 7. Simulated and measured results of the four-pole quasi-elliptic function LTCC bandpass filter. (a) Insertion and return losses. (b) Wide-band response.

V. CONCLUSION A miniaturized four-pole quasi-elliptic function bandpass filter on LTCC has been presented. By using this multilayer technology, the filter has a compact size, which is much smaller than the planar filters. The design is based on the knowledge of the coupling coefficients of the three basic coupling structures. The cross-coupling between nonadjacent resonators is introduced to exhibit a single pair of transmission zeros near the passband at finite frequencies and, thus, much better selectivity. In addition, the 0 feeding structure for the filter is introduced to create two extra transmission zeros on opposite sides of the passband. Thus, the selectivity and out-of-band rejection of the filter can be increased. As a result, the proposed structure of the filter occupies a very small circuit area and has a good stopband response. It is quite useful for applications in future mobile communication systems when small size, high selectivity, and good stopband response are required. REFERENCES [1] C. Q. Scrantom and J. C. Lawson, “LTCC technology: Where we are and where we’re going—II,” in IEEE MTT-S Int. Microwave Symp. Dig., 1999, pp. 193–200.

Ting-Yi Huang was born in Hualien, Taiwan, R.O.C., on November 12, 1977. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include computational electromagnetics, the design of microwave filters, transitions, and associated RF modules for microwave and millimeter-wave applications.

Chao-Hsiung Tseng was born in Miaoli, Taiwan, R.O.C., on July 4, 1974. He received the Electronic Engineering degree from the National Taipei Institute of Technology, Taipei, Taiwan, R.O.C., in 1994, and the M.S. and Ph.D. degrees in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1999 and 2004, respectively. From 1999 to 2000, he was an Associate Microwave Researcher with the Center for Measurement Standards, Industrial Technology Research Institute, Hsinchu, R.O.C. He is currently a Post-Doctoral Research Fellow with the Department of Electrical Engineering, National Taiwan University. His research interests include microwave-imaging systems and techniques, microwave measurements, and calibration techniques.

2692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Ruey-Beei Wu (M’91–SM’97) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. He then joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. He is also with the Graduate Institute of Communications Engineering, which was established in 1997. From March 1986 to February 1987, he was a Visiting Scholar with IBM, East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles. From May 1998 to April 2000, he became Director of the National Center for High-performance Computing. Since November 2002, he has also served as Director of the Planning and Evaluation Division, National Science Council. His areas of interest include computational electromagnetics, transmission-line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging. He has authored or coauthored over 50 publications appearing in IEEE publications and over 70 papers appearing in international conferences. Dr. Wu is a member of the Phi Tau Phi Scholastic Society, the Chinese Institute of Engineers, the Chinese Institute of Electrical Engineers, and the International Union of Radio Science (URSI).

Tsung-Wen Chen was born in Chia I, Taiwan, R.O.C. He received the B.S. and M.A. degrees in chemical engineering from National Taiwan University Science and Technology, Taiwan, R.O.C., in 1987 and 1990, respectively. Since 1990, he has been a Researcher with the Material Research Laboratories (MRL), Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, R.O.C. Over the years, he has been involved with numerous projects that has included overvoltage overcurrent protection devices and materials, microwave dielectric ceramic devices and materials, ceramic antennas, and multiplayer ceramics. His current research interests include LTCC materials and processing, microwave material measurement, and microwave ceramic devices for wireless communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2693

Resonance-Suppressed Magnetic Field Probe for EM Field-Mapping System Jung-Min Kim, Student Member, IEEE, Woo-Tae Kim, and Jong-Gwan Yook, Member, IEEE

Abstract—A novel rectangular magnetic field probe is proposed, and calculated and measured results are presented. The proposed probe effectively suppresses the emission/reception of electromagnetic fields from the edge of the ground plane and, as a result, the usable frequency range of the probe is enhanced compared with that of the conventional one. In order to verify the performance of the probe, measured magnitude and phase of the magnetic field probe are presented from 1 to 7 GHz for two different with an geometries: microstrip line and bandpass filter for ultrawide-band application. The measurement results agree very well with theoretically predicted field distributions, showing its excellent performances. Index Terms—Electromagnetic (EM) field scanner, enhanced scanning range, passive detection, rectangular magnetic field probe, resonance suppressed wide-band probe.

I. INTRODUCTION

I

N DEVELOPING electronic systems, the knowledge of the electromagnetic fields inside a given circuit or system becomes valuable information for optimum design process. Even if each microwave components—such as filter, duplexer, antenna, mixer, or amplifier—can be designed and fabricated with reasonable performance, inefficient arrangement of these components directly deteriorates the entire performance of the circuit board. A conventional network analyzer can only measure at device ports so this method of characterization is adequate for a single chip or device; however, as the complexity of a circuit increases, knowledge of the operation of the individual components does not ensure that the combined circuit will perform as expected. The mapping of the electromagnetic fields above a circuit can be of great importance in determining field magnitude, field direction, substrate modes, and device-to-device interactions. With the magnitude and phase map of electromagnetic field above a circuit, one can find a region of weak field intensity around a device that could be used to place other components for minimal interference between them. Currently, electromagnetic field mapping is possible with various techniques such as electrooptic sampling [1], photo emission sampling [2], electronbeam sampling [3], scanning force microscopy [4], and passive detection [5], as well as the modulated scattering method [6]. Among these methods, passive detection is an economical way of measuring vector electromagnetic fields with arbitrary spatial resolution provided that there is a very small probe. Gao and

Manuscript received January 20, 2005; revised June 3, 2005. This work was supported in part the Yonsei University Research Fund of 2000 and by the Electromagnetic Environment Research Center, Chungnam National University. The authors are with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854203

Fig. 1. Magnetic field probe. (a) Conventional probe. (b) Proposed probe.

H

-field

Fig. 2. Electromagnetic field distribution at 1.7 GHz. (a) Conventional probe. (b) Proposed probe.

Wolff [7] presented magnetic field maps over microstrip lines using a single loop antenna; however, this probe resonates at

0018-9480/$20.00 © 2005 IEEE

2694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5.

Probe position over microstrip line for the

H

-field detection.

Fig. 3. Return loss of probe: — FEM simulation, - - - measured result. (a) Conventional probe: without air bridge. (b) Proposed probe: with air bridge.

Fig. 4.

Measurement system setup.

the end of the ground plane. Resonances of the probe in general disturb the looping magnetic field, therefore, it is not easy to measure correct field values at these frequency regions. In this paper, we propose a bond-wired rectangular magnetic field probe that effectively suppresses resonance between the probe and ground plane. It is demonstrated that the proposed probe is able to gauge fields at much higher frequency than conventional magnetic probes.

Fig. 6. Frequency domain probe characteristic: —: FEM simulation, - - -: measured result. (a) Conventional probe. (b) Proposed probe.

II. PROBE DESIGN In the microwave frequency range, the physical dimensions of circuits are relatively small. Thus, in order to accurately measure the electromagnetic field distribution around the circuits, the size of probe should have comparable size with the device-under-test (DUT). In this paper, a wide-band magnetic field probe is designed, fabricated, and tested in the 1–7-GHz region.

KIM et al.: RESONANCE-SUPPRESSED MAGNETIC FIELD PROBE FOR EM FIELD-MAPPING SYSTEM

H

Fig. 7. -field intensity across the microstrip line at 2 GHz: - - -: FDTD simulation, —: measured result with proposed probe, - 1 -: measured data by conventional probe.

H

2695

H

-field intensity across the microstrip line at 6 GHz: - - -: FDTD Fig. 9. simulation, —: measured result with proposed probe, - 1 -: measured data by conventional probe.

H

Fig. 8. phase across the microstrip line at 2 GHz: - - -: FDTD simulation, —: measured result with proposed probe, - 1 -: measured data by conventional probe.

Fig. 10. phase across the microstrip line at 6 GHz: - - -: FDTD simulation, —: measured result with proposed probe, - 1 -: measured data by conventional probe.

Fig. 1(a) shows the structure of the conventional magnetic field probe, as shown in Fig. 1(b), in which the proposed probe consists of a coplanar waveguide (CPW) fed line and rectangular loop to pick up the circulating magnetic field [8], [9]. To reduce the spurious radiation between the probe and 50- CPW line, triangular transition is provided. This probe can measure magnetic field intensity along the – -direction. It is observed that the discontinuity between the feed line and that of the loop causes a capacitive effect [10]. Hence, in order to reduce the spurious radiation, as well as parasitic capacitance from the discontinuity, a tapered transition structure is adapted in this study in addition to the air bridge between the ground planes. This planar probe can be used to measure tangential magnetic field components along the – -direction, as depicted in Fig. 1(b). Notice that the improved probe structure employs a smooth transition, as well as an air-bridge connection to ensure equipotential ground surfaces, thus suppressing spurious radiation from by is 5 mm 5 mm the probe structure. The size of loop and the linewidth of the rectangular loop is 0.5 mm.

The resonant properties and electric field distribution of the probe have also been simulated using finite-element method (FEM)-based software. Fig. 2 denotes the simulated electromagnetic field distribution on the conventional, as well as the proposed probes at 1.7. Fig. 2(a) shows that the edges of the ground planes excite a large amount of electromagnetic field due to a different potential on both ground planes. This spurious radiation could possibly interact with the DUT and, thus, distort field distribution on the circuit. To investigate the operating range of the probe, calculated and measured return-loss characteristics of the probe are shown in Fig. 3. Resonant frequency of the conventional probe is 1.7 GHz and the return loss is approximately 7 dB at the resonant frequency. However, the proposed probe do not exhibit any resonant behavior over the whole frequency range. These results confirm that the air-bridge connected probe does not resonate in the frequency range of interest and, as a result, causes minimal interaction with the DUT. It is clear that the proposed probe can be used for a higher frequency range than the conventional one.

2696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

H

Fig. 11. Measured -field distributions on microstrip line with conventional probe: (a) 1 GHz, (b) 2 GHz, and (c) 6 GHz and with the proposed probe: (d) 1 GHz, (e) 2 GHz, and (f) 6 GHz.

III. CHARACTERISTICSOFTHE PROPOSED PROBE The electromagnetic field-mapping system used in this study is shown in Fig. 4. It consists of an – stage positioner, network analyzer, motion controller, laptop computer, and magnetic field probe. The two-port network analyzer is used as a source to the circuit, as well as a receiver. Alternatively, a microwave receiver or spectrum analyzer can be used for cases when a network analyzer is not available. The probe is positioned as shown in Fig. 5 over the microstrip line to detect magnetic fields. In order to examine the field intensity and phase, standing-wave patterns are generated on the microstrip line with a short-circuited load. The height of the probe from the circuit surface is an important parameter in the near-field measurement system in order to obtain accurate results. If the probe is too close to the circuit, it may detect large signals, however, it could disturb the operation of the circuit itself. On the other hand, if the probe is placed far from the circuit, it might be difficult to catch the signal properly with sufficient resolution. Both the probe and shorted microstrip h line are fabricated on a Teflon substrate (permittivity mm). The center of the – -directed magnetic field probe is placed 4 mm above the microstrip line. Port 1 is connected to the shorted microstrip line, while port 2 is linked to the probe. Fig. 6 shows the frequency characteristics of the conventional, as well as the proposed field-mapping system. In addition, an FEM-based simulator is used to calculate the scattering parameters. The simulated frequency range is 1–7 GHz. In the case of a conventional probe structure, reveals that the probe resonates around 2 GHz. It is worth noting that the resonant frequency of the probe is shifted approximately 300 MHz due to the coupling with the microstrip line. This probe resonance interacts with the magnetic field penetrating around the rectangular probe. As a result,

reveals a local minimum at 2.2 GHz, as shown in Fig. 6(a). On the other hand, in Fig. 6(b), the proposed probe effectively suppresses the resonance behavior so that the level of changes smoothly in the range from 25 to 40 dB over the whole frequency range. IV. MEASURED RESULTS WITH EM FIELD MAPPING SYSTEM A. Terminated Microstrip Line By using the planar scanning system, a terminated microstrip line and bandpass filter (BPF) is measured in order to verify the performance of the proposed probe. Theoretical results for the shorted microstrip line are calculated by the finite-difference time-domain (FDTD) method with a perfectly matched layer (PML) absorbing boundary condition [11]. The cell size of the FDTD is 0.1 mm 0.1 mm 0.1 mm. For the comparison between the calculated results and measured ones, normalization has been performed. It is observed that the position of peak amplitude is significantly influenced by the height of the probe. For example, by lowering the probe to the microstrip line, a narrower peak of the amplitude above the microstrip line has been detected. On the other hand, when the probe is positioned further away from the circuit, the position of the amplitude peak level diminishes. points become wider and the field on the Fig. 7 shows the amplitude distribution of shorted microstrip line at 2 GHz. In the -direction, the magnetic field rotates around the microstrip line and, as a result, the field strength is maximum directly above the microstrip line. The dashed line denotes the theoretical value of the -field intensity in the height of 4 mm. The null points near mm is mainly due to the 180 phase change at those places. The measured data with the proposed probe reveals a very close result with the theoretically predicted one. In the case of the conventional

KIM et al.: RESONANCE-SUPPRESSED MAGNETIC FIELD PROBE FOR EM FIELD-MAPPING SYSTEM

2697

H

Fig. 12. Measured -field distributions on microstrip line with conventional probe: (a) 1 GHz, (b) 2 GHz, and (c) 6 GHz and with the proposed probe: (d) 1 GHz, (e) 2 GHz, and (f) 6 GHz.

probe, the measured result is severely distorted, which might be due to the disturbance from the spurious resonance in the probe geometry. The calculated and measured phases are shown in Fig. 8. It is clear that the calculated and measured data with the proposed probe are in excellent agreement, while the data gathered with the conventional loop probe shows low contrast and even asymmetric patterns both in the phase and magnitude. -field intensity across the microstrip line at 6 Fig. 9 shows the GHz. Similar to the 2-GHz case, the magnetic field distribution is almost identical, though it reveals slightly narrow null points mm. Calculated and measured results with the at proposed probe matches very well, however, the conventional probe shows difficulty in detecting the correct sidelobe level and exhibits asymmetric field distribution, which is not appropriate for symmetric geometry. The phase data are also presented in Fig. 10, proving the excellent performance of the proposed probe. The merits of the proposed probe are clearly revealed when two-dimensional magnitude and phase scans are performed. First of all, the strongest tangential magnetic field component is measured in the scanning range of 50 mm in the -direction and 40 mm in the -direction at frequency points of 1, 2, -field distribuand 6 GHz. Fig. 11 illustrates the measured tions on the microstrip line. At 1 GHz, the conventional, as well as proposed probes produce almost identical performances, even though there are slight differences in the null points, which might be due to the measurement uncertainty [see Fig. 11(a) and (d)]. As we increase the observation frequency above the resonant frequency of the probe, the measured field distribution becomes severely distorted. For example, at 2 and 6 GHz, as shown in Fig. 11(b) and (c), the measured magnetic fields with the conventional probe are not symmetric due to the probe to DUT interactions. On the other hand, as shown in Fig. 11(e)

Fig. 13.

DUT and scanning area of the UWB BPF.

Fig. 14.

Characteristic of a UWB BPF: - - -S

;

0

S

.

and (f), the magnetic fields captured with the proposed probe reveal very nice standing-wave patterns and symmetric shape; in addition, there is an evidence of surface-wave propagation in the 6-GHz case. Fig. 12 shows the phase distribution measured with both probes. At 1 GHz, which is below the resonance fre-

2698

Fig. 15.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

H

-field distributions of a BPF. (a) 2 GHz. (b) 4 GHz. (c) 7 GHz.

quency of the conventional probe, the measured phase patterns are almost identical in both cases, as shown in Fig. 12(a) and (d). On the contrary, the phase plots at 2 and 6 GHz with the conventional probe [see Fig. 12(b) and (c)] become deteriorated and do not show abrupt phase variations. B. Ultrawide-Band (UWB) BPF Here, a five-stage UWB BPF is selected, as illustrated in Fig. 13, and its frequency response is given in Fig. 14. The filter and the is fabricated on an RT Duroid substrate of thickness of the substrate is 0.508 mm. The overall size of the BPF is 5 mm 50 mm. Note that the passband of the filter is from 2.8 to 5.4 GHz. To investigate the electromagnetic field dynamics in the circuit, three different frequencies, two of them lie

Fig. 16.

H

phase distributions of a BPF. (a) 2 GHz. (b) 4 GHz. (c) 7 GHz.

in the stopband region, which are 2 and 7 GHz, are selected. For the magnetic field scanning, the probe is situated 3 mm above the surface of the circuit. Figs. 15 and 16 show the magnitude and phase of the tangential magnetic field, respectively, at 2, 4, and 7 GHz. It is clear that, at the stopband, the magnetic field does not reach from ports 1 to 2, while in the passband, the magnitude and phase of the magnetic field change progressively, indicating propagation of a wave. V. CONCLUSION An improved magnetic field probe incorporating a bonding wire and tapered transition has been proposed in this paper, and its superior performance has been demonstrated by comparing

KIM et al.: RESONANCE-SUPPRESSED MAGNETIC FIELD PROBE FOR EM FIELD-MAPPING SYSTEM

the measured results with theoretically predicted field data. The planar probe can measure – -directed magnetic field intensity and phase; however, it is possible to measure arbitrary field components with slight variation of the probe. The proposed probe minimizes the adverse phenomena, such as spurious radiation and resonances, by connecting two ground planes to provide an equipotential surface, ensuring smooth transitions between the feed line and probe antenna. The performance of the probe is demonstrated by measuring magnetic fields on the microstrip line and UWB BPF. It is clear that the proposed magnetic probe works very well in detecting a tangential magnetic field in a wide frequency range. The resolution of the electromagnetic field scanning system is mainly dependent on the size of the probe and it is possible to obtain sub-millimeter-wavelength resolution.

2699

[10] M. Yu, R. Vahldieck, and K. Wu, “Theoretical and experimental characterization of coplanar waveguide discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1638–1640, Sep. 1993. [11] W. T. Kim, S. S. Myoung, and J. G. Yook, “A study on RF component analysis using FDTD method,” Korea Electromagn. Eng. Soc., vol. 26, no. 1, pp. 93–96, May 2003.

Jung-Min Kim (S’01) was born in Seoul, Korea. He received the B.S. and M.S. degrees in electrical and electronics engineering from Yonsei University, Seoul, Korea, in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in electrical and electronics engineering at Yonsei University, Seoul, Korea. His research interests include miniaturized antennas, electromagnetic interference (EMI)/electromagnetic compatibility (EMC), signal integrity/power integrity (SI/PI), and microwave field-mapping system.

REFERENCES [1] K. Yang, G. David, J.-G. Yook, I. Papapolymerou, L. P. B. Katehi, and J. F. Whitaker, “Electrooptic mapping and finite-element modeling of the near-field pattern of a microstrip patch antenna,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 2, pp. 288–294, Feb. 2000. [2] J. Bokor, A. M. Jonson, R. H. Storz, and W. M. Simson, “High-speed circuit measurements using photoemission sampling,” Appl. Phys. Lett., pp. 226–228, Jul. 1986. [3] J. T. L. Tong, “Transit time effect in electron beam testing voltage measurements,” Meas. Sci. Technol., vol. 3, pp. 827–837, Sep. 1992. [4] M. S. Hill and A. Gopinath, “Probing Gunn domains at -band microwave frequencies using a scanning microscope,” J. Phys. D, Appl. Phys., vol. 7, pp. 69–77, Jan. 1974. [5] S. S. Osofsky and S. E. Schwarz, “A noncontacting probe for measurements on high-frequency planar circuits,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1989, pp. 823–825. [6] T. P. Budka, E. M. Tentzeris, S. D. Waclawik, N. I. Dib, L. P. B. Katehi, and G. M. Rebeiz, “An experimental and theoretical comparison of the electric fields above a coupled line bandpass filter,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 316-20, May 1995, pp. 1487–1490. [7] Y. Gao and I. Wolff, “A new miniature magnetic field probe for measuring three-dimensional fields in planar high frequency circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 911–918, Jun. 1996. [8] J.-M. Kim, W.-Y. Song, and J.-G. Yook, “Resonance suppressed magnetic probe for measuring electromagnetic field intensity,” IEEE Trans. Antennas Propag., no. 7, pp. 499–502, Jul. 2004. [9] J.-M. Kim and J.-G. Yook, “Resonace-suppressed wide-band probe for EM field scanner,” presented at the Asia–Pacific Microwave Conf., New Delhi, India, Dec. 15–18, 2004.

Woo-Tae Kim was born in Seoul, Korea. He received the B.S. and M.S. degrees in electrical and electronics engineering from Yonsei University, Seoul, Korea, in 2001 and 2003, respectively, and currently working toward the Ph.D. degree in electrical and electronics engineering at Yonsei University. His research interests include the finite-difference time-domain (FDTD) method and RF components and devices.

X

Jong-Gwan Yook (S’89–M’89) was born in Seoul, Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, Korea, in 1987 and 1989, respectively, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1996. He is currently an Associate Professor with the Department of Electrical and Electronic Engineering, Yonsei University. His main research interests are in the area of theoretical/numerical electromagnetic modeling and characterization of microwave/millimeter-wave circuits and components, very large scale integration (VLSI) and monolithic-microwave integrated-circuit (MMIC) interconnects, and RF microelectromechanical systems (MEMS) devices using frequency- and time-domain full-wave methods, and development of numerical techniques for analysis and design of high-speed high-frequency circuits with emphasis on parallel/super computing and wireless communication applications.

2700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

A Hybrid Drift-Diffusion–TLM Analysis of Traveling-Wave Photodetectors Damir Pasalic, Student Member, IEEE, and Rüdiger Vahldieck, Fellow, IEEE

Abstract—An efficient hybrid method for numerical analysis of traveling-wave photodetectors (TWPDs) is presented. The method is a combination of two-dimensional semiconductor and three-dimensional full-wave electromagnetic (EM) simulators. The timedomain drift-diffusion method is used to determine the photogenerated currents at the cross section of the device. Those currents are then defined as sources in the EM analysis to calculate the microwave bandwidth and output current of the device. In the analysis, the effects of the carrier velocity and lifetime, optical power, bias voltage, velocity mismatch, and microwave loss are taken into account. The method is tested in case of GaAs and low-temperature-grown GaAs-based TWPDs. A very good agreement between the theoretical and experimental data is observed. It was also possible to predict the saturation behavior of the TWPD under high optical illumination due to the field screening effect. Index Terms—Drift-diffusion (DD) modeling, low-temperature-grown (LTG) GaAs, photodetectors, transmission-line matrix (TLM) method, traveling-wave photodetectors (TWPDs).

I. INTRODUCTION

H

IGH-SPEED and efficient photoreceivers are key components of modern ultrawide-band optical communication systems. Traveling-wave photodetectors (TWPDs) having broad bandwidth and high efficiency are excellent candidates for such applications. Furthermore, the high power-handling capability of TWPDs is very important in microwave fiber-optic links, especially since optical pre-amplification is utilized more widely. The high power-handling capability of TWPDs comes from the fact that their output saturation current can be made high by reducing the modal optical absorption constant or by achieving uniform photoabsorption [1]. Another area of the TWPD’s application, which is becoming increasingly interesting, is the generation of high power millimeter-wave signals through optical heterodyning. This technique has been proposed for radio-over-fiber networks [2] and sub-millimeter-wave antenna array applications [3]. As has been demonstrated, the obtained millimeter-wave signals exhibit high spectral purity and stability. Beat frequencies from 30 to 650 GHz have been produced and even modulating one of the optical carriers for direct feeding of a millimeter-wave antenna has been achieved [4]. TWPDs are edge-coupled photodetectors, combining microwave and optical waveguides on the same multilayered guiding structure (Fig. 1). The optical waveguide is a mesa-type

Manuscript received January 20, 2005. This work was supported by the Swiss National Science Foundation under Project 200021-102034. The authors are with the Laboratory for Electromagnetic Fields and Microwave Electronics, Swiss Federal Institute of Technology Zürich, CH-8092 Zürich, Switzerland (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854201

Fig. 1. TWPD.

PiN or metal–semiconductor–metal (MSM) structure consisting of a light-absorbing intrinsic core and P- and/or N-type claddings. The microwave propagation is guided by coplanar-waveguide-like metallic electrodes. Since TWPDs are electrically distributed structures, matched to the output microwave circuit, their bandwidth is not RC time-constant limited. Instead, the TWPD’s bandwidth is limited by velocity mismatch between the optical and RF signals, microwave attenuation constant, and carrier drift/life time [5]. Therefore, the microwave propagation characteristics and the type of semiconductor materials used are of key importance for optimum bandwidth and efficiency of TWPDs. Thus far, the analysis of TWPDs as reported in the open literature is mostly based on equivalent-circuit or transmission-line models [5]–[8]. However, these models are linked to the specific geometries of photodetectors, and often do not compare well with measurements. For accurate analysis of TWPDs, allowing arbitrary designs, a full-wave electromagnetic (EM) analysis is necessary, taking into consideration the photodetectors’ inhomogeneity in both lateral and longitudinal directions [9]. Going in this direction, in [10], Kong et al. employed the finite-difference time-domain (FDTD) method for calculating the TWPD’s microwave propagation characteristics and bandwidth. The effects of the optical power were taken into account through source currents generated in the intrinsic region of the photodetectors. Similarly, in this paper, the time-domain transmission-line matrix (TLM) method has been used for rigorous analysis of TWPD structures [11]. Excellent agreement with FDTD data and experiment has been demonstrated. The carrier drift time was taken into consideration through time duration of the source current pulses. In [12], the effects of the reflected optical power from the output end of the short-length photodetectors have been analyzed. It was shown that the reflected power has a significant effect on both responsivity and bandwidth of TWPDs, and has to be taken into account in the simulations.

0018-9480/$20.00 © 2005 IEEE

PASALIC AND VAHLDIECK: HYBRID DD–TLM ANALYSIS OF TWPDs

2701

In [10]–[12], it was assumed that the bias voltage was high enough for the carriers to propagate at saturation velocities. Additionally, the assumption was made that photodetectors operate in the linear regime where optical power was low and no saturation due to field screening occurred. Furthermore, the formulas for current sources were derived analytically or empirically, which led to a reduced accuracy and generality of the approach. To eliminate these shortcomings in order to consider the effects of the bias voltage, field screening, Schottky contacts, and heterojunctions, this paper introduces a hybrid drift-diffusion (DD) TLM method for rigorous analysis of TWPDs. The method is tested with several TWPD structures and excellent agreement with the experiments was observed.

illumination is included in the model through the electron/hole generation term given by (2) is the optical power, is the illuminated area, is the is the optical absorption coefficient, optical wavelength, is the optical confinement factor, and is the optical field profile. When the impulse response of the photodetector is required, the applied optical pulse is a Gaussian pulse with standard deviation given by (3)

II. THEORY Since the TWPD is a combination of an optical and RF waveguide, both semiconductor and EM analyses have to be included in the characterization of the photodetector structure. Thus, the following algorithm is comprised of two parts, which are: 1) the semiconductor simulation and 2) the full-wave EM modeling. The semiconductor simulation is based on a two-dimensional (2-D) DD method [13], [14]. Here, the photogenerated current distribution at the photodetector’s cross section is calculated, taking into consideration carrier velocity and lifetime, bias voltage, type of the metallic contacts, optical field intensity, etc. Since the photogenerated current drives the microwave transmission line, the calculated currents are used as source currents in the full-wave EM simulation to obtain the microwave parameters of interest. For the full-wave simulations, we use the time-domain TLM method [15]. A. Semiconductor Simulation The conventional approach to physical modeling of semiconductor devices is to split Boltzmann’s equation into its first few moments and to solve the resulting transport equations. If the carrier energy is assumed to be constant throughout the device and, therefore, the carrier velocity is dependent on the electric field only, the obtained system of transport equations together with Poisson’s equation constitute the DD method. The time-domain DD equations are given as

(1) is the electrostatic potential, and are the elecwhere tron and hole carrier concentration, is the unit charge, and are vacuum and relative dielectric permittivites, are are the electron/hole the donor/acceptor concentrations, are current densities, is the carrier recombination rate, electron/hole generation rates, while and are electron/hole mobilities and diffusivities, respectively. The optical

where is the full-width half-maximum of the Gaussian pulse. The DD equations (1) are discretized by using the finite-difference method, where the Scharfetter–Gummel technique is applied to the discretization of the continuity equations [13]. since Special attention is paid to the carrier mobilities they depend on many factors such as doping concentrations, electric-field intensity, and temperature. The resulting nonlinear system of equations is solved for electron/hole concentrations and the electrostatic potential by using Newton’s method. Once the carrier concentrations and the potential are known, the current densities at the photodetector’s cross section are obtained from the last two equations of the system (1). B. Current Sources in TLM The time-domain TLM method of modeling EM fields has been used extensively for solving 2-D and three-dimensional (3-D) EM problems. The computational domain is discretized by symmetrical condensed nodes (SCNs), which represent 3-D transmission-line networks with 12 connecting ports. The EM field is represented by a set of voltage pulses, which scatter across the network of transmission lines. Several types of SCNs have been developed thus far such as the stub-loaded [15] and hybrid [16] nodes. Compared to the stub-loaded node, the hybrid node shows better dispersion characteristics and the time step can be made larger, especially when a highly graded mesh is used. Since TWPDs have some layers with lateral dimensions up to 1000 times smaller than the longitudinal dimension, a highly graded SCN mesh is used in the simulations. Therefore, in all the simulations, the hybrid node is used. The photogenerated current density calculated in the semiconductor simulation is included in the TLM analysis through current sources added at the center of each node. The scattering matrix of the hybrid node with current sources is given in [16] and [17]. III. RESULTS The above-described hybrid method enables us to model TWPDs of arbitrary geometry, taking into account the carrier life time, drift velocity, bias voltage, optical power, velocity mismatch, microwave losses, and dispersion. We have tested the method for three photodetectors taken from [6], [18], and [19].

2702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 3. Electrical frequency response of the GaAs TWPD from Fig. 2 for TM optical illumination. The experimental data are taken from [6]. Inset shows the calculated impulse response of the TWPD.

Fig. 2. TWPD taken from [6]. (a) The photodetector’s cross section. Doping concentrations are given in cm . All etching surfaces were passivated by polyimide. (b) Optical field profile.

A. GaAs-Based TWPD The cross section of the TWPD taken from [6] is shown in Fig. 2(a). The photodetector is designed for 830-nm optical wavelength with the absorption region made of 0.17- m-thick GaAs. The doping concentrations of the cladding layers are given in Fig. 2(a) in cm . The optical field profile and conare calculated using the frequency-domain finement factor transmission-line matrix (FDTLM) method utilizing perfectly matched layer (PML) absorbing boundary conditions [20]. As can be seen in Fig. 2(b), the maximum of the optical field is in the absorbing GaAs core region. However, the field is also spread across the N- and P- doped Al Ga As claddings. The confinement factor is found as 0.348, while the value of the optical absorption coefficient of GaAs at 800 nm used in the simulations is 12 000 cm . The GaAs TWPD is 7- m long and its center conductor width m. The input facet of the TWPD is left open, i.e., is the reverse-propagating RF wave will reflect with coefficient 1 from it. The gapwidth was not specified in [6]. It was determined by lithographic limitations. In our simulation, we assumed a of 3.6 m. The material parameters used in the gapwidth simulation are taken from [14] and [21]. The obtained photodetector bandwidth and impulse response are compared with the measurements in Fig. 3. The measurements are taken from [6], where they are obtained by electrooptic (EO) sampling and corrected for measurement error. In the simulation, the input optical signal was

assumed to be a Gaussian pulse with 150-fs FWHM. The calculated RF current at the output port had 1.577-ps FWHM, which compares well with the experimentally measured one of 1.47 ps. The good agreement is observed between the calculated and measured bandwidths, which are 202 and 190 GHz, respectively. It is shown in Fig. 3 that the agreement between the calculated and measured frequency response curves is very good at frequencies up to 400 GHz. Slight discrepancies occur due to the inaccuracy of the values of material parameters used in the simulation, as well as physical tolerances in the fabrication process of the TWPD structure. At frequencies higher than 400 GHz, the deviation of the measured curve from the theoretical one is increased. This can be explained by possible measurement errors at higher frequencies. However, it can be seen that the measured curve still oscillates around the simulated one. One of the problems found during the simulation was that the was not known. As shown in exact value of the gapwidth Fig. 4(a), the gapwidth affects the RF effective permittivity of the structure and, thus, the RF phase velocity. Since the TWPD’s bandwidth is determined by velocity mismatch between the RF and optical signals, it will be affected by the gapwidth. The 2-D characteristics of the GaAs TWPD were calculated by the FDTLM. The accuracy of this method for 2-D analysis of TWPDs was already confirmed in [9] and [22], where it compared well with experimental and Ansoft High Frequency Structure Simulator (HFSS) data. Fig. 4 shows a typical slowwave behavior of the TWPD. The value of the characteristic impedance of 30 is in good agreement with the data presented in [6]. When designing a TWPD, the characteristic impedance should be as high as possible to match the embedding 50- microwave circuit. In Fig. 4(a), we see that the effective permittivity of the TWPD decreases with decreasing gapwidth. Since the effective permittivity of the optical waveguide is 12.34, the optical and RF velocities will be better matched for smaller gapwidths. Thus, we expect that the TWPD’s bandwidth will increase with decreasing gapwidth, which is confirmed by the simulations. Fig. 5 shows the calculated impulse and frequency responses of the GaAs TWPD for different values of the gapwidth. The calculated FWHMs are 1.41, 1.57, and 1.71 ps for gapwidths of 2.1, 3.6, and 5.1 m, respectively. The corresponding bandwidths are 228, 202, and 182 GHz, respectively.

PASALIC AND VAHLDIECK: HYBRID DD–TLM ANALYSIS OF TWPDs

Fig. 4. RF propagation parameters of the GaAs TWPD for different values of gapwidth ( ). (a) Effective permittivity and attenuation coefficient. (b) Characteristic impedance.

w

2703

Fig. 5. Response of the GaAs TWPD for different gapwidths ( (a) Impulse response. (b) Frequency response.

w

).

B. Nonlinear Response of the GaAs-Based TWPD At high illumination intensity, the output current of the photodetector saturates and its bandwidth decreases. The main mechanism limiting the linearity of the TWPD at high illumination is the field screening effect, which arises when the dipole effect due to the spatial separation of the photogenerated charge, is large enough to reduce the drift field significantly. Fig. 6 shows the drift electric field along the symmetry plane of the GaAs TWPD from Fig. 2. The drift electric field points in the (transverse)-direction. The time when the fields are calculated is 0.38 ps, corresponding to the maximum value of the input optical power. One can see that as the optical power and photogenerated charge are increased, the drift field in the intrinsic region is reduced. The carriers then travel slower, causing the time spreading of the photocurrent pulse, which, of course, translates into lower bandwidth. The reduction in bandwidth is demonstrated in Fig. 7, where calculated and measured data are compared. The measured data were taken from [6] and corrected for the EO sampling system measurement error. One can see that the calculated bandwidths are very close to the measured data. Only at high values of the photogenerated charge is the agreement not so good. There are several possible reasons for this discrepancy such as heating, longitudinal carrier, and drift field gradient and changes in the applied bias voltage.

Fig. 6. Drift electric field along the symmetry plane of the TWPD from Fig. 2 for different values of photogenerated charge ( ).

Q

The absorption of the optical signal results in a rise of temperature inside the photodetector [1]. This is significant, especially at high input optical powers. The simple DD model presented in this paper does not take the heating into account and some deviation from the measurements is expected. The optical power distribution along a TWPD can be ex. Thus, pressed by a decreasing exponential law the photogenerated charge will have the same distribution in the -direction. The charge gradient in the longitudinal direction will cause the diffusion of the charge in that direction, i.e., the longitudinal component of the source current. In the case of low

2704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 7. Calculated and measured bandwidth versus photogenerated charge. The measurements are taken from [6] and corrected for the measurement error.

Fig. 8. Calculated and measured frequency response of the LTG GaAs TWPD. The measured data are taken from [18].

input optical power, the longitudinal component of the source current is not significant. This is because the transverse drift field is very strong, causing the majority of the carriers to drift in the transverse direction. However, when a high optical power is applied and the drift field is screened by the photogenerated carriers, the longitudinal component of the source current may become significant. In our model, we have used a 2-D semiconductor analysis; thus, the longitudinal component of the source current was not taken into account. This can cause the discrepancy between the simulated and measured data at high optical inputs. This discrepancy can be corrected by a full 3-D semiconductor analysis. Finally, a constant bias voltage was assumed in our simulations. However, as the microwave signal propagates along the TWPD, it can change the bias voltage. Usually, the voltage of the traveling wave is much lower than the bias voltage and its effect is not significant. Yet certain TWPDs (e.g., MSM-based TWPDs) are more sensitive to the bias change than others (e.g., PiN-based TWPDs). These TWPDs are more affected by the higher RF voltage generated from a very high optical input and, thus, change their RF characteristics. To investigate this effect more rigorously, a full integration of the DD and Maxwell’s equations is necessary.

culated by our model, the PDCM, and from measurements are 350, 408, and 370 GHz, respectively. The PDCM is an analytically derived technique, which looks different for different types of photodetectors. It is valid only for the linear regime of operation. Peak maximum current, saturation, and other effects cannot be predicted. Since it is an analytical technique, the PDCM produces results much faster than any numerical technique, but with a much more restricted application range. The hybrid DD TLM method presented in this paper considers the problem of TWPDs at a fundamental level. Thus, although slower, it provides greater accuracy, generality, and insight into the physics of TWPDs.

C. TWPD Based on Low-Temperature-Grown (LTG) GaAs As another example, the numerical method presented in this paper is used to analyze the TWPD with the absorbing layer made of LTG GaAs. The photodetector was taken from [18] with the same geometry and configuration as the GaAs-based TWPD shown in Fig. 2, except that the absorbing material is grown differently. The main characteristic of the LTG GaAs is that the carrier lifetime is less than 1 ps, much smaller than their transit time across the depleted region. Therefore, most of the photogenerated carriers never reach the conductive layers. In this case, carrier lifetime, rather than the drift time, becomes the limiting factor of the bandwidth. In the simulation, it was assumed that the carrier lifetime is 350 fs, the optical wavelength is 800 nm, and the optical pulsewidth is 100 fs [18]. The calculated frequency response is shown together with the measured response in Fig. 8. For comparison, we have also included data obtained by the photo-distributed current model (PDCM) [8]. The 3-dB bandwidths cal-

D. LTG GaAs-Based TWPD in 1.3- m Wavelength Regime Recently, it has been demonstrated that LTG GaAs-based TWPDs can be used at telecommunication wavelengths of 1.3–1.5 m [19]. LTG GaAs absorbs light of these wavelengths due to the midgap defects [8]. The described TWPDs exhibit broad electrical bandwidths and high output peak currents. Here, an LTG GaAs-based PiN TWPD taken from [19] is analyzed. The cross section of the photodetector is shown in Fig. 9. The TWPD has two highly doped 0.7- m-thick Al Ga As layers, both having conductivity of 10 S/m. The 0.25- m-thick LTG GaAs epilayer represents the active (photo-absorbing) region of the photodetector. The optical signal is confined in this region because of the optical index difference between the epilayers. The widths of the center conductor and the gap are both 0.9 m. The length of the photodetector is 40 m. The optical pulse applied at input has a FWHM of 130 fs, and a wavelength of 1.3 m. At this wavelength, LTG GaAs absorbs the light with the coefficient of 220 cm [8]. Using the FDTLM, we have calculated the optical power confinement factor to be 0.4073, making the effective optical attenuation coefficient 88 cm . Therefore, not all of the light will be absorbed by the time it reaches the output facet of the TWPD. The unabsorbed light will be reflected from the output facet with reflec, which we have assumed to be 1 (open tion coefficient circuit). The total RF current at the output of the photodetector will be a combination of currents generated by the forward and backward propagating optical signals [7]. Simulation results are shown in Fig. 10. We have performed the simulations with and without considering the optical reflection from the output facet. As can be seen in Fig. 10(a), the peak

PASALIC AND VAHLDIECK: HYBRID DD–TLM ANALYSIS OF TWPDs

2705

is 230 GHz. Since PDCM does not take the reflected optical signal into account, the frequency response curve obtained by this method follows in shape the curve obtained by our simula. tion when IV. CONCLUSION

Fig. 9.

Cross section of the PiN TWPD taken from [19].

An efficient hybrid method for rigorous analysis of TWPDs has been presented. The method is a combination of a 2-D DD method and a full-wave TLM simulator. The DD method is used for the semiconductor analysis of the photodetectors cross section to obtain photogenerated current densities taking into account carrier lifetime and saturation velocity, applied bias, optical power, etc. The calculated currents are used as sources in the full-wave EM analysis. As a result, the EM fields and the current and voltage at the output port of the TWPD structure are obtained, taking into account velocity mismatch and microwave and optical losses. The method was applied to a GaAs and LTG GaAs-based TWPD. Very good agreement between the theoretical and measured data was observed. It was also possible to predict the photodetector’s saturation behavior due to the field screening that arises when high-power optical illumination is applied. REFERENCES

Fig. 10. Response of the TWPD taken from [19]. (a) Impulse response. (b) Frequency response

output current is significantly reduced if the reflection of the optical signal is not considered. This is expected because the reflected signal generates additional source current, which translates into increased RF current at the output of the photodetector. Since the time needed for the absorption of the reflected optical signal is comparable to the carrier lifetime, the output current pulse is significantly wider when the optical reflection is considered. This, of course, translates into a reduced bandwidth, which can be seen in Fig. 10(b). The 3-dB bandwidth measured in [19] is 200 GHz. The bandwidth obtained by our simulation is 205 GHz with optical reflection and 222 GHz without optical reflection. Thus, the reflection of the optical signal from the output facet has to be considered for accurate analysis. Additionally, we have included PDCM data for comparison. The bandwidth obtained by the PDCM

[1] V. M. Hietala, G. A. Vawter, T. M. Brennan, and B. E. Hammons, “Traveling-wave photodetectors for high-power large-bandwidth applications,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2291–2298, Sep. 1995. [2] D. Wake, C. R. Lima, and P. A. Davis, “Optical generation of millimeterwave signals for fiber-radio systems using dual-mode DFB semiconductor lasers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2270–2276, Sep. 1995. [3] J. Payne, B. Shillue, and A. Vaccari, “Photonic techniques for the Atacama large millimeter array,” in Proc. Int. Microwave Photonic Topical Meeting, Melbourne, Australia, Nov. 1999, pp. 105–108. [4] A. Stöhr, A. Malcoci, A. Sauerwald, I. C. Mayorga, R. Guesten, and D. S. Jäger, “Ultra-wide-band traveling-wave photodetectors for photonic local oscillators,” J. Lightw. Technol., vol. 21, no. 12, pp. 3062–3070, Dec. 2003. [5] K. S. Giboney, R. Nagarajan, T. Reynolds, S. Allen, R. Mirin, and M. Rodwell, “Traveling-wave photodetectors with 172-GHz bandwidth and 76-GHz bandwidth-efficiency product,” IEEE Photon. Technol. Lett., vol. 7, no. 4, pp. 412–414, Apr. 1995. [6] K. S. Giboney, M. J. Rodwell, and J. E. Bowers, “Traveling-wave photodetector design and measurements,” IEEE J. Sel. Topics Quantum Electron., vol. 2, no. 3, pp. 622–629, Sep. 1996. [7] I. Huynen, A. Salamone, and M. Serres, “A traveling-wave model for optimizing the bandwidth of p-i-n photodetectors in silicon-on-insulator technology,” IEEE J. Sel. Topics Quantum Electron., vol. 4, no. 6, pp. 953–963, Nov./Dec. 1998. [8] J.-W. Shi and C.-K. Sun, “Design and analysis of long-absorption-length traveling-wave photodetectors,” J. Lightw. Technol., vol. 18, no. 12, pp. 2176–2187, Dec. 2000. [9] D. Pasalic and R. Vahldieck, “A rigorous analysis of distributed microwave effects in traveling wave photodetectors and their interconnections with passive microwave transmission lines,” in Proc. 33rd Eur. Microwave Conf., 2003, pp. 1401–1404. [10] S.-C. Kong, S.-J. Lee, J.-H. Lee, and Y.-W. Choi, “Numerical analysis of traveling-wave photodetectors’ bandwidth using the finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2589–2597, Nov. 2002. [11] D. Pasalic and R. Vahldieck, “Application of the TLM method for the characterization of traveling wave photodetectors,” in IEEE MTT-S Int. Microwave Symp. Dig., 2004, pp. 1787–1790. [12] R. Vahldieck and D. Pasalic, “Effects of high-power optical signal on the characteristics of TWPDs,” in Asia–Pacific Microwave Conf. Abstracts, New Delhi, India, Dec. 2004, p. 383.

2706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[13] S. Selberherr, Analysis and Simulation of Semiconductor Devices. Vienna, Austria: Springer-Verlag, 1984. [14] A. F. Salem, A. W. Smith, and K. F. Brennan, “Theoretical study of the effect of an AlGaAs double heterostructure on metal–semiconductor–metal photodetector performance,” IEEE Trans. Electron. Devices, vol. 41, no. 7, pp. 1112–1119, Jul. 1994. [15] P. B. Johns, “A symmetrical condensed node for the TLM method,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 370–377, Apr. 1987. [16] R. A. Scaramuzza and A. J. Lowery, “Hybrid symmetrical condensed node for the TLM method,” Electron. Lett., vol. 26, no. 23, pp. 1947–1949, Nov. 1990. [17] R. A. Scaramuzza and C. Christopoulos, “Developments in transmission line modeling and its application in electromagnetic field simulation,” Int. J. Comput. Math. Elect. Electron. Eng., vol. 11, no. 1, pp. 49–52, 1992. [18] Y.-J. Chiu, S. B. Fleischer, D. Lasaosa, and J. E. Bowers, “Ultrafast (370 GHz bandwidth) p-i-n traveling wave photodetector using low-temperature-grown GaAs,” Appl. Phys. Lett., vol. 71, no. 17, pp. 2508–2510, Oct. 27, 1997. [19] J.-W. Shi, Y.-H. Chen, K.-G. Gan, Y.-J. Chiu, C.-K. Sun, and J. E. Bowers, “High-speed and high-power performances of LTG-GaAs based metal–semiconductor–metal traveling wave photodetectors in 1.3-m wavelength regime,” IEEE Photon. Technol. Lett., vol. 14, no. 3, pp. 623–625, Mar. 2002. [20] D. Pasalic, J. Bornemann, and R. Vahldieck, “Absorbing boundary conditions in the frequency-domain TLM method and their application to planar circuits,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1469–1476, Aug. 2001. [21] F. Robin, Physical Parameters for Materials Grown on InP. Zürich, Switzerland: ETH Zürich, IFH, 1998. [22] D. Pasalic, R. Vahldieck, and A. Aste, “Rigorous analysis of traveling wave photodetectors under high-power illumination,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 1375–1378.

Damir Pasalic (S’98) was born in Sarajevo, Bosnia Herzegovina, in June 1972. He received the B.Eng. and M.A.Sc. degrees in electrical engineering from the University of Victoria, Victoria, BC, Canada, in 1999 and 2000, respectively, and is currently working toward the Ph.D. degree in microwave engineering at the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland. From 1996 to 1999, he was a Junior Research Assistant with the Department of Electrical and Computer Engineering, University of Victoria. His research interests include numerical modeling and design of microwave, millimeter-wave, and opto-electronic integrated circuits.

Rüdiger Vahldieck (M’85–SM’86–F’99) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the University of Bremen, Bremen, Germany, in 1980 and 1983, respectively. From 1984 to 1986, he was a Post-Doctoral Fellow with the University of Ottawa, Ottawa, ON, Canada. In 1986, he joined the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, where he became a Full Professor in 1991. During the fall of 1992 and the spring of 1993, he was a Visiting Scientist with the Ferdinand-Braun-Institute für Hochfrequenztechnik, Berlin, Germany. In 1997, he accepted an appointment as a Professor of EM-field theory with the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, and became Head of the Laboratory for Electromagnetic Fields and Microwave Electronics (IFH) in 2003. His research interests include computational electromagnetics in the general area of electromagnetic compatibility (EMC) and, in particular, for computer-aided design of microwave, millimeter-wave, and opto-electronic integrated circuits. Since 1981, he has authored or coauthored over 230 technical papers in books, journals, and conferences, mainly in the field of microwave computer-aided design. Prof. Vahldieck is the past president of the IEEE 2000 International Zürich Seminar on Broadband Communications (IZS’2000). Since 2003, he has been president and general chairman of the International Zürich Symposium on Electromagnetic Compatibility. He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. From 2000 to 2003, he was an associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, and in January 2004, he became the editor-in-chief. Since 1992, he has served on the Technical Program Committee (TPC) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), the IEEE MTT-S Technical Committee on Microwave Field Theory, and in 1999, on the TPC of the European Microwave Conference. From 1998 to 2003, he was the chapter chairman of the IEEE Swiss Joint Chapter on Microwave Theory and Techniques, Antennas and Propagation, and EMC. Since 2005, he has been president of the Research Foundation for Mobile Communications. He was the recipient of the J. K. Mitra Award of the Institution of Electronics and Telecommunication Engineers (IETE) (in 1996) for the best research paper in 1995 and was corecipient of the Outstanding Publication Award of the Institution of Electronic and Radio Engineers in 1983.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2707

An Electronically Tunable Microstrip Bandpass Filter Using Thin-Film Barium–Strontium–Titanate (BST) Varactors Jayesh Nath, Student Member, IEEE, Dipankar Ghosh, Jon-Paul Maria, Angus I. Kingon, Member, IEEE, Wael Fathelbab, Member, IEEE, Paul D. Franzon, Senior Member, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—A tunable third-order combline bandpass filter using thin-film barium–strontium–titanate varactors and fabricated on a sapphire substrate is reported. Application of 0–200-V bias varied the center frequency of the filter from 2.44 to 2.88 GHz (16% tuning) while achieving a 1-dB bandwidth of 400 MHz. The insertion loss varied from 5.1 dB at zero bias to 3.3 dB at full bias, while the return loss exceeded 13 dB over the range. The third-order intercept of the filter was found to be 41 dBm. Index Terms—Barium strontium titanate (BST), combline filter, ferroelectric films, intermodulation distortion, microstrip filters, resonators, thin-film devices, tunable filters, varactor.

I. INTRODUCTION

T

UNABLE filters have been investigated for insertion in multifunctional multiband RF and microwave systems. Compared to a bank of fixed filters, a tunable filter promises greater functionality, better channel selectivity, reduced size, and lower weight since the same hardware can be employed at multiple bands. Many technologies have been considered. Mechanically tunable filter technology is well established, but such filters are slow and bulky [1]–[4]. More recently, miniature incarnations of mechanically tunable filters have been implemented using micro electromechanical systems (MEMS) varactors [5]. MEMS-based filters [6], [7] are small and have low insertion loss, but the fastest tuning speeds are around a microsecond. The reversed-biased semiconductor diode is another varactor technology enabling tunable-filters, but these have relatively high insertion loss (because of low ) at microwave frequencies and have limited power-handling ability [8], [9]. Thin-film ferroelectrics, such as barium strontium titanate (BST) have been investigated for application in low-loss filters that can be tuned quickly [10]–[14]. Used in the paraelectric phase, these materials typically exhibit a large dielectric Manuscript received January 20, 2005; revised May 22, 2005. This work was supported by the U.S. Army Research Office as a Multi-Disciplinary University Research Initiative on Multifunctional Adaptive Radio Radar and Sensors under Grant DAAD19-01-1-0496. J. Nath, W. Fathelbab, P. D. Franzon, and M. B. Steer are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695-7914 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). D. Ghosh, J.-P. Maria, and A. I. Kingon are with the Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC 27695-7919 USA (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854196

– for BST) that can be changed by an constant ( external bias voltage. Since the paraelectric phase is characterized by the absence of domain walls, relatively low loss is achieved compared to the material in the ferroelectric phase. Ferroelectrics can be fabricated on a variety of substrates using standard semiconductor manufacturing processes. They can be tuned at nanosecond speeds, and have relaxed packaging requirements compared to MEMS. A large design space enables tradeoff of power-handling capability with the bias voltages required to achieve tuning [15]. Loss in BST filters derives from dielectric loss and conductor loss. BST varactors can be fabricated either in a parallel plate [i.e., metal–insulator–metal (MIM)] or interdigitated capacitor (IDC) configurations. Being 1 m or less thick typically, MIM varactors require much lower tuning voltages (5–20 V for maximum tuning) compared to IDC varactors with 3 m or more finger spacing (100–200 V). However, with MIMs, 1 m or less lithography is needed to realize the 0.1–3 pF capacitances typically required in lumped and distributed-lumped microwave filters. With thin-film BST-based IDCs, the required capacitor values can be obtained with a gap spacing of 3 m or so. Fortuitously, an IDC also enables designs that require only a single level of metallization. Tunable thin-film BST-based filters typically have achieved insertion losses in the range of 3–7 dB with a center frequency of 0.2–1.4 GHz [16]–[18]. These filters used discrete MIM BST varactors and there have been reports of tunable filters using BST thin-film IDC varactors above 1 GHz. A bulk BST-based tunable filter implemented in low-temperature co-fired ceramic (LTCC) with 4.3 dB of insertion loss and a center frequency in the range of 1710–1980 MHz was reported in [19]. In this paper, we present a room-temperature third-order combline bandpass filter using thin-film BST IDC varactors. Single-layer lithography on sapphire and copper metallization is used to achieve insertion losses in the 3–5-dB range at 2.5 GHz. Fabrication and design details, measurement results, and nonlinear characterization of the filters are presented. II. FABRICATION OF BST INTERDIGITATED VARACTORS In this study, we use single crystalline sapphire as a substrate for deposition of BST thin film by RF sputtering. Sapphire has attractive microwave properties such as low-loss tangent at microwave frequencies and high dielectric strength. The coefficient of thermal expansion (CTE) (

0018-9480/$20.00 © 2005 IEEE

2708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

ppm) of sapphire is close to that of BST ( ppm) and, hence, high-temperature annealing is possible without cracking the BST thin films. An RF magnetron sputtering technique was used to deposit (Ba Sr ) TiO on polished single crystalline -plane sapphire substrates (Commercial Crystal Laboratories Inc., Naples, FL). A Ba/Sr composition of 75/25 was chosen for optimum balance between dielectric tunability and loss tangent. The substrates were 10 mm 10 mm in size and 500- m thick. Sputtering was done in an argon/oxygen mixture at a pressure of 10 mtorr and a temperature of 300 C to obtain a 0.6- m-thick BST film. After deposition, the film was annealed at 900 C for 20 h to obtain a fully dense and crystalline BST thin film. A hysteresis test was performed to confirm that the thin film was in the paraelectric phase. The crystalline structure of the film was investigated using a diffractometer with a CuK radiation source and showed a fully crystalline BST perovskite structure. Noble metals such as platinum, gold and iridium have typically been used as electrodes in oxide-based (e.g., BST) thin-film devices, as they are generally nonreactive upon contact with oxides. As well, their large work functions result in Schottky contacts. However, platinum and iridium have high resistivity and, thus, high electrode thicknesses (several micrometers) are necessary to achieve acceptably low equivalent series resistance (ESR) of a device. This leads to difficulty in fabricating IDCs with finger spacing of a few micrometers. Gold is to be avoided because it is expensive. We have developed inexpensive low-resistivity chrome/copper electrodes for BST devices providing good adhesion of the electrodes to the BST and minimizing the deleterious oxidation effect at the metal/BST interface [20]. A thin layer of chromium (0.03 m) was sputtered followed by deposition of 1.0 m of copper by thermal evaporation. The final step was depositing a thin 0.03- m capping layer of platinum to prevent ambient oxidation of copper and also to facilitate adhesion of gold wire bonds to the feed lines. A step-by-step process flow is shown in Fig. 1 yielding an IDC of the form shown in Fig. 1(g). Standard photolithography and a metal liftoff process were used to define the fingers of the interdigitated varactor and feed electrodes. A liftoff process was used since it utilizes benign chemicals, which do not harm the BST. A consequence of using liftoff is that electrode thickness is limited. Positive imaging photoresist Shipley 1813 and Microchem LOR 5A was used to deposit a thick bilayer photoresist stack for liftoff. After standard UV exposure and development of the photoresist, the sample was metallized. Finally, liftoff was done in a Microchem Remover PG solution to define the interdigitated fingers.

Fig. 1. Process flow for BST interdigitated varactor fabrication. (a) Deposition of BST thin film by sputtering. (b) Positive imaging resist and liftoff resist deposition. (c) UV exposure. (d) Resist development. (e) Cr and Cu deposition. (f) Patterning of top-metal by liftoff. (g) Schematic of interdigital capacitor.

III. FILTER DESIGN AND FABRICATION The BST IDCs were used to realize third-order tunable combline bandpass filters. Initial filter synthesis was undertaken using the MFilter tool in GENESYS1 and, subsequently, dimensions were optimized to achieve the filter specifications 1Eagleware Corporation, Norcross, GA. [Online]. Available: http://www.eagleware.com

Fig. 2.

Schematic of the tunable combline bandpass filter.

and also to equalize both the BST capacitor values and the sensitivity of the filter to BST capacitor variations (under bias).

NATH et al.: ELECTRONICALLY TUNABLE MICROSTRIP BANDPASS FILTER

2709

Fig. 3. Nominal tuning curve of the BST IDC varactor (20 fingers each of width 5 m and length 100 m, finger spacing is 5 m) at 1 MHz.

Fig. 5. Measured filter data. (a) Comparison of model versus measured data for 0- and 200-V bias. (b) Insertion loss versus bias. (c) Return loss versus bias.

Fig. 4. Assembled filter. (a) Wraparound ground and microstrip-to-CPW adaptors. (b) Filter under test.

This procedure ensured a smooth variation of the center freof the filter under bias and minimized distortion of quency the filter bandpass characteristics during tuning. Filter dimensions were also adjusted to permit ground wrapping for the top and bottom grounds, as shown in Fig. 2. The optimized filter m, m, m, parameters were m, m, and m, yielding a filter with a midcenter frequency of 2.4 GHz and a 1-dB bandwidth of 300 MHz. The nominal electrical length of the resonators was 58 at the center frequency with a characteristic impedance of 69 .The required capacitance of the BST varactors was 0.6 pF, achieved using a 12-finger IDC of length 200 m, width 5 m, and spacing (5 m) (after [21] and [22]).

The characteristic of one IDC is shown in Fig. 3. The varactor shows a 12% tuning with an applied bias of 35 V at 1 MHz. The finger spacing was 5 m and, hence, there was a tuning field of 70 kV/cm across adjacent fingers. The factor of the varactor was found to be 100 at 0-V bias, increasing to 250 at 35-V bias, both measured at 1 MHz. The assembled bandpass filter and the filter under test is shown in Fig. 4(a) and (b), respectively. The biasing network for each BST IDC consisted of a 1.0-nF dc blocking capacitor rated at 200 V in series with the IDC. In final assembly, the ground connections were made using conductive epoxy and wrapping it over the edge of the substrate. CPW-to-microstrip adaptors2 at the feed lines enabled CPW probing. IV. FILTER CHARACTERIZATION The measured filter characteristics are shown in Fig. 5. The bias was varied up to 200 V and the -parameters recorded at each bias point. A filter model was implemented in Agilent ADS using measured parasitic data and other lumped-element parameters from the datasheets. The agreement between the modeled and measured insertion loss is shown in Fig. 5(a). For the sake of clarity, the comparison is shown only for two ends of the bias 2J Micro Technology Inc., Portland, OR. [Online]. Available: http://www.jmicrotechnology.com

2710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I LEAKAGE CURRENT VERSUS BIAS VOLTAGE

and not by losses in the BST. As previously reported in [23], a similar bandpass filter with 0.5 m of sputtered copper showed an insertion loss of 8.3 dB at zero bias and 6.7 dB at 180-V bias. The total dc power consumer by the filter was approximately 12 W over the range of bias voltage investigated. The total leakage current drawn by all three BST capacitors for four different bias voltages is listed in Table I. The linearity of the tunable filter was characterized using a conventional two-tone intermodulation test (see Fig. 6) while ensuring that passive intermodulation of the test set was negligible. Results of the two-tone test are shown in Fig. 7 with a third-order intercept point (IP3) of 41 dBm. For comparison, the peak-to-peak voltage of a 1-W sinusoidal signal in a 50system is 20 V. It is worth noting that the relatively higher tuning voltages required for IDCs compared to MIM varactors renders them insensitive to a large swing in RF voltages and, therefore, leads to improved linearity, thus affording a much higher IP3. V. CONCLUSION

Fig. 6. Experimental setup for intermodulation measurements using tones at 2.35 and 2.36 GHz.

A room-temperature tunable combline bandpass filter using a BST thin-film interdigitated varactor and a new metallization scheme has been presented. The bandpass filter achieved 16% tunability upon the application of 0–200-V bias. The 200-V bias required is not prohibitive, as negligible power is required to tune the IDC. The insertion loss of the filter was 5.1 dB at zero bias and decreased to 3.3 dB at a high bias state. An intermodulation test of the filter showed an IP3 of 41 dBm. With the current state of technology, a liftoff process was required to realize the IDCs, and this limits the top electrode thickness. This can be expected to change in the near future as etching technology improves by identifying electrode etchants that do not damage the BST film. ACKNOWLEDGMENT The authors would like to acknowledge the assistance of Dr. S. Lipa, A. Walker, and Dr. K. Gard, all of North Carolina State University, Raleigh.

Fig. 7. Measured fundamental and intermodulation responses of the filter in a two-tone test.

range, but the model hold equally well at all bias voltages. The insertion and return losses of the filter are presented in Fig. 5(b) and (c), respectively, at bias points from 0 to 200 V in 25-V steps. The filter has a minimum zero bias insertion loss of 5.1 dB at 2.44 GHz. The filter was tuned to 2.88 GHz (16% tuning) with 200-V bias and the minimum insertion loss was further reduced to 3.3 dB. This was due in part to improved matching and also due in part to a higher factor of the BST varactors at high bias voltages. The return loss was better than 13 dB for all bias voltages. It should be noted that the measured 1-dB bandwidth of the filter was 400 MHz compared to the designed value of 300 MHz. Broadening was presumably due to parasitics that were not accounted for. This difference can be attributed to losses in the metal and also the parasitic associated with the filter assembly. Experiments with different metallization thickness indicate that insertion loss is dominated by electrode losses

REFERENCES [1] J. Uher and W. J. R. Hoefer, “Tunable microwave and millimeter-wave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 643–653, Apr. 1991. [2] Y. Ishikawa, T. Nishikawa, T. Okada, S. Shinumura, Y. Kamado, F. Kanaya, and K. Wakino, “Mechanically tunable MSW bandpass filter with combined magnetic units,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, May 1990, pp. 143–146. [3] J. McCleary, L. Yi-Ming, and K. Change, “ -band slot-fed higher order-mode low-loss Fabry–Perot filters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1423–1426, Jul. 1994. [4] S. Hontsu, S. Mine, H. Nishikawa, M. Nakamori, A. Fujimaki, M. Inoue, A. Maehara, and T. Kawai, “Study of mechanically tunable superconducting microwave filter using lumped elements,” IEEE Trans. Appl. Supercond., vol. 13, no. 2, pp. 720–723, Jun. 2003. [5] E. R. Brown, “RF-MEMS switches for reconfigurable integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1868–1880, Nov. 1998. [6] C. T.-C. Nguyen, L. P. B. Katehi, and G. M. Rebeiz, “Micromachined devices for wireless communications,” Proc. IEEE, vol. 86, no. 8, pp. 1756–1768, Aug. 1998. [7] A. A. Tamijani, L. Dussopt, and G. M. Rebeiz, “Miniature and tunable filters using MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1878–1885, Jul. 2003.

Ka

NATH et al.: ELECTRONICALLY TUNABLE MICROSTRIP BANDPASS FILTER

[8] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [9] M. Makimoto and M. Sagawa, “Varactor tuned bandpass filter using microstrip-line ring resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1986, pp. 411–414. [10] A. T. Findikoglu, Q. X. Jia, and X. D. Wu, “Tunable and adaptive bandpass filter using a nonlinear dielectric thin film of SrTiO ,” Appl. Phys. Lett., vol. 68, no. 12, pp. 1651–1653, Mar. 1996. [11] F. A. Miranda, C. H. Mueller, C. D. Cubbage, K. B. Bhasin, R. K. Singh, and S. D. Harkness, “HTS/ferroelectric thin films for tunable microwave components,” IEEE Trans. Appl. Supercond., vol. 5, no. 2, pp. 3191–3194, Jun. 1995. [12] G. Subramanyam, F. W. van Keuls, and F. A. Miranda, “A -band tunable microstrip bandpass filter using a thin-film conductor/ferroelectric/dielectric multilayer configuration,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 78–80, Feb. 1998. [13] F. A. Miranda, G. Subramanyam, F. W. van Keuls, R. R. Romanofsky, J. D. Warner, and C. H. Mueller, “Design and development of ferroelectric - and -band satellite commutunable microwave components for nication systems,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1181–1189, Jul. 2000. [14] B. H. Moeckly and Y. Zhang, “Strontium titanate thin films for tunable YBa Cu O microwave filters,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 450–453, Mar. 2001. [15] A. Kozyrev, A. Ivanov, V. Keis, M. Khazov, V. Osadchy, T. Samoilova, O. Soldatenkov, A. Pavlov, G. Koepf, C. Mueller, D. Galt, and T. Rivkin, “Ferroelectric films: Nonlinear properties and applications in microwave devices,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1998, pp. 985–988. [16] A. Tombak, F. T. Ayguavives, J.-P. Maria, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Tunable RF filters using thin film barium strontium titanate based capacitors,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, May 2001, pp. 1453–1456. [17] A. Tombak, J.-P. Maria, F. T. Ayguavives, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Voltage-controlled RF filters employing thin-film barium–strontium–titanate tunable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 462–467, Feb. 2003. [18] B. Noren, “Thin film barium strontium titanate (BST) for a new class of tunable RF components,” Microwave J., vol. 47, no. 5, pp. 210–220, May 2004. [19] M. Rahman and K. Shamsaifar, “Electronically tunable LTCC based multi-layer filter for mobile handset applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2003, pp. 1767–1770. [20] W. Fan, B. Kabius, J. M. Miller, S. Saha, J. A. Carlisle, O. Aucielle, R. P. H. Chang, and R. Ramesh, “Materials science and integration bases TiO ) thin film capacitors with layered for fabrication of (Ba Sr Cu-based electrodes,” J. Appl. Phys., vol. 94, no. 9, pp. 6192–6200, Nov. 2003. [21] S. S. Gevorgian, T. Martinsson, P. L. J. Linner, and E. L. Kollberg, “CAD models for multilayered substrate interdigital capacitors,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 896–904, Jun. 1996. [22] J. Nath, D. Ghosh, J.-P. Maria, M. B. Steer, A. I. Kingon, and G. T. Stauf, “Microwave properties of BST thin film interdigital capacitors on low cost alumina substrates,” in Proc. 34th Eur. Microwave Conf., Oct. 2004, pp. 1497–1500. [23] J. Nath, D. Ghosh, J.-P. Maria, M. B. Steer, and A. I. Kingon, “A tunable combline bandpass filter using thin-film barium strontium titanate (BST),” in Proc. Asia–Pacific Microwave Conf., Dec. 2004, pp. 939–940.

2711

line (DSL) systems. Since Fall 2001, he has been a Graduate Research Assistant with North Carolina State University, where he has been involved with numerous research projects. His main research interests include design, characterization, and modeling of tunable RF and microwave devices based on BST thin film, electromagnetic design and modeling, measurement and calibration techniques, integrated passives and three-dimensional (3-D) packaging. Mr. Nath is a student member of the Automatic RF Techniques Group (ARFTG), the International Microelectronics and Packaging Society (IMAPS), the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Circuits and Systems Society, and the IEEE Components, Packaging, and Manufacturing Technology Society.

K

Ku

K

Jayesh Nath (S’99) received the B.E. degree (with honors) in electronics and communication engineering from the Birla Institute of Technology–Mesra, Ranchi, India, in 2001, and is currently working toward the Ph.D. degree in electrical and computer engineering at North Carolina State University, Raleigh. He interned at TV broadcasting stations and the Telephone Switching Exchange during his undergraduate studies. His undergraduate research was focused on analog filter design for digital subscriber

Dipankar Ghosh received the B.Tech. degree (Hons.) in metallurgical and materials engineering from the Indian Institute of Technology (IIT), Kharagpur, India, in 1999, the M.S. degree in materials science and engineering from the University of Cincinnati, Cincinnati, OH, in 2002, and is currently working toward the Ph.D. degree in materials science and engineering at North Carolina State University, Raleigh. Since 2002, he has been a Research Assistant with the Electroceramic Thin Film Group, North Carolina State University. His current research interests include fabrication and characterization of ferroelectric thin films in frequency agile microwave devices for RF and microwave applications. Mr. Ghosh is a student member of the American Ceramic Society (ACers) and the International Microelectronics and Packaging Society (IMAPS).

Jon-Paul Maria, photograph and biography not available at time of publication.

Angus I. Kingon (M’92), photograph and biography not available at time of publication.

Wael Fathelbab (M’03) received the Bachelor of Engineering (B.Eng.) and Doctor of Philosophy (Ph.D.) degrees from the University of Bradford, Bradford, U.K., in 1995, and 1999 respectively. From 1999 to 2001, he was an RF Engineer with Filtronic Comtek (U.K.) Ltd., where he was involved in the design and development of filters and multiplexers for various cellular base-station applications. He was subsequently involved with the design of novel RF front-end transceivers for the U.K. market with the Mobile Handset Division, NEC Technologies (U.K.) Ltd. He is currently a Research Associate with the Department of Electrical and Computer Engineering, North Carolina State University. His research interests include network filter theory, synthesis of passive and tunable microwave devices, and the design of broad-band matching circuits.

2712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Paul D. Franzon (S’85–M’88–SM’99) received the Ph.D. from the University of Adelaide, Adelaide, Australia, in 1988. He is currently a Distinguished Alumni Professor of Electrical and Computer Engineering with North Carolina State University (NCSU), Raleigh. He has also been with AT&T Bell Laboratories, Defense Science and Technology Organization (DSTO) Australia, Australia Telecom, and two companies he cofounded, Communica and LightSpin Technologies. His current interests center on the technology and design of complex systems incorporating very large scale integration (VLSI), MEMS, advanced packaging, and molecular electronics. The application areas that he currently explores include novel advanced packaging structures, network processors, silicon-on-insulator (SOI) baseband radio circuit design for deep space, on-chip inductor and inductance issues, RF MEMS, and moleware circuits and characterization. He has led several major efforts and published over 120 papers in these areas. Dr. Franzon was the recipient of the 1993 National Science Foundation (NSF) Young Investigators Award. In 2001, he was selected to join the NCSU Academy of Outstanding Teachers, and in 2003, he was selected a Distinguished Alumni Professor.

Michael B. Steer (S’76–M’82–SM’90–F’99) received the B.E. and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Australia, in 1976 and 1983, respectively. He is currently a Professor with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh. In 1999 and 2000, he was a Professor with the School of Electronic and Electrical Engineering, The University of Leeds, where he held the Chair in microwave and millimeter-wave electronics. He was also Director of the Institute of Microwaves and Photonics, The University of Leeds. He has authored approximately 300 publications on topics related to RF, microwave and millimeter-wave systems, high-speed digital design, and RF and microwave design methodology and circuit simulation. He coauthored Foundations of Interconnect and Microstrip Design (New York: Wiley, 2000). Prof. Steer is active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). In 1997, he was secretary of the IEEE MTT-S. From 1998 to 2000, he was an elected member of its Administrative Committee. He is the Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2006). He was a 1987 Presidential Young Investigator (USA). In 1994 and 1996, he was the recipient of the Bronze Medallion presented by the Army Research Office for “Outstanding Scientific Accomplishment.” He was also the recipient of the 2003 Alcoa Foundation Distinguished Research Award presented by North Carolina State University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2713

Miniaturized Microwave Passive Filter Incorporating Multilayer Synthetic Quasi-TEM Transmission Line Hsien-Shun Wu, Member, IEEE, Houng-Jay Yang, Ching-Juang Peng, and Ching-Kuang C. Tzuang, Fellow, IEEE

Abstract—This paper presents a novel approach to miniaturizing a transmission-line (TL)-based bandpass filter (BPF). The BPF is miniaturized by incorporating multilayer stacked synthetic quasi-TEM TLs made of complementary conducting strip (CCS) metal surfaces. The stacked CCS TLs are separated by a meshed ground plane, whose coupling effect was experimentally shown negligibly to affect desired circuit performance. A brief description of the symmetric third-order TL filter synthesis procedure is reported to map such an idealized BPF to the prototype made of the stacked synthetic CCS TLs. Excellent agreement is obtained by comparing the experimental and theoretical filter frequency responses showing a 2.46-dB insertion loss, a 16.8-dB return loss with under 5% offset of low-side out-band transmission zeros, and a 2% offset of center frequency. A quick estimate of the proposed miniaturized filter design based on synthetic quasi-TEM lines shows that the particular filter prototype approaches the process limit. Index Terms—Bandpass filter (BPF), multilayer, multistandard, RF system-on-chip (SOC), synthetic transmission line (TL), system-in-package (SIP), wireless local area network (WLAN).

I. INTRODUCTION ORTABLE wireless devices have evolved into the convergence realm, integrating multifrequency and multistandard protocols, greatly enhancing the handheld devices’ capabilities in managing voice and multimedia data. Smart phones, combining wireless local area network (WLAN) and cellular phones, are one example of this trend, which is driven by continuing technological development in the system-on-chip (SOC) and system-in-package (SIP). Although as many as possible wireless building blocks have been integrated into the SOC, antenna, filter, baluns, and other devices are mostly in discrete forms or embedded into the SIP. A complete solution for making a wireless device with a very small form factor is becoming a reality by combining advanced techniques of the SOC and SIP. Thus, this paper focuses on the miniaturization of the filter to the highest degree of integration density. What follows is the survey of filters, which include the state-of-the-art discrete fil-

P

Manuscript received January 20, 2005. This work was supported in part by the Ministry of Education of Taiwan under Grant NSC 93-2752-E-002-009-PAE and in part by Epic Communication Inc. under Grant 92C202. H.-S. Wu is with the Electrical Communication Engineering Department, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. H.-J. Yang was with the Electrical Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. C.-J. Peng is with Epic Communication Inc., Hsinchu 300, Taiwan, R.O.C. C.-K. C. Tzuang is with the Graduate Institute of Communication Engineering, Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854193

ters reported recently in the market,1234567891011 technical literature [1]–[23], and the filters in the advanced SIP [24]–[30]. Without loss of generality, Fig. 1 plots the thickness against volume for 2.4-GHz industrial–scientific–medical (ISM) bandpass filters (BPFs), allowing the assessment of the incorporation of filters in a specific user-defined environment to determine whether the filter with the small form factor is too thick or too thin to fit in the final integrated product. The square and triangular symbols represent filter with three and two reflection zeros in the passband, respectively. Closely examining the statistics shown in Fig. 1 supports the following summary. First, the volumes required to realize a BPF with three reflection zeros are about one-half of those of a BPF with two reflection zeros. Second, these commercially available low-temperature co-fired ceramic (LTCC)-based filters contain approximately ten layers or more and, thus, are approximately 0.8-mm thick in most designs. Third, transmission-line (TL)-based microwave filters [5]–[8] are normally large with volumes over 14 mm ; independent of the number of reflection zeros in the passband. Fig. 1 also presents the sizes of the filters designed by the proposed integration method with various numbers of dielectric layers for two and three reflection zeros, respectively. The BPF presented herein contains only TL elements to illustrate the core technique of incorporating synthetic quasi-TEM lines in the multilayer substrate environment. Synthetic quasi-TEM lines were recently reported for a miniaturized four-port rat-race device in printed circuit board (PCB) and a CMOS oscillator with a size comparable to those achieved 1LFB182G45SG9A246, Murata Manufact. Company, Koyoto, Japan. [Online]. Available: http://www.murata.com/ 2DEA202450BT-1213C1, TDK Company Amer., Tokyo, Japan. [Online]. Available: http://www.component.tdk.com/ 3LTF2012B-F2R4B, TOKO Amer. Inc., Mount Prospect, IL. [Online]. Available: http://www.tokoam.com/ 4BF2012-F2R4DAA, Advanced Ceramic X Company, Hsinchu, Taiwan, R.O.C. [Online]. Available: http://www.acxc.com.tw/ 52450BP15B100, Johanson Technol. Inc., Camarillo, CA. [Online]. Available: http://www.johansontechnology.com 6DEA252450BT-2027A1, TDK Company Amer., Tokyo, Japan. [Online]. Available: http://www.component.tdk.com/ 7BF3216-B2R4BAA, Adv. Ceramic X Company, Hsinchu, Taiwan, R.O.C. [Online]. Available: http://www.acxc.com.tw/ 82450BP18C100D, Johanson Technol. Inc., Camarillo, CA. [Online]. Available: http://www.johansontechnology.com/ 9B69812N2457C101, EPCOS, Munich, Germany. [Online]. Available: http://www.epcos.com/web/home/html/home_e.html 10LFS2450-01BB-C, NTK Technol. Inc., Chicago, IL. [Online]. Available: http://www.ntktech.com/ 11LFB322G45SN1A504, Murata Manufact. Company, Kyoto, Japan. [Online]. Available: http://www.murata.com/

0018-9480/$20.00 © 2005 IEEE

2714

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Survey of 2.4-GHz ISM-band BPFs in size (volume) and thickness.

by the parallel inductor-capacitor approach [31]. This paper proposes a new approach to systematically reducing the size of filter based on multilayer substrate technology. The application of the synthetic quasi-TEM lines results in the filter designs of almost nearly the same volume as the number of substrates is increased. A designer can, therefore, optimize the area and thickness required for product integration. Section II will describe how to realize synthetic quasi-TEM lines in a multilayered substrate configuration. Followed by the measured validation that enough isolation can be achieved for various devices integrated in different layers, Section III reports the procedure for designing a TL filter to demonstrate the feasibility of incorporating the multilayer synthetic quasi-TEM line for making multilayered miniaturized filters. Section IV presents theoretical and measured results, indicating that the current approach meets the state-of-the-art size requirements for practical implementation in multistandard wireless product designs. Section V reports a quick estimate that the proposed integration method adopted for filter miniaturization approaches the process limit. Section VI concludes this paper. II. MULTILAYER SYNTHETIC QUASI-TEM TL Recently, a new artificially engineered synthetic TL—the so-called complementary conducting strip transmission line (CCS TL)—was reported to be an effective means of miniaturizing microwave circuits [31]. The CCS TL has the following characteristics. It firstly provides wide design choices for making characteristic impedance of the TL without changing the process parameters and material constants. Second, the meandered CCS TL exhibits less bending and adjacent coupling effects, as indicated by the slower change in characteristic impedance against the width variation in the TL than the conventional meandered microstrip used in the same fashion [31, Fig. 5]. Therefore, a compact microwave circuit can be established using the meandered CCS TL, finally achieving miniaturization. The CCS TL is made from a unit cell, which has dimensions that are much smaller than the operating wavelength. As shown in Fig. 2, a unit cell contains a mesh ground plane and a central patch with at least two series arms for cells in series [see Fig. 2(a)] and bent [see Fig. 2(b)] connection to the adjacent

Fig. 2. Unit cells of CCS TL. (a) For series connection. (b) For bent connection.

cells. The etched portion of the meshed ground plane complements to the central patch of the signal layer, forming a CCS TL. Additionally, Fig. 3 shows a new multilayer meandered CCS TL configuration made of the meandered CCS TL realized by two metal layers [see Fig. 3(a)], whose guiding characteristics have been well documented [31], and the sandwiched CCS TL is realized by two meshed ground planes on the top and bottom surfaces [see Fig. 3(b)]. All the meshed ground planes are connected by plated through-vias. The procedure for designing a sandwiched CCS TL, however, is similar to the meandered CCS TL reported in [31], and will not be repeated here. Notably, a four-layer substrate configuration was adopted throughout this paper. In such a configuration, Fig. 3(a) and (b) shares a common meshed ground plane M2. Based on this integration scheme, the CCS TLs in different layers can be independently controlled for various circuit designs. However, attention must be paid to the isolation of the stacked CCS TLs in different layers. The perfect solid ground plane provides the highest shielding capability of any mesh ground plane. An investigation on the shielding capability of two isolated circuits using meandered CCS TLs in different layers is followed. Two filters with independent functions are designed using CCS TLs and integrated in the same four-layer substrate configuration. The first is the low-pass filter (LPF), which occupies M1 and M2 layers [see Fig. 3(a)]. The second is the BPF, which utilizes the M2–M4 layers [see Fig. 3(b)]. These symmetrical filters are designed following the similar procedure, which is

WU et al.: MINIATURIZED MICROWAVE PASSIVE FILTER INCORPORATING MULTILAYER SYNTHETIC QUASI-TEM TL

2715

Fig. 3. Synthetic CCS TL. (a) Meandered CCS TL. (b) Sandwiched meandered CCS TL.

reported in Section III. In Fig. 3, every substrate has an equal thickness of 0.06 mm (SUB1–SUB3). The area of overlapping of the two filters is approximately 95% of the total area. Fig. 4(a) and (b) shows the intrinsic frequency responses, including experimental and theoretical results, for two standalone filters. In the experiments, one filter is measured using the two-port vector network analyzer (VNA) and the other is terminated by two 50- chip resistors. The full-wave simulations using Zeland IE3D follow the same procedure. The cutoff frequency of the LPF is 2.75 GHz, and the out-of-band rejection is below 30 dB from 4.25 to 4.7 GHz. The insertion loss is approximately 0.92 dB, a little higher than the simulated value of 0.45 dB. The return loss is below 10 dB from 2.38 to 2.51 GHz. On the other hand, in Fig. 4(b), the center frequency of the BPF is 2.51 GHz, and the return loss is below 11.5 dB from 2.11 to 2.91 GHz. The measured insertion loss is approximately 1.48 dB, which is 0.39 dB higher than the simulated value. Good agreement between the measurements and simulations for the two filters show that the structural parameters and material constants are very close to the design values. Additionally, the transmission between port 3 and either ports 1 or 2 is measured to evaluate the cross coupling between the LPF and BPF. Fig. 4(c) shows the measured transmission coefficient across two filters. Based on the measured results presented in Fig. 4(a) and (b), the BPF passes the energy above 2.11 GHz with low reflection and the LPF rejects signals above 2.75 GHz. The electromagnetic (EM) energy can be distributed in the four-layer configuration from 2.11 to 2.75 GHz. Fig. 4(c) plots the measurements for the adjaand cross-port coupling . The cent-port coupling filter is symmetrical so only port 3 is applied when port 4 is terminated. Although Fig. 4(c) reveals the relatively high EM en-

Fig. 4. Multifunction module incorporating four-layer meandered CCS TLs. (a) Frequency responses of 2.4-GHz LPF in M1 and M2 metal layers. (b) Frequency responses of 2.4-GHz BPF in M2–M4 metal-layers. (c) Measured transmission coefficient between LPF and BPF in four-layer CCS TL’s configuration.

ergy transmission between the two filters in different layers from 2.11 2.75 GHz, to the adjacent coupling to is maintained below 23 dB and the cross-coupling is below 29 dB. Therefore, Fig. 4(c) verifies that passive circuits realized by the stacked CCS TLs in different layers can be well isolated from each other. In the case study, an isolation of more than 23 dB is achieved.

2716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Parallel resonators. (a) Lumped realization. (b) TL realization. Fig. 6. Input susceptance of the parallel resonator using TL realization.

III. EXPERIMENTAL FILTER DESIGN PROCEDURE Recently, Quendo et al. reported that a TL BPF, incorporating the so-called dual-behavior resonator (DBR), could achieve pre-selective transmission zeros an th-order BPF with open and/or short stubs [32]–[34]. One DBR, which using contains two open/short stubs of different lengths and characteristic impedances, independently controls two transmission zeros. The designs of such filters have been well documented [32]–[34]. This paper presents the similar filter architecture to demonstrate the filter miniaturization, incorporating meandered stacked CCS TLs. However, a larger th-order TL BPF with pre-selective transmission zeros using open only stubs by adding an additional pair of shunt stubs and a TL connected in series is designed to achieve a symmetrical filter with a direct interface to external 50- loads. The TL BPF design begins with the design of parallel resonators. Fig. 5 shows the equivalent circuit of the parallel resonator in both lumped [see Fig. 5(a)] and TL [see Fig. 5(b)] and represent the characteristic impedances of the forms. and are the quarter-wavelength frequencies of two TLs. the TLs. Assuming that the TLs are lossless, the input susceptance of the resonator is given by the following equation: (1)

Fig. 7. Brief description of the TL BPF design. (a) Low-pass prototype. (b) Conversion of series inductors to shunt capacitors. (c) Low-pass to bandpass transformation. (d) TLs approximations of parallel resonators and J inverters.

Variables and are the electric lengths of the two TLs at of the BPF. and represent the the center frequency is inverse of the characteristic impedances of the two TLs. If must be above . The susceptances defined below , then of the open stub that is one quarter-wavelength frequency below is negative (positive) near , as shown in Fig. 6. (above) The sum of the two curves indicates a parallel resonance at . and can be chosen to make the input Therefore, susceptances of the parallel resonator zero at the of the BPF. Next, the conventional LPF synthesis procedure is invoked for the TL BPF design for an th-order LPF prototype with a specified passband ripple, as shown in Fig. 7(a) [35]. Fig. 7(b) into a T-network of two -intransforms the series inductor verters and one shunt capacitor after some algebraic manipulation and conversion [36]. The passband bandwidth and reference

impedance at the input and output ports of the filter are specified during the filter design. The low-pass-to-bandpass transformation of Fig. 7(b) then leads directly to Fig. 7(c), consisting shunt L–C resonators with series inverters of the [36]. Finally, the TL elements are applied to realize the parallel resonator and inverters, forming a TL BPF [35]. Notably, and , shown in Fig. 7(d), are the same as those defined in Fig. 5(b). Following the above-mentioned procedure, Fig. 8 presents a practical example of a third-order BPF with detailed design parameters. The circuit is also simulated using the ideal TL model, neglecting junction effects. Fig. 9 plots the corresponding frequency responses based on 50- reference impedance. Four transmission zeros are set at 1.78, 1.88, 3.19, and 3.95 GHz, at 2.5 GHz. forming a passband with a center frequency

WU et al.: MINIATURIZED MICROWAVE PASSIVE FILTER INCORPORATING MULTILAYER SYNTHETIC QUASI-TEM TL

2717

Fig. 8. Equivalent circuit of a 2.5-GHz idealized TL BPF.

Fig. 10.

Fig. 9. Frequency responses of idealized TL BPF neglecting junction effects.

Therefore, the out-band rejection can be below 35 dB from 3.1 to 4.15 GHz. The return loss is below 19.429 dB from 2.329 to 2.671 GHz, achieving a passband with an equal ripple of 0.05 dB. Notably, the BPF shown in Fig. 8 is symmetrical and directly matches the 50- system without further impedance transformation. IV. MINIATURIZED TL BPF: LAYOUT AND MEASUREMENTS The practical implementation of a miniaturized BPF by mapping the idealized BPF shown in Fig. 8 to the four-layer stacked CCS TL filter configuration is presented here. Fig. 10 shows the three-dimensional view of the miniaturized TL BPF, incorporating a multilayer CCS TL. The CCS TL is realized by a unit mm) and is realized cell with a period of 0.35 mm ( in a four-layer print circuit board (PCB). The permittivity and thickness of each substrate are 4.7 and 0.06 mm with a loss tangent of 0.013. All metal layers are copper with a thickness of 0.0175 mm. The guiding characteristics of CCS TLs, including the propagation constants and characteristic impedances, are extracted from the theoretical -parameters, which are calculated by the full-wave EM simulator [31]. The extracted data are applied to define the width and meandered shapes of the CCS TLs in different layers. As shown in Fig. 10, five TLs, including two series TLs with an electrical length of 64.428 at 2.5 GHz, two

Three-dimensional view of a 2.5-GHz TL BPF.

90 shunt stubs at 1.88 GHz, and one 90 shunt stub at 3.19 GHz are in the M1 and M2 metal layers. Additionally, two 90 TLs at 3.95 GHz and one 90 TL at 1.78 GHz are realized using sandwiched CCS TLs in M2–M4 metal layers. The minimum and maximum linewidths are 0.11 and 0.18 mm, respectively. The reference ground planes (M2 and M4) of the four-layer configuration are connected by plated holes filled with copper for proper grounding. Two external terminals of the BPF are located on the M1 layer, facilitating the interface to the probe tips. The device-under-test (DUT) is very thin and small so measurements cannot be easily made using coaxial connectors or cables. Therefore, two 50- ground–signal–ground (G–S–G) coplanar-waveguide (CPW)-based microwave probes from Picoprobe, Naples, FL, are applied to make the measurements. The chuck, which is a metal plate for supporting the DUT, is grounded to the instruments. Therefore, a piece of paper with a thickness of 0.05 mm is inserted between the DUT and the chuck for proper isolation. Before the measurements are made, the whole system, including an Agilent 8510C VNA, cables, and probes is calibrated by performing two-port short-open-load-through (SOLT) procedure with CS-11 standard substrates from Picoprobe. Fig. 11 compares the measured and theoretical results. The theoretical data include the effects of the junctions, grounding vias, plated through-holes, finite conductivity, and dielectric losses. The measured data shows four transmission zeros at 1.85, 1.98, 3.19, and 3.95 GHz. Notably, the low-side transmission zeros are shifted by approximately 5% (70 MHz) from the frequency responses shown in Fig. 9. The center frequency of the BPF is slightly shifted from 2.5 to 2.55 GHz by approximately 2%. However, Fig. 11 shows that the out-band rejection is highly consistent with the theoretical values predicted by simulation, remaining below 35 dB from 3.1 to 4.15 GHz. On the other hand, the measured return loss is below 16.8 dB from 2.38 to 2.78 GHz in the passband, and exceeds the simulated value by 3.05 dB. Notably, the three reflection zeros are presented

2718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I VARIABLES FOR VOLUME ESTIMATION OF PROPOSED BPF

Fig. 11. Measured results of miniaturized TL BPF.

Fig. 12.

2.5-GHz miniaturized BPF on one Euro (1).

at approximately 2.42, 2.58, and 2.74 GHz, offset by only 1% against the idealized frequency responses shown in Fig. 9. The measured insertion loss is approximately 2.46 dB from 2.38 to 2.78 GHz—0.4 dB higher than the simulated value. Fig. 12 shows the photograph of the prototype, whose dimensions are 5.0 5.0 0.18 mm.

is the number of transmission zeros with a minimum value of two. In the first-order approximation, is inversely propor. tional to the square root of the relative dielectric constant With reference to Fig. 8, the total volume of the three-order 2.5-GHz BPF (with four transmission zeros) can be estimated using (2). Table I lists the relevant design parameters, showing good agreement between hand calculations and prototype diand mensions. Notably, the parameters including are process related and all in typical values for the current PCB are related to electric technology. On the other hand, and specifications of the proposed miniaturization that incorporates the meandered CCS TL to systematically reduce the volume of BPF, which approaches the limits of state-of-art technology. The is 19% less than that of the prototype so the estimated approach based on the proposed stacked meandered CCS TL can effectively miniaturize microwave passive circuits such as the BPF presented here. With reference to Fig. 1, the size of the proposed prototype also approaches that of state-of-art technology—approximately 4.5 mm . In the circumstance, when a designer requires that the area is smaller than that achieved may be increased using the presented four-layer prototype, from two to four. By doing so, the area will be changed from 5.0 mm 5.0 mm to 1.83 mm 1.83 mm. The thickness will be increased from 0.18 to 1.26 mm, and the volume will be changed from 4.5 to 4.23 mm . Equation (2) also clearly shows that when and are reduced, the total volume is scaled down to an extent proportional to the product of and . Also, stands for the periodicity of the unit cell and is the limit on the line pitch, which is the center-to-center distance between the parallel lines associated with particular processes.

VI. CONCLUSION

V. DISCUSSION The miniaturized filter is implemented solely using the stacked CCS TLs so the total volume of the filter can be expressed by the following equation: (2) is the total length of the all of the TLs in the filter design. is the period of the unit cell of the CCS TL. is the number of signal layers in the multilayer system configuration and is the thickness of single-layer substrate. Therefore, the number of . substrates is

This paper has proposed a novel approach for designing a synthetic quasi-TEM TL stacked in a multilayer substrate configuration. The synthetic TLs are formed by alternating the so-called CCS quasi-TEM lines in different signal layers separated by the meshed ground planes, whose isolation property has been experimentally verified to a satisfactory level of negligible effects on desired circuit performances. The multilayer synthetic TL has been successfully applied to the design of a 2.4-GHz ISM-band BPF prototype of a size approaching that of the state-of-the-art device. The proposed guiding structures can be extended to the design of a SIP and RF SOC, when miniaturization becomes critical.

WU et al.: MINIATURIZED MICROWAVE PASSIVE FILTER INCORPORATING MULTILAYER SYNTHETIC QUASI-TEM TL

REFERENCES [1] T. Tsujiguchi, H. Matsumoto, and T. Nishikawa, “A miniaturized double-surface CPW bandpass filter improved spurious responses,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 879–885, May 2001. [2] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. [3] C.-W. Tang, Y.-C. Lin, and C.-Y. Chang, “Realization of transmission zeros in combline filters using an auxiliary inductively coupled ground plane,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2112–2118, Oct. 2003. [4] C.-W. Tang, “Harmonic-suppression LTCC filter with the stepimpedance quarter-wavelength open stub,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 617–624, Feb. 2004. [5] Y. W. Kong and S. T. Chew, “EBG-based dual mode resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 124–126, Mar. 2004. [6] Y.-K. Kuo, C.-H. Wang, and C. H. Chen, “Novel reduced-size coplanarwaveguide bandpass filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 65–67, Feb. 2001. [7] J.-T. Kuo, M.-J. Maa, and P.-H. Lu, “A microstrip elliptic function filter with compact miniaturized hairpin resonators,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 3, pp. 94–95, Mar. 2000. [8] K.-C. Huang, D. Hyland, A. Jenkins, D. Edwards, and D. Dew-Hughes, “A miniaturized interdigital microstrip bandpass filter,” IEEE Trans. Appl. Supercond., vol. 9, no. 2, pp. 3889–3892, Jun. 1999. [9] G. S. Ching, “Design and practical implementation of a 2.4 GHz lumped element elliptic bandpass filter,” in Proc. Asia–Pacific Microwave Conf., Taipei, Taiwan, R.O.C., 2001, pp. 523–526. [10] A. Görür, “Description of coupling between degenerate modes of a dualmode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [11] L. Zhu and B. C. Tan, “Miniaturized dual-mode bandpass filter using inductively loaded cross-slotted patch resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 22–24, Jan. 2005. [12] M. G. Banciu, R. Ramer, and A. Ioachim, “Compact microstrip resonators for 900 MHz frequency band,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 175–177, May 2003. [13] J. Zhou, M. J. Lancaster, and F. Huang, “HTS coplanar meander-line resonator filters with a suppressed slot-line mode,” IEEE Trans. Appl. Supercond., vol. 14, no. 1, pp. 28–32, Mar. 2004. [14] K. Entesari, T. Vaha-Heikkila, and G. M. Rebeiz, “Miniaturized differential filters for C - and Ku-band applications,” in Proc. Eur. Microwave Conf., Munich, Germany, 2003, pp. 227–230. [15] E. Goron, J. P. Coupez, C. Person, Y. Toutain, H. Lattard, and F. Perrot, “Accessing to UMTS filtering specifications using new microstrip miniaturized loop-filters,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 1599–1602. [16] N. Ishitobi, E. Ajioka, T. Abe, and H. Ninomiya, “The improvement of miniaturized multilayer ceramic bandpass filter,” in IEEE MTT-S Int. Microwave Symp. Dig., 1999, pp. 1343–1346. [17] J. S. Hong and M. J. Lancaster, “Recent advances in microstrip filters for communications and other applications,” in IEE Advances Passive Microwave Components Dig., 1997, pp. 2/1–2/6. [18] R. S. Kwok, S. J. Fiedziuszko, F. A. Miranda, G. V. Leon, M. S. Demo, and D. Y. Bohman, “Miniaturized HTS/dielectric multilayer filters for satellite communications,” IEEE Trans. Appl. Supercond., vol. 7, no. 2, pp. 3706–3709, Jun. 1997. [19] H.-K. Zeng, A. Hsiao, W.-H. Hsu, S.-W. Wu, J.-Y. Lin, K.-H. Wu, J.-Y. Juang, T.-M. Uen, Y.-S. Gou, and J.-T. Kuo, “Miniaturized 3 GHz crosscoupled planar microwave filters,” IEEE Trans. Appl. Supercond., vol. 14, no. 1, pp. 107–111, Mar. 2004. [20] C.-S. Kim, J.-S. Lim, J.-H. Kim, and D. Ahn, “A design of a miniaturized 2-pole bandpass filter by using a slot and a hair-pin line,” in IEEE MTT-S Int. Microwave Symp. Dig., 2004, pp. 1983–1986. [21] Y. Toutain, J.-P. Coupez, and C. Person, “Microstrip miniaturized loopfilters with high out-of-band rejection for future 3G mobile terminals,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 1589–1592. [22] S.-Y. Lee and C.-M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [23] T. Tsujiguchi, H. Matsumoto, and T. Nishikawa, “A miniaturized endcoupled bandpass filter using =4 hair-pin coplanar resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., 1998, pp. 829–832.

2719

[24] W. Diels, K. Vaesen, P. Wambacq, S. Donnay, W. De Raedt, M. Engels, and I. Bolsens, “Single-package integration of RF blocks for a 5 GHz WLAN application,” IEEE Trans. Adv. Packag., vol. 24, no. 3, pp. 384–391, Aug. 2001. [25] C.-H. Lee, A. Sutono, S. Han, K. Lim, S. Pinel, E. M. Tentzeris, and J. Laskar, “A compact LTCC-based Ku-band transmitter module,” IEEE Trans. Adv. Packag., vol. 25, no. 3, pp. 374–384, Aug. 2002. [26] J. Ryckaert, S. Brebels, B. Come, W. Diels, D. Hauspie, S. Stoukatch, K. Vaesen, W. De Raedt, and S. Donnay, “Single-package 5 GHz WLAN RF module with embedded patch antenna and 20 dBm power amplifier,” IEEE MTT-S Int. Microwave Symp. Dig., pp. 1037–1040, 2003. [27] Y.-S. Lin, C.-C. Liu, K.-M. Li, and C. H. Chen, “Design of an LTCC tri-band transceiver module for GPRS mobile applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2718–2724, Dec. 2004. [28] M. M. Tentzeris, J. Laskar, J. Papapolymerou, S. Pinel, V. Palazzari, R. Li, G. Dejean, N. Papageorgiou, D. Thompson, R. Bairavasubramanian, S. Sarkar, and J.-H. Lee, “3-D-integrated RF and millimeter-wave functions and modules using liquid crystal polymer (LCP) system-on-package technology,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 332–340, May 2004. [29] A. Chernyakov, K. Markov, D. Orlenko, P. Heide, and C. Ruppel, “Miniature fully-integrated WLAN frontend-modules based on LTCC technology,” in IEEE MTT-S Int. Microwave Symp. Dig., 2004, pp. 139–142. [30] A. C. W. Lu, K. M. Chua, L. L. Wai, S. C. K. Wong, J. J. Wang, and Y. P. Zhang, “Integrated antenna module for broad-band wireless applications,” in Electronics Packaging Tech. Conf., 2004, pp. 240–243. [31] C.-C. Chen and C.-K. C. Tzuang, “Synthetic quasi-TEM meandered transmission lines for compacted microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1637–1647, Jun. 2004. [32] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dualbehavior resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 734–743, Mar. 2003. , “Narrow bandpass filters using dual-behavior resonators based on [33] stepped-impedance stubs and different-length stubs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1034–1044, Mar. 2004. [34] G. Prigent, E. Rius, F. L. Pennec, S. L. Maguer, C. Quendo, G. Six, and H. Happy, “Design of narrow-band DBR planar filters in Si–BCB technology for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1045–1051, Mar. 2004. [35] H.-S. Wu, R. H. Yang, and C.-K. C. Tzuang, “Synthesis of quasi-elliptical fishbone bandpass filter,” in Proc. Asia–Pacific Microwave Conf., New Delhi, India, 2004, Post Section 2. [36] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980.

Hsien-Shun Wu (S’97–M’05) received the B.S. degree in electronic engineering from National Taipei University of Technology, Taipei, Taiwan, R.O.C., in 1999, the M.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2001, and is currently working toward the Ph.D. degree in communication engineering at National Chiao Tung University. His research interests include design of wireless system modules and design and development of photonic-bandgap (PBG) structures for RF circuits.

Houng-Jay Yang received the M.S. degree in physics from Southern Methodist University, Dallas, TX, in 1975, and the Engineer degree in electrical engineering from the University of California at Los Angeles, in 1979. Upon graduation, he joined TRW, Redondo Beach, CA, where he developed microwave circuits. In 1986, he joined Hughes Aircraft Satellite System Division, El Segundo, CA, and later became a Scientist involved in the contribution of the development of passive modules in multiple geostationary satellite payloads. From 2002 to 2003, he was an Invited Professor with National Chiao Tung University, Hsinchu, Taiwan, R.O.C. He is currently a consultant in the U.S.

2720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Ching-Juang Peng was born in Hsinchu, Taiwan, R.O.C., 1974. He received the B.S. degree in mechanical manufacturing engineering from the National Yunlin Industrial Junior College, Yunlin, Taiwan, R.O.C., in 1996. From 1998 to 2000, he was with the TriCOME Microwave Electronic Corporation, Hsinchu, Taiwan, R.O.C., where he was involved with monolithic microwave integrated circuit (MMIC) packages and surface-mounted antennas. From 2000 to 2003, he was with National Chiao Tung University, where he was in charged of the assembling and manufacturing of millimeter-wave wireless transceiver modules. Since 2003, he has been with Epic Communication Inc., Hsinchu, Taiwan, R.O.C. His interests include the design of system-in-packages (SIP) and compact antennas for mobile communications.

Ching-Kuang C. Tzuang (S’80–M’80–SM’92– F’99) received the B.S. degree in electronic engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1977, the M.S. degree from the University of California at Los Angeles, in 1980, and the Ph.D. degree in electrical engineering from the University of Texas at Austin, in 1986. From 1981 to 1984, he was with TRW, Redondo Beach, CA, where he was involved with analog and digital MMICs. Since 1986, he has been with the Institute of Communication Engineering, National Chiao Tung University. In February 2004, he joined the Graduate Institute of Communication Engineering, Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., where he continues his research on advanced guiding structures for research and development of RF system-on-a-chip, integrating active and passive microwave/millimeter-wave RF signal-processing components into a single chip. His research activities also involve the design and development of millimeter-wave and microwave active and passive circuits and the field theory analysis and design of various complex-waves guiding structures and large-array antennas. He has supervised 61 M.S. students and 21 Ph.D. students. Dr. Tzuang helped in the formation of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Taipei Chapter, and served as secretary, vice chairman, and chairman in 1988, 1989, and 1990, respectively. He has been on the Asia–Pacific Microwave Conference International Steering Committee, where, since 1994, he has represented the Taipei Chapter as the international liaison officer.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2721

Power Reflection Coefficient Analysis for Complex Impedances in RFID Tag Design Pavel V. Nikitin, Member, IEEE, K. V. Seshagiri Rao, Senior Member, IEEE, Sander F. Lam, Vijay Pillai, Member, IEEE, Rene Martinez, and Harley Heinrich

Abstract—Kurokawa’s method of calculating the power reflection coefficient from the Smith chart in the situation when one complex impedance is directly connected to another is applied to passive RFID tag design, where power reflection is important, as it determines the tag characteristics. The performance analysis of a specific RFID tag is presented together with experimental data, which is in close agreement with the theory. Index Terms—Antennas, integrated circuits (ICs), power reflection, RF identification (RFID). Fig. 1.

Generator–load circuit with two complex impedances.

I. INTRODUCTION

R

F identification (RFID) is a rapidly developing technology [1]. A typical back-scattered RFID tag consists of an antenna and a chip [2]. The chip is usually placed right at the terminals of the tag antenna, and both the chip and antenna have complex input impedances. For years, antennas have been designed primarily to match either 50- or 75- loads. However, RFID tag antennas must be directly matched to the chip complex impedance to maximize tag performance (adding an external matching network is usually prohibitive due to cost and fabrication issues). A very useful impedance matching tool for any microwave engineer is the Smith chart. It was developed by Smith in the 1930s [3] and is the most widely known graphical impedance chart. The Smith chart is typically normalized to a real impedance and can be used to find a lossless transmission-line section for desired impedance matching between two complex impedances [4]. Kurokawa [5] proposed a method where a modified impedance function is mapped onto the conventional Smith chart to determine a power reflection coefficient in the case when both generator and load impedances are complex, but no lossless transmission line is present between them. Such a case is typical in passive RFID tags, where the minimum power reflection coefficient between the antenna and chip is desired. In this paper, the method of the power reflection coefficient proposed by Kurokawa is applied to RFID tag design. We also present measurement data, which are in good agreement with theory.

Manuscript received January 20, 2005. The authors are with the Intermec Technologies Corporation, Everett, WA 98203 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; rene.martinez@ intermec.com; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854191

II. POWER REFLECTION-COEFFICIENT METHOD Consider a one-port network, shown in Fig. 1, which represents a generator–load circuit with complex source and load impedances. Kurokawa [5] described a concept of power waves traveling between the generator and load and introduced the following definitions for the power wave reflection coefficient : (1) and the power reflection coefficient (2) The power reflection coefficient shows what fraction of the maximum power available from the generator is not delivered to the load. Kurokawa also described a straightforward and graphically intuitive way of calculating the power reflection coefficient by observing that the power wave reflection coefficient plotted on the Smith chart corresponds to the vector drawn to the point where the normalized impedance is (3) This allows a designer to utilize a conventional Smith chart normalized to real impedance with the understanding that contours are now to be interpreted as contours of constant reactance , as shown in Fig. 2. of constant modified reactance The contours of constant power are concentric circles centered around the origin of the Smith chart, which corre. sponds to a perfect complex conjugate match and are real and positive, lies within a unit When both

0018-9480/$20.00 © 2005 IEEE

2722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 3.

Intermec UHF RFID tag.

where is the wavelength, is the power transmitted by the is the gain of the transmitting antenna ( is RFID reader, is the gain of the EIRP, equivalent isotropic radiated power), receiving tag antenna, and is the minimum threshold power and necessary to power up the chip. Typically are slow varying, and is dominant in frequency dependence and primarily determines the tag resonance. B. Tag Design

Fig. 2. Complex power wave reflection coefficient s mapped onto the Smith chart normalized to R .

circle, whose outer edge corresponds to a complete mismatch . case can be easily determined The power reflection coefficient from the Smith chart as a square of the distance (measured as a fraction of the circle radius) between the origin and the mapped . Kurokawa’s method is impedance point general and can be used for any microwave circuits with complex impedances.

III. RFID TAG DESIGN EXAMPLE A. Read Range Let us demonstrate how Kurokawa’s method can be applied to analyze the performance of a passive RFID tag. The fact that passive RFID tags are powered solely by the incoming RF energy, combined with cost and fabrication requirements, imposes a unique set of criteria on RFID tag antenna design, including frequency bandwidth, directivity, size and form, sensitivity to different objects the tag is placed on, reliability, and, finally, tag read range. Read range is an important characteristic of the RFID tag. It is the maximum distance from which the tag can be detected. One limitation on the range is the maximum distance from which the tag receives just enough power to turn on and scatter back. Another limitation is the maximum distance from which the reader can detect this scattered signal. The read range is the smaller of the two distances (typically, the first one since RFID reader sensitivity is usually high). depends on the power reflection Theoretical read range coefficient and can be calculated using the Friis free-space formula as (4)

RFID tag antennas are typically designed for an applicationspecific integrated circuit (ASIC) (new integrated circuit (IC) design and manufacturing is a big and costly venture), thus, chip impedance is usually given to an antenna designer. When utilizing Kurokawa’s method, we will look at power reflection between the chip and antenna with chip impedance fixed. A circuit shown in Fig. 1 represents the RFID tag in the receiving mode, is the chip impedance, is the antenna impedance, where is an open-circuit RF voltage developed on the terminals and of the tag antenna. As an example, consider a UHF RFID tag developed at the Intermec Technologies Corporation, Everett, WA [6]. This tag was designed for pallet-labeling applications in Europe to provide at least 2 m of range with 0.82-W transmitter EIRP (European standard for RFID) in the 2-MHz band around 869 MHz when placed into a 30-mm-thick rectangular foam container. These requirements resulted in the antenna whose geometry is shown in Fig. 3. The tag consists of an RFID chip connected to a copper antenna printed on an FR4 dielectric substrate, 190 mm 22 mm in size. The RFID chip is the Philips’ EPC 1.19 ASIC in a TSSOP8 package with a threshold power dBm and packaged chip-on-board impedance of , approximately constant in the frequency band of interest (860–960 MHz). Due to size specifications, some type of dipole antenna was a natural choice. Since relatively high range was needed, loading bars were added to increase antenna gain and to control antenna resistance. An inductive stub was added to provide a better match for the chip capacitive impedance. The tag antenna was designed using Ansoft HFSS for antenna gain and impedance calculations. Fig. 4 shows the frequency-dependent antenna impedance , calculated in the 860–960-MHz band with Ansoft HFSS, mapped using Kurokawa’s representation given by (3) onto the Smith chart normalized to 16 (chip resistance). Power reflection coefficient at the tag resonant frequency can (where 0.6 is the be easily determined as minimum distance between the origin and the impedance locus curve). The antenna impedance at this point can also be easily found from and coordinates as . The tag resonant frequency (where is minimum) is determined to be 900 MHz.

NIKITIN et al.: POWER REFLECTION COEFFICIENT ANALYSIS FOR COMPLEX IMPEDANCES IN RFID TAG DESIGN

2723

Fig. 6. RFID read-range measurement setup.

Fig. 4. Antenna impedance Z mapped onto the Smith chart normalized to 16 and a graphical method of determining the power reflection coefficient.

Fig. 7.

Tag range measurement chamber.

designer to estimate the range tradeoff between the impedance matching and gain. C. Comparison to Experimental Results

Fig. 5. Normalized read range versus power reflection coefficient for different tag antenna gain values (frequency is 900 MHz, normalization constant is 2.4 m, calculated for P = 10 dBm and EIRP = 0:82 W).

0

For the given frequency , chip sensitivity , and RFID reader EIRP, (4) can be normalized to the range of the tag with –dBi antenna perfectly matched to the complex conjugate chip impedance . Such normalization allows the read range to be plotted as a function of the power reflection coefficient for different tag antenna gain values, as shown in Fig. 5 (where the frequency is 900 MHz and the normalization condBm stant is 2.4 m, calculated for chip sensitivity -W transmitter). and transmitter with dBi and Our example RFID tag, which has , is represented as a point in Fig. 5. Tag range can be further increased by either increasing the gain of the antenna and/or improving the impedance match. The RFID tag design process involves inevitable tradeoffs between antenna gain, impedance, and bandwidth. The normalized chart shown in Fig. 5 helps the

The tag read range was measured using the setup shown in Fig. 6. An RFID reader with the variable power output was connected to the transmitting antenna with gain using the cable with loss . The tag was placed at a fixed distance from the reader antenna in an anechoic chamber and oriented in the direction of maximum gain. At each frequency, the minimum power required to communicate with the tag was recorded. Since the gain of the transmitting antenna, the cable loss, dBi, and the separation distance are known ( dB, and m), the tag read range for any desired value of EIRP can be determined as (5) Maximum allowed value of EIRP used in any particular RFID system implementation is determined by local country regulations. Fig. 7 shows the inside view of the anechoic chamber used at the Intermec Technologies Corporation for tag range measurement. Fig. 8 displays the measured range (from (5) for W) and the theoretical range [from (4)]. When the tag is placed in a container on a pallet, its resonant frequency shifts down to 869 MHz and the range becomes 2.2 m.

2724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Pavel V. Nikitin (S’98–M’02) received the B.S. and M.S. degrees in electrical engineering from Utah State University, Logan, UT, in 1994 and 1998, respectively, the B.S. degree in physics from Novosibirsk State University, Novosibirsk, Russia, in 1995, and the Ph.D. degree in electrical and computer engineering from Carnegie-Mellon University, Pittsburgh, PA, in 2002. In Summer 1999, he was a Software Design Engineer with the Ansoft Corporation, Pittsburgh, PA. In Summer 2000, he was a Design Development Engineer with the Microelectronics Division, IBM Corporation, Essex Junction, VT. In 2002, he joined the Department of Electrical Engineering, University of Washington, Seattle, as a Research Associate, where he was involved with computer-aided design of mixed-technology systems-on-chip. In 2004, he joined the Intermec Technologies Corporation, Everett, WA, where he is currently a Lead Engineer with the RFID Intellitag Engineering Department involved with the design and development of antennas for RFID tags. He has authored over 35 technical publications. He also has several patents pending. Dr. Nikitin was the recipient of the ECE Teaching Assistant of the Year Award presented by Carnegie-Mellon University. Fig. 8. Theoretical and experimental read ranges for RFID tag shown in Fig. 3 (EIRP = 0:82 W).

It can be seen that theoretical and experimental curves for the tag range are in close agreement, which demonstrates the accuracy of the antenna model and validity of (4). The read range reaches a maximum at 900 MHz, where the power reflection coefficient is minimal. This agrees with the frequency determined from the Smith chart analysis of the power reflection coefficient.

IV. CONCLUSION In this paper, we have applied Kurokawa’s power reflection coefficient method to passive RFID tag design where an antenna and chip with complex impedances are directly connected to each another. We have described the design process for a specific RFID tag and have demonstrated good agreement between experimental measurements and theory.

ACKNOWLEDGMENT The authors would like to thank their colleagues T. Miller and P. Maltseff, both of the Intermec Technologies Corporation, Everett, WA, for their interest in this study.

K. V. Seshagiri Rao (S’78–M’84–SM’91) received the Ph.D. degree from the Indian Institute of Technology, Kharagpur, India, in 1984. In 1979, he joined the Indian Institute of Technology, as a Senior Research Assistant, and subsequently joined the faculty in 1984. Apart from his teaching at IIT, he has also participated in various projects in the area of antennas and circuits sponsored by defense and aerospace. In 1988, he was on sabbatical leave from IIT as a Research Associate with the University of Ottawa, Ottawa, ON, Canada. In 1993, he joined Antenna Research Associates, Beltsville, MD, where he was involved with the design and development of antennas. He then joined the T. J. Watson Research Center, IBM, Yorktown Heights, NY, as a Research Staff Member, where he was involved with RFID. In 1998, he joined the Intermec Technologies Corporation, Everett, WA, as one of the core team members with the RFID technologies acquired from IBM. He is currently a Staff Technologist with the RFID Intellitag Engineering Department, Intermec Technologies Corporation, where he also manages a small group in the area of RFID transponder design and development. He coauthored Millimeter-Wave Microstrip and Printed Circuit Antennas (Norwood, MA: Artech House, 1991). He has authored or coauthored approximately 35 technical publications in standard journals and conferences. He also has 13 U.S. patents in the area of RFID.

REFERENCES [1] K. Finkenzeller, RFID Handbook: Radio-Frequency Identification Fundamentals and Applications. New York: Wiley, 1999. [2] K. V. S. Rao, “An overview of backscattered radio frequency identification system (RFID),” in Asia–Pacific Microwave Conf., vol. 3, Nov.–Dec. 1999, pp. 746–749. [3] P. H. Smith, “Transmission line calculator,” Electronics, vol. 12, pp. 29–31, Jan. 1939. [4] J. A. G. Malherbe, “The locus of points of constant VSWR when renormalized to a different characteristic impedance,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 5, pp. 444–445, May 1977. [5] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 194–202, Mar. 1965. [6] K. V. S. Rao, H. Heinrich, and R. Martinez, “On the analysis and design of high-performance RFID tags,” presented at the IEEE Automatic Identification Advanced Technologies Workshop, vol. 3, 2002.

Sander F. Lam received the Bachelor of Engineering degree from McMaster University, Hamilton, ON, Canada, in 1981. Since 1982, he has been involved with various RF communication hardware design. He was involved in the research and development of single-conversion TVRO and CATV headend equipment with Triple Crown Electronics Inc., Mississauga, ON, Canada. In 1989, he joined U.S.-based Auget Communication Products Inc., where he was the lead RF Designer involved with CATV two-way broad-band trunk distribution amplifiers. In 1997, he joined the Intermec Technologies Corporation, Everett, WA, where he is currently the Senior RF Engineer. His interests include various RF communication hardware design and RFID tag development.

NIKITIN et al.: POWER REFLECTION COEFFICIENT ANALYSIS FOR COMPLEX IMPEDANCES IN RFID TAG DESIGN

Vijay Pillai (M’99) received the B.S. degree in electronics and communication from the Indian Institute of Technology, Madras, India, in 1997, and the M.S. degree in electrical engineering from Clemson University, Clemson, SC, in 1999. He is currently a Principal Engineer with the Intermec Technologies Corporation, Everett, WA, where he develops next-generation RFID systems. He has been involved in one of the earliest globally accepted RFID chips for UHF and microwave bands. He led the development of chips based on the Global Tag Initiative (Gtag), which was the first globally accepted RFID standard. His interests are low-power circuit design techniques for RFID, RF front-ends for passive tags, and microwave theory. He has authored four publications. He has approximately ten issued or pending patents.

Rene Martinez received the B.S. degree in electrical engineering from the University of Maryland at College Park, in 1987, and the Master of Engineering degree (with a specialization in analog-to-digital conversion) and Ph.D. degree in electrical engineering (with a specialization in RF circuits, antennas, and systems) from Cornell University, Ithaca, NY, in 1990 and 1994, respectively. He was a Research Staff Member with IBM Research and as a Staff Scientist with Lawrence Berkeley Laboratories. He is currently a Chief Technologist with the Intermec Technologies Corporation, Everett, WA, where he manages a team of engineers that have developed a full product line of RFID readers under Federal Communications Commission (FCC), European, and Japanese regulations. He has led the development team that obtained the first FCC Part 15 approval and the first European Telecommunications Standards Institute (ETSI) 302 208 certification for passive UHF RFID readers. He authored six IEEE publications during his graduate studies. He has given invited talks at Stanford University, AT&T Bell Laboratories, and the Massachusetts Institute of Technology (MIT). He currently holds patents in RFID, wireless communications, and RADAR.

2725

Harley Heinrich received the Ph.D. degree from Stanford University, Stanford, CA. He is currently the Chief Technologist for Intellitag RFID technology with the Intermec Technologies Corporation, Everett, WA. Prior to joining the Intermec Technologies Corporation, he was with the T. J. Watson Research Center, IBM, for ten years. In 1992, he was one of the original founders of the IBM RFID effort and led the development of the high-performance low-cost RFID tag package, antenna, and chip efforts. Prior to his affiliation with IBM, he simultaneously worked on his doctoral dissertation in ultrafast microwave electrooptics and led chip design efforts with Hewlett-Packard as an Analog Integrated Circuit Designer. He has authored and presented over 30 technical papers. He holds 39 patents, many of which are both U.S. and international. Dr. Heinrich was the co-chair of the EPCglobal Gen2 Working Group to develop the UHF Generation 2 Standard for RFID for use in consumer product group companies like Wal-Mart, Target, Procter & Gamble, and many other Fortune 500 companies. He was the recipient of the 2003 Ron Mahany Award for Outstanding Innovation for his work in RFID, which is the Intermec Technologies Corporation’s highest honor. He and his group were the recipients of the Outstanding Invention Achievement Award, which recognizes the single most important individual and group contribution for IBM research for the year.

2726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Analysis on Effectiveness of Wave Absorbers to Improve DSRC Electromagnetic Environment on Express Highway Ramesh K. Pokharel, Member, IEEE, Makoto Toyota, and Osamu Hashimoto

Abstract—Electromagnetic (EM) wave absorbers have been used for improving the EM environment of an electronic toll collection system on an express highway or a wireless local area network system in an indoor environment. In this paper, an efficient multiray propagation model, which uses three-dimensional geometry and image techniques to trace multiple signal rays from transmitter to receiver, is employed to analyze the EM environment of a dedicated short-range communication (DSRC) system on an express highway. The validity of the model employed is discussed by a comparison with the results obtained by an experiment on the highways when a right-circular polarized transmitter and receiver are used. The analysis shows that the possible undesired communications between onboard equipment that acts as a receiver and DSRC beacon, which transmits the radio signals, is improved by increasing the EM wave-absorption capability of the sidewalls and the pavement of the highway. Another advantage of the employed model is that it is effective for investigating the location of wave absorbers to be set up on the highway, and it takes only a fraction of a minute for computation. Index Terms—Communication range, dedicated short-range communication (DSRC) system, electromagnetic (EM) environment, EM wave absorber, ray-tracing method.

For this purpose, effective isotropic radiated power (EIRP) on the onboard equipment that acts as a receiver should be greater than 70.5 dBm within 30 m from the DSRC beacon (transmitter) and, beyond this range, the receiving power should be less than 70.5 dBm to avoid undesired communications [1]. However, in an actual highway structure, successive reflections of electromagnetic (EM) waves from the pavement, sidewalls, roofs, and other possible nearby objects occur, which deteriorates the DSRC EM environment on an express highway. This will result in undesired communications, even outside the specified range, and decrease the reliability of a DSRC system. The optimal use of EM wave absorbers is a possible way to mitigate this problem. In this paper, a multiray propagation model is employed to analyze the effectiveness of wave absorbers to be set up on the highways. The validity of the model employed is discussed by a comparison with the results obtained by an experiment on the highway, and a rough estimation of the location of wave absorbers has been carried out when the receiver and transmitter are both right-circular polarized antennas.

I. INTRODUCTION

II. METHOD OF ANALYSIS

R

ECENTLY, an electronic toll collection (ETC) system (@5.8 GHz) as an application of the dedicated short-range communication (DSRC) system has been in operation in Japan. After the ordinance of the Ministry of Public Management, Home Affairs, Posts and Telecommunications, in April 2001, a DSRC system (@5.8 GHz) can be used for other purposes to including ETC on express highways [1]. One of other examples is the intra-road vehicles communications, and the feasibility of this application has been studied by installing a DSRC beacon on the roadside of an express highway in order to develop a smart highway communication system.

Manuscript received January 20, 2005; revised May 9, 2005. This work was supported in part by the 21st Century Center of Excellence Program under a Grant-in-Aid and by the Ministry of Education, Culture, Sports, Science and Technology of the Japanese Government under a Japan Society of the Promotion of Science Fellowship. R. K. Pokharel is with the Department of Electronics, Graduate School of Information Science and Electrical Engineering, Kyushu University, Fukuoka 812-8581, Japan. M. Toyota is with the Express Research Institute, Japan Highway Public Corporation, Tokyo 194-8508, Japan. O. Hashimoto is with the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Kanagawa 229-8558, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854192

A. Description of Model Ray-tracing methods [2], [3] have been widely used to study the radio-wave propagation in indoor environments or on terrain profiles [4]–[10]. A conventional ray-tracing method [3] needs a bundle of transmitted rays to take into account whether it will or will not reach the receiver. It requires numerous ray-object intersection tests and extensive data arrays for ray tracing, which demands large computation time and huge resources in order to obtain a satisfactory result. This problem, however, could be overcome by carefully considering the possible rays only that probably reach to the receiver [6]–[10]. This method employs three-dimensional (3-D) geometry and an image technique to trace multiple signal rays from transmitter to receiver. One of the advantages of the later one is obviously less computation time and the simplicity in numerical modeling. Thus, it is quite effective for simple geometries, although it becomes cumbersome for complex geometry and when large numbers of reflection are involved. In this paper, the latter approach [6]–[10] is employed. A good summary of the method employed can be found elsewhere [6]–[12]. However, in this method, configuration of the modeled geometry will affect the ray paths and its order, and it needs new paths to trace when additional objects will be introduced in the geometry.

0018-9480/$20.00 © 2005 IEEE

POKHAREL et al.: ANALYSIS ON EFFECTIVENESS OF WAVE ABSORBERS TO IMPROVE DSRC EM ENVIRONMENT ON EXPRESS HIGHWAY

Fig. 3. Fig. 1. Model of EM wave propagation on express highway.

2727

Equivalent-circuit representation of two-layer EM wave absorber [13].

that first hits the left sidewall (LW) and then reaches to Rx after once reflected from the right sidewall (RW). The image of the Rx due to the RW is first calculated Rx . The image of Rx due to the LW can then be estimated Rx . Connecting Rx and Tx, one can easily find a reflection point (P1) on the LW. Another reflection point (P2) is the intersection point of the RW and the line connecting Rx and P1. B. Computation of Reflection Coefficients Fresnel reflection coefficients for planar and homogenous surfaces are used to compute the reflection coefficients in the absence of wave absorbers. In the experiment, two-layer wave absorbers were used, which can be represented by an equivalent circuit, as shown in Fig. 3 [13], and the reflection coefficients of an absorber for TE and TM are computed by employing this circuit, as given by (1) and (2), respectively, as follows: (1) (2)

Fig. 2. Illustration of the image technique.

where Fig. 1 shows a typical model of a Japanese express highway consisting of the sidewalls on both sides. In this model, the significant rays, which carry energy from transmitter to receiver, are classified to ten types such as a direct ray (Type 1), a reflected ray from the pavement (Type 2), multiple reflected rays between the sidewalls first incident to the left wall (Types 3–6), and those first incident to the right wall (Types 7–10). In Types 3, 5, 7, and 9, the order of reflections from each wall is 12, whereas the order of reflection from the right wall is differ by one than those from the left wall in Types 4, 6, 8, and 10. In Types 5, 6, 9, and 10, reflections from the pavement will merge with the reflections between the sidewalls. Total receiving power is then computed by the vector sum of the power carried by all these rays. In this analysis, we are not interested in the fields outside the sidewalls; therefore, the diffracted paths are not considered. This method employs image techniques to trace multiple signal rays from the transmitter (Tx) to the receiver (Rx) and is straightforward for determining the ray trajectory between Tx and Rx. An example of the basic idea used in the image technique is illustrated in Fig. 2. For this case of an express highway consisting of sidewalls on both sides, consider a ray

where Incident angle. Thickness of absorbing layer. Thickness of surface layer. Complex relative permittivity of absorbing layer. Complex relative permittivity of surface layer.

2728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 4. Typical example of experiment site showing the pavement with EM wave absorption capabilities.

Fig. 6. Measured and calculated received power waveforms at 6 m in lateral direction from the DSRC beacon for Case I.

Fig. 5. Layout of measurement zone.

III. ACCURACY OF ANALYSIS A. Measurement Method In the experiment, the power profiles received by the vehicle’s onboard equipment were measured on the express highway before and after setting up wave absorbers. Fig. 4 shows a typical example of the experiment site, which is an old highway situated between Uenohara Interchange and Ootsuki Interchange in Hachioji-shi, Tokyo, Japan. The layout of the measurement zone is shown in Fig. 5. Wave absorbers were set up from 20 to 30 m on the right wall and from 0 to 30 m on the pavement from the DSRC beacon, respectively. The measurement was carried out using a vehicle every 5 mm in the longitudinal direction (along the length of the highway). The same process was repeated every 1 m in the lateral direction (along the width of the highway). The height of the beacon and sidewall was 6 and 5 m, respectively, and the separation between the beacon and right wall was 1.5 m. The DSRC transmitting antenna used in the experiment follows the standard defined by ARIB STD-T75, and the output power of this beacon is 50 mW. The vertical and horizontal orientation of the transmitting antenna were made equal to 23 and 65 , respectively, according to the DSRC standard [1]. The height of the receiving antenna is kept at 1 m, considered the height of a standard car. A 3-m high-frequency cable whose loss was measured to be 3.60 dB was connected between the

Fig. 7. Measured and calculated received power waveforms at 6 m in lateral direction from the DSRC beacon for Case II.

receiving antenna and a spectrum analyzer, and data were analyzed through an ADC. Measurement was carried out under the following three conditions: 1) in the absence of the sidewalls; 2) in the presence of a metallic wall on the right side only (no left wall); 3) in the presence of the pavement and right wall, both set up with wave absorbers (no left wall). B. Comparison With Measurement Fig. 6 shows the comparison of the computed and measured resultsat6mfromthebeaconinalateraldirection(alongthewidthof the highway) in the absence of both sidewalls (Case I). The sudden rise and fall of the measured results are due to multipath waves, which are well reproduced by the computed waveform. In numerical computation, the pavement is assumed as asphalt and its com. plex permittivity was determined as In Fig. 7, the comparison is made between the measured and calculated waveforms in the presence of a metallic sidewall on the right side only (Case II). The comparison between the computed and measured waveforms for Case III when wave absorbers are set up on the pavement and the sidewall on right side is shown in Fig. 8. In the experiment, two-layer EM wave absorbers were used both on the pavement and right wall. The

POKHAREL et al.: ANALYSIS ON EFFECTIVENESS OF WAVE ABSORBERS TO IMPROVE DSRC EM ENVIRONMENT ON EXPRESS HIGHWAY

2729

Fig. 8. Measured and calculated received power waveforms at a distance of 6 m in lateral direction from the DSRC beacon for Case III. TABLE I PARAMETERS OF WAVE ABSORBERS [14] (SYMBOLS ARE REFERRED TO Fig. 4)

material properties such as relative permittivity and thickness of each layer ( or ) of wave absorbers that were used in the experiment were discussed [14], which are used in this analysis. Please note that reflectivity of the absorber on the right wall is around 15 dB and that on the pavement is around 12 dB in normal incidence [14]. Parameters of the wave absorbers are shown in Table I. In Figs. 7 and 8, the computed waveforms agree well with those of the measured waveforms, especially in the forward direction, although discrepancy in the backward direction is larger. Discrepancy is increasing when the receiver comes closer to the transmitting antenna such as in the near-field region of the DSRC beacon. Measurement was carried out by a patch antenna, which was kept on the back of a mini truck, and the vehicle was driven back from the positive direction toward the DSRC beacon to avoid reflections from the vehicle’s head in the forward direction. When this vehicle passes the transmitting antenna and enters the backward direction in the measurement zone, reflections from the head of the vehicle are included in the measured waveforms, and this is one of the reasons in the discrepancy between the measured and computed results in the backward direction. However, the computed waveforms are in excellent agreement with the measured results in the positive direction beyond 25 m from the beacon. It is thus concluded that the model presented in this paper can be used to analyze the 5.8-GHz DSRC EM environment and to analyze the effectiveness of wave absorbers to be set up on the highways. IV. EFFECTIVENESS OF EM WAVE ABSORBERS A. Highway With a Sidewall on Right Side Only Fig. 9 shows the measured power profiles representing the longitudinal position of the receiving point on the horizontal

Fig. 9. Measured receiving power profiles for three cases. (a) In absence of sidewalls (Case I). (b) In presence of right wall only (Case II). (c) In presence of wave absorbers, set up on the pavement and sidewall. (Location of wave absorbers is from 20 to 30 m from the DSRC beacon on the pavement and right wall.)

0

axis and the lateral direction (the width of the highway) on the vertical axis for three cases, respectively. The shadow portion indicates the range of receiving power where the level is higher than 70.5 dBm, and this is the threshold level of EIRP for an effective DSRC communication [1]. In Fig. 9(a), where the sidewalls are not present (Case I), the reflected waves from the pavement have the impact on the power profiles up to the range of 45 m and, according to the ARIB STD-75, there will be possibility of undesired communications in the range of 30–45 m.

2730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 10. Computed received power profiles when wave absorbers are set up. (Location of wave absorbers is 0–60 m from the DSRC beacon on the pavement and right wall.)

In Fig. 9(b), a power profile is shown when a sidewall on the right side is present (Case II), the possible range of undesired communication has now spread to 70 m in the worst case, and this will depend on the position of the receiving point. By comparing the profiles of Fig. 9(a) and (b), it is observed that the reflected waves from the sidewall have impact on power profiles in the range of 45 to 70 m. However, in the range of measurement of this study, the breakpoint phenomenon [9] has not been observed. Measurements have been carried out up to 120 m and that no dark spots appear beyond 70 m. Therefore, the results are shown only in the range from 10 to 70 m throughout this paper. Fig. 9(c) shows the power profiles after the absorbers are set up on the pavement and a sidewall (Case III). By comparing the power profiles of Fig. 9(b) and (c), the influence of wave absorbers can be seen by a small disappearance of the shadow portion only in the range of 60–70 m. However, power profiles in the range of 30–60 m have not been improved by wave absorbers that were set up in the experiment, although the absorbers on the sidewalls and the pavement have wave absorption level around 15 and 12 dB in normal incidence [14], respectively. Less or negligible influence of wave absorbers is due to the improper location of wave absorbers in the experiment. The location of wave absorbers has been readjusted in analysis, and analytical study has been carried out. In the analysis, wave absorbers are now rearranged from 0 to 70 m both on the pavement and right wall, respectively. Fig. 10 shows the computed power profiles after the rearrangement of wave absorbers. In this case, the effectiveness of wave absorbers can clearly be seen by the disappearance of the shadow portion in the range of 45–70 m. This shows that this setup of wave absorbers are more effective that those used in the experiment. B. Highway With Both Sidewalls Here, the model of a typical Japanese highway, shown in Fig. 1, which has the sidewalls on both sides, is subject to analysis. Fig. 11 shows the computed receiving power profiles for this model. In Fig. 11(a), the computed results shows that there

Fig. 11. Computed receiving power on the express highway with both sidewalls. (a) Without wave absorbers. (b) With wave absorbers. (Location of wave absorbers is 30–60 m from the DSRC beacon on the pavement and right wall.)

is the possibility of undesired communication, which spreads up to 100 m (only shown up to 70 m in this figure) in the absence of wave absorbers. In Fig. 11(b), where wave absorbers are set up from 30 to 60 m from the beacon, both on the pavement and the sidewalls, there is large suppression in the range of undesired communications. There is still the possibility of undesired communications between 30–50 m even after the setup of wave absorbers. Peak value of reflectivity of wave absorbers used in the walls is approximately 15 dB and those used in the pavement are approximately 12 dB in normal incidence. However, if the incident angle increases, the value of reflectivity suddenly decreases up to 2 or 3 dB. Therefore, improvement in wave absorber performance in the wide range of incident angles 10 –40 is necessary to realize a DSRC communication range of interest on the highway. Improvement in the radiation patterns of the DSRC beacon and the orientation or position of the DSRC beacon are other parameters to be optimized in order to get a desired communication range within 30 m from the beacon. V. CONCLUSION An effective method based on the ray-tracing technique is presented to analyze the DSRC EM environment on an express highway, and the validity of the model employed is discussed by the comparison of the computed waveforms with the results

POKHAREL et al.: ANALYSIS ON EFFECTIVENESS OF WAVE ABSORBERS TO IMPROVE DSRC EM ENVIRONMENT ON EXPRESS HIGHWAY

obtained by an experiment. In the experiment, the transmitter and receiver are both right-circular polarized antennas. The reason for the negligible or less influence of wave absorbers in the experiment is investigated by rearranging the location of wave absorbers, and it turns out that the power profiles are improved in the range from 45 to 70 m from the DSRC beacon after the rearrangement. Reflection coefficients of wave absorbers are formulated by an equivalent-circuit approach [13], and the analysis shows that the sidewalls and pavement with wave absorbers are useful to improve the DSRC EM environment on the highways. However, improvement of wave absorber performance in the wide range of the incident angles is necessary to maintain the communication range of interest. The model presented is effective for investigating the optimal location of wave absorbers to be set up for a DSRC system on the highway in the absence of traffic, and it takes only 30 s for computation. The presented method is straightforward and takes account of a 3-D object like a car on the highway, which will be discussed in a future study. REFERENCES [1] Discrete Short Range Communication (DSRC), ARIB Standard STD175, Nov. 27, 2002 (in Japanese). [2] G. A. Deschamps, “Ray techniques in electromagnetics,” Proc. IEEE, vol. 60, no. 9, pp. 1022–1035, Sep. 1972. [3] A. G. Emslie and R. L. Lagace, “Theory and the propagation of UHF radio waves in coal mine tunnels,” IEEE Trans Antennas Propag., vol. AP-31, no. 4, pp. 584–589, Apr. 1983. [4] S. Y. Seidal and T. S. Rappaport, “914 MHz path loss prediction models for indoor wireless communications in multifloored buildings,” IEEE Trans. Antennas Propag., vol. 40, no. 2, pp. 207–217, Feb. 1992. [5] T. Kurner, D. J. Cichon, and W. Wiesbeck, “Evaluation and verification of the VHF/UHF propagation channel based on a 3-D wave propagation model,” IEEE Trans. Antennas Propag., vol. 44, no. 3, pp. 393–403, Mar. 1996. [6] W. K. Tam and V. N. Tran, “Multi-ray propagation model for indoor wireless communications,” Electron. Lett., vol. 32, no. 2, pp. 135–137, 1996. [7] K. Kimura and J. Hirokoshi, “Prediction of millimeter-wave multipath propagation characteristics in mobile radio environment,” IEICE Trans. Electron, vol. E82-C, no. 7, pp. 1253–1259, Jul. 1999. [8] Z. Ji, B. Li, H. Wang, H. Chen, and T. K. Sarkar, “Efficient ray-tracing methods for propagation prediction for indoor wireless communications,” IEEE Antennas Propag. Mag., vol. 43, no. 2, pp. 41–48, Apr. 2001. [9] H. L. Bertoni, Radio Propagation for Modern Wireless Systems. Upper Saddle River, NJ: Prentice-Hall, 2000, pp. 96–103. [10] M. Toyota, R. K. Pokharel, and O. Hashimoto, “Efficient multi-ray propagation model for DSRC EM environment on express highway,” Electron. Lett., vol. 40, no. 20, pp. 1278–1279, Sep. 2004. [11] L. B. Felsen, F. Akleman, and L. Sevgi, “Wave propagation inside a two-dimensional perfectly conducting parallel-plate waveguide,” IEEE Antennas Propag. Mag., vol. 46, no. 6, pp. 69–89, Dec. 2004. [12] R. K. Pokharel, M. Toyota, and O. Hashimoto, “Experimental study on DSRC EM environment inside tunnel,” in Proc. Asia–Pacific Microwave Conf., New Delhi, India, Dec. 15–18, 2004, E11.4/APMC/04/C/344. [13] O. Hashimoto, An Introduction to EM Wave Absorber (in Japanese). Tokyo, Japan: Nikkan Kogyo Shimbun Company, 2001, pp. 54–56.

2731

[14] T. Azuma, K. Masuda, A. Kobayashi, and O. Hashimoto, “A basic study on the composite pavement with function of radio wave absorption,” IEICE Trans. Commun., vol. J88–B, no. 2, pp. 485–488, Feb. 2005.

Ramesh K. Pokharel (M’03) received the B.S. degree from Aligarh Muslim University, Aligarh, India, in 1994, and the M.S. and Doctorate degrees from the University of Tokyo, Tokyo, Japan, in 2000 and 2003, respectively all in electrical engineering. From April 2003 to March 2005, he was with the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Sagamihara, Japan, as a Post-Doctoral Research Fellow. In April 2005, he has joined the Department of Electronics and Systems Engineering, Kyushu University, Fukuoka, Japan, as a Research Associate. His recent research interests include the numerical computation/analysis for electromagnetic compatibility (EMC) problems, and high-frequency transients in wire grid systems. Dr. Pokharel is a member of the Institution of Electrical Engineers of Japan (IEEJ). He was a recipient of the Monbusho Scholarship of the Japanese Government (1997–2003).

Makoto Toyota received the B.S. and M.S. degrees in electronics engineering from Oita University, Oita, Japan, in 1995 and 1997, respectively. Since 1997, he has been with the Express Highway Research Institute, Japan Highway Public Corporation, Tokyo, Japan. His current research interests are the development of advanced wave absorbers and their application to intelligent transport systems (ITSs).

Osamu Hashimoto was born in Akita, Japan, in 1953. He received the B.E. and M.E. degrees in applied electronic engineering from The University of Electro-Communications, Tokyo, Japan, in 1976 and 1978, respectively, and the Doctorate degree from the Tokyo Institute of Technology, Tokyo, Japan, in 1986. In 1978, he joined the Toshiba Corporation. In 1981, he joined the Defense Technical Development Laboratory. In 1991, he joined the Department of Electrical Engineering and Electronics, Aoyama Gakuin University, Kanagawa, Japan, as an Associate Professor. He is currently a Professor with Aoyama Gakuin University. From 1994 to 1995, he was a Guest Researcher with the University of Illinois. He has authored or coauthored over 400 papers in reviewed journals and international conferences. He has authored or coauthored over ten books in Japanese including Introduction to Finite Difference Time Domain Method (Tokyo, Japan: Morikita Shuppan Company Ltd., 1996) and Introduction to Microwave Absorbers (Tokyo, Japan: Morikita Shuppan Company Ltd., 1997), Technologies and Applications of Wave Absorber (Tokyo, Japan: CMC Publishing Company Ltd., 1999), and Measurement Method of Material Constants in High Frequency Region (Tokyo, Japan: Morikita Shuppan Company Ltd., 2003). He has been engaged in research on microwave and millimeter-wave absorbers, planar filters, measurement, and analysis of radar cross sections. Dr. Hashimoto was the recipient of the 1990 Excellent Defense Paper Award and the 2003 JIEP Excellent Paper Award.

2732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

A Generalized Surface-Volume Integral-Equation (SVIE) Approach for Analysis of Hybrid Planar/NRD-Guide Integrated Circuits Duochuan Li and Ke Wu, Fellow, IEEE

Abstract—A generalized surface-volume integral-equation approach (SVIE) has been proposed and developed for accurate modeling and analysis of hybrid planar/nonradiating dielectric (NRD)guide integrated circuits. On the basis of vertical modes in basis functions for NRD-guide circuits, vertical integration in space-domain is carried out analytically and a set of first-order Green’s functions is constructed. Then, the solution of the volume integral-equation concerning with the NRD-guide circuits has been reduced to a two-dimensional planar problem in connection with each vertical mode. In this way, only the diagonal submatrices need to be calculated due to the orthogonal property of different vertical modes. A reduced calculation region technique is used for handling higher order vertical modes in which the fields are confined in the slot areas and their vicinities. The method was applied to a class of different hybrid structures. The results are in good agreement with measured results or the results obtained with other methods. Index Terms—Generalized surface-volume integral equation, Green’s functions, hybrid planar/nonradiating dielectric (NRD) integrated circuits, reduced calculation region technique, vertical modes.

I. INTRODUCTION

A

T MILLIMETER-WAVE frequencies, the conventional planar circuit technology suffers from problems of increased conductor loss and critical dimensional tolerances. Therefore, the nonplanar technologies, including metallic waveguide, coaxial line and dielectric waveguide, should be considered. Among such nonplanar technologies, the nonradiating dielectric (NRD) waveguide is well suitable for passive components because it can completely suppress the radiation loss along circuit bends and discontinuities [1], [2]. It is apparent that this platform becomes more and more attractive as the frequency increases. However, the NRD-guide, like any other dielectric waveguide, presents some difficult problems when active device integration is required. Obviously, the hybrid scheme based on combined planar circuits and NRD waveguide technology is more appealing. Based on this consideration, an architecture called hybrid planar/NRD waveguide integration technology was proposed as a building block for constructing microwave and millimeter-wave circuits [3]–[6]. In a generalized hybrid microstrip/NRD waveguide structure as shown in Fig. 1, the microstrip circuits can be relocated on Manuscript received July 5, 2004; revised October 27, 2004. This work was supported in part by the Natural Sciences and Engineering Research Council (NSERC) of Canada. The authors are with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854183

Fig. 1. General arrangement of the hybrid planar/NRD integrated circuits.

both sides of the parallel metallic plates of the NRD-guide circuits. In this way, the microstrip circuits share the common ground plane with the NRD-guide circuits which is actually one of the parallel metallic plates. The coupling between the two dissimilar structures is achieved through apertures that are made in the ground plane (or the parallel plate in this case). The slotline and coplanar waveguide (CPW) circuits can be constructed on the common ground plates and couple with microstrip and NRD-guide circuits directly. The three different types of circuits on five layers make the hybrid structures very compact. Such a hybrid scheme is particularly suitable for millimeterwave systems in which active devices can be made with the planar-line technique while passive components can be made with the NRD-guide technique. Many experimental prototypes including passive components and active devices based on this hybrid technology have been studied and have shown that this novel hybrid technology is very promising in the design of microwave and millimeter-wave circuits and systems [7]–[9]. Several analysis methods were used to approximately predict electrical performances of the hybrid planar/NRD integrated circuits. A small aperture coupling theory was developed and effectively applied to modeling of the microstrip-line-to-NRD-guide transitions [3]. Another analytical technique was developed in [10] to model and design the microstrip-coupled NRD resonators. The NRD interconnect between two microstrip-lines in opposed layers was also simulated in [11] by a transmission line method. However, those analytical methods have difficulty in predicting the electrical characteristics of transitions of general arrangement or shape in this hybrid technology. A transmission-line matrix (TLM) algorithm was used in [12]

0018-9480/$20.00 © 2005 IEEE

LI AND WU: GENERALIZED SVIE APPROACH FOR ANALYSIS OF HYBRID PLANAR/NRD-GUIDE INTEGRATED CIRCUITS

for the analysis of microstrip-to-NRD-guide coupling. But this method, like the finite-difference time-domain (FDTD), is very storage and time consuming for such open structures. It is also difficult to handle underlying physical effects such as radiation and surface-wave losses in planar circuits and the radiation loss in NRD-guide. A surface-volume integral-equation approach (SVIE) was developed in [13] for the electrodynamic analysis of structures embedded in multilayered media, which consist of both microstrip/stripline and coplanar/slotline comprising three-dimensional (3-D) components like vertical interconnects, finite dielectric fillings, or coatings. By using a combined formulation of an electric-field surface integral equation for planar metallizations, a magnetic field surface integral equation for planes with slot areas and a volume integral equation for vertical metallizations as well as finite dielectric areas, each circuit component can be modeled with minimum of discretization effort. In our structure, the 3-D structure concerned with volume integral-equation is a multiports NRD-guide circuit of arbitrarily shaped planar section and arbitrarily varied dielectric permittivity in the planar section. The above mentioned SVIE approach is difficult for this hybrid structure not only because of the existence of multiports but also because of large memory and CPU time requirements for the complicated dielectric circuits. Recently, we have developed an order-reduced volume-integral equation (ORVIE) approach for modeling and analysis of NRD-guide and H-guide millimeter-wave circuits that involve arbitrarily shaped planar geometry and inhomogeneous dielectric [14]. In this approach, a half-sinusoidal vertical variation of fields (m=1 vertical mode) is used so that the discretization of volume polarized current is made in the parallel plane. A vertical integration in space domain is carried out analytically is developed. and a first-order Green’s function with Then, the solution for the volume-integral equation in modeling NRD-guide and H-guide circuits is reduced to a completely two-dimensional (2-D) planar problem. This method can be used in the present SVIE approach for the NRD guide circuit part in the hybrid structure. In this hybrid structure, not only the operating mode, but also the radiation mode and evanescent modes may simultaneously be excited. The radiation loss can be obtained by extracting the poles in integrals. The fields in evanescent modes are confined in the slot areas and their vicinities for which a reduced calculation region technique can be used. The vertical integrals in the coupling terms between slots and NRD-guide can also be obtained analytically. In most cases, only a few vertical modes are needed and particularly only the diagonal submatrices related to the volume integral equation should be calculated due to the orthogonal property between different m modes. These factors largely reduce the calculation effort and make the SVIE approach suitable for modeling and analysis of the hybrid planar/NRD-guide integrated circuits. Although this method is effective for parallel plate waveguide with any distance, we only consider the NRD guide condition in which the distance between the two plates is less than the half wavelength in this paper. In what follows, the formulation of the SVIE system for the hybrid planar/NRD-guide integrated circuits is presented, followed by the current discretization and matrix structure.

2733

Afterwards, the analytical evaluation in vertical space-domain integration and first-order Green’s functions are outlined in detail. Subsequently, some integration techniques are briefly described. Finally, numerical results for several hybrid structures are presented and compared with results obtained by other methods to demonstrate the effectiveness of this method. II. FORMULATION Fig. 1 shows a generic arrangement of hybrid planar/NRD waveguide circuits. The space above the upper ground plane is denoted as region a, the space between the two as region b, and the space below ground planes as region c where is the downside ground plane the distance between the two ground planes. Three types of circuits, comprising microstrip, coplanar/slotline, and NRD-guide, in five layers are represented as f1, s1, v, s2, f2 from top to bottom. The middle part (v) is a multiports NRD-guide circuit that is sandwiched between the two parallel infinitely extended metal plates. The feed lines are assumed to be semi-infinitely long with the same height , different width , and constant dielectric permittivity . The core circuit may be in any shape of NRD guide with the dielectric permittivity varies in the plane . The whole NRD guide circuit is surrounded by a medium with a constant dielectric permittivity . By using electric surface currents description, and , for the microstrip components in f1 and f2, magnetic surface and , for the slot areas in s1 and s2, and currents, equivalent polarized volume current for the NRD guide, five coupled integral equations are obtained for the five unknown currents , and by enforcing the boundary on the microstrip conditions: 1) is continuous through the slot s1 and circuits f1 and f2; 2) s2; and 3) volume equivalent theorem within the area of dielectric regions

(1) (2)

(3) (4) (5) is a space-varying surface impedance. The right where sides are impressed terms and they generally would not appear at the same time. The coupled surface-volume integral equation (1)–(5) can be solved with the Galerkin’s method of moments. A. Current Discretization and System Matrix Structure Since the current discretization for electric and magnetic surface currents was discussed in many literatures [13], [15], we

2734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

will not discuss them in detail in this paper. Generally, the polarized volume current discretization for the NRD guide circuits should be made in three dimensions and this would make the matrix too large and this method becomes inefficient because of large memory and CPU time requirements. However, in the NRD guide circuits of Fig. 1, the presence of the metal plates im, plies a discretization of the vertical wave number ( with null or integer ). The total field between the two plates can be represented by the sum of fields in all vertical modes, and the field in each vertical mode varies as a sinusoidal behavior in the vertical direction. with the propagating constant The mode spectrum of NRD guide was discussed in [14] and indicates that each m mode spectrum can be divided into a discrete number of surface waves, which are classified into LSE and LSM modes, and a continuous set of radiation modes. The continuous radiation mode, also referred as parallel plate waveguide mode, will cause radiation loss in this hybrid strucpole ture. It is excited by the slots and corresponds to the in the Green’s functions. The is the operating mode in which the continuous mode becomes evanescent at NRD guide working condition while the propagating surface wave is normally used as the working mode because of lower transhigh-order modes are evanescent and mission loss. The confined in the slot areas and their vicinities. The higher order the vertical modes are, the smaller area the field will cover. A reduced calculation region technique can then be used for these higher modes. In this technique, the higher the value is, the smaller calculation region becomes, thus less basis functions are needed. This technique is essential for these structures which need more vertical modes, thus more memory. The current discretization for each mode can be made only in - plane just as planar circuits. With appropriate basis functions, the overall current can be expressed as shown in (6), shown at the bottom of this page, where

(7) and are the basis functions for planar electric currents in f1 and f2. Similarly, and are the basis functions for planar magnetic currents in s1 and s2. is the basis function of

volume current and is the planar part of these basis functions. and are the total number of planes with planar electric currents in f1 and f2, analogously, and for planar magnetic and currents in s1 and s2. The total vertical modes are is the total number of planes with planar part of volume current . for each mode and The discretized integral-equation system is solved with the Galerkin’s method of moments and a linear system of equations can be obtained as shown (8) at the bottom of this page, are impedance submatrices of planar where electric currents and volume currents, is an admittance are submatrices related to the submatrice of slot and mutual couplings of magnetic surface currents with electric and volume currents. One of the crucial points of the method lies in the effective and accurate evaluation of the system matrix entries. For the excitation of the structures, we mainly use traveling-wave excitation technique. This excitation mechanism was first proposed for the analysis of open microstrip gap discontinuities in which the current density flowing at each port is expanded into a superposition of incident and reflected semi-infinite traveling-wave functions and thus the relevant scattering parameters can be directly extracted from reinforcing the boundary condition over the conductor surface [16]. In these cases, the propagation constants and current distribution of different modes in the planar circuits can be obtained with the help of a one-dimensional eigenvalue/eigenvector analysis of the transmission line. This traveling-wave excitation technique was successfully applied in the analysis of multiports NRD guide circuits in [14]. Since the eigen modes in the NRD guide have exact analytic expressions, this technique is very simple. -gap voltage sources in the case of microstrip-like circuits, and -gap current sources in the case of coplanar/slotline structures can also be used in the planar circuits. The incident and reflected waves are extracted with the help of a reference and test plane by using the prior-known values for the propagation constants from the eigenvalue analysis. Finally, the -parameters can be determined by exciting an -port circuit by linear independent excitations, leading to a linear system of equations for the unknown -parameters. Since the couplings between planar electric and magnetic currents were well discussed in previous literatures [13], [17], here we mainly discuss the entries which are related to region . In

(6)

(8)

LI AND WU: GENERALIZED SVIE APPROACH FOR ANALYSIS OF HYBRID PLANAR/NRD-GUIDE INTEGRATED CIRCUITS

the case of two magnetic basis functions in the same plane s1, the matrix entries are

2735

(A.3)–(A.7). The two types of vertical intergrals in (10) can be obtained as

(9) The other cases of two magnetic basis functions in the same plane s2 or in different planes s1 and s2 are similar to (9) and thus omitted here. The entries related to volume currents can be obtained by spectral-domain integration with the vertical part still in spacedomain as follows. The coupling case of a planar magnetic basis function in s1 with a volume basis function in leads to

(15)

(16) For (11), only has to be changed to . The two types of vertical integrals in (12) are

(10) (17) (11) Similarly, the coupling case of a planar magnetic basis function in s2 with a volume basis function in leads to

(12)

(18) Similarly, for (13), only has to be changed to z. and The vertical integral of ponents in (14) is

com-

(13) Finally, in the case of two volume current basis functions, the integral representation

(19) (14)

The results of the vertical integral of nents in (14) can be obtained by

and

compo-

. Here, or the planar basis functions and are

is Fourier transforms of . The functions of and . An overview of components of the different spectral-domain Green’s functions is given in Appendix A. It should be noted that there is always at least one pole which represents the continuous radiation mode in these Green’s functions. The existence of poles makes the integrals in (9)–(14) more complicated. B. Analytical Evaluation in Space-Domain Integration and First-Order Green’s Functions

The space-domain integrals within (10)–(14), concerning the variable and , can be evaluated analytically with the help of

(20)

2736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Similarly, the results of the vertical integral of components in (14) can be obtained by

and

The vertical integral in connection with the second term in (14) can be obtained as

(25) With the help of (15)–(24), a set of new Green’s functions can be constructed as (26) (21) Once again, the results of the vertical integral of nent in (14) can be obtained by

compo-

. It denotes the th where vertical mode electric field contribution in from the magnetic current in slot s1 and

(27) th

denotes the magnetic field contribution in slot s1 from the vertical mode electric volume current in and

(28) denotes the th vertical mode electric field contribution in from the magnetic current in slot s2 and (22) (29) and the results of the vertical integral concerning with source in in (A.7) can be obtained by

denotes the magnetic field contribution in slot s2 from the th vertical mode electric volume current in as shown in (30) at the bottom of the this page, which denotes the th vertical mode electric field contribution in from the th vertical volume current in . With the help of Green’s functions (26)–(30), the integrals in (10)–(14) can be written in planar form

(23) Then, the component can be simplified by combining the source term (23) with the principal term (22) and using the separation condition as

(24)

(31)

(32)

(30)

LI AND WU: GENERALIZED SVIE APPROACH FOR ANALYSIS OF HYBRID PLANAR/NRD-GUIDE INTEGRATED CIRCUITS

2737

(33)

(34) Fig. 2. Structure of microstrip-coupled NRD resonator.

(35) The Green’s functions in (26)–(30) are much simpler than those in (A.3)–(A.7) without triangular functions in them. The component of G in the square bracket (A.7) is greatly simpole is elimiplified as a constant. The ever-existing nated through the vertical integrations (15)–(23). The radiation loss is obtained only through extracting poles integrals. Since each new Green’s function only govin erns the mth vertical mode case for NRD guide circuits, we call them as first-order Green’s functions and refer to (A.3)–(A.7) as the full-scale Green’s functions. Due to the orthogonal properties between different m modes as shown in (30), only the elements in (35) need to be calculated and this further largely reduces the calculation effort. The integrals in (31)–(35) and just are 2-D in the spectral-domain with respect to same as in planar circuits. With the 2-D discretization technique, the solution of each vertical mode for NRD guide circuits has been reduced to a completely 2-D planar problem. We call this method as the generalized SVIE method. C. Numerical Integration The integrals in (31)–(35) are similar to those used in planar circuits but much simpler because of the simple first-order Green’s functions. Many kinds of planar basis function such as piecewise sinusoidal functions, pulse basis functions with rectangular or triangular mesh scheme or entire domain mode can be used to fit different cross sections of the NRD guide circuits just as used in planar circuits. Since there are no poles in these integrals, they can be carried out either in polar coordinates or in rectangular coordinates. In most cases of planar basis functions in the NRD guide circuit, integrands and can be rearranged as and oscillate with a sinusoidal behavior. So the integrals in rectangular coordinates are more efficient than their polar counterparts. The integrals must be carried out in polar coordinates due to the existence of the poles. However, only component needs to be calculated because only -directed polarized volume current exists in mode. The asymptotic subtraction technique can be used for these numerical integrations both in polar coordinate and rectangular

coordinates [13], [14]. The symmetric property and redundancy reduction techniques can also be used to reduce calculation effort in this method. III. NUMERICAL RESULTS AND DISCUSSION Several examples are discussed in this section to demonstrate properties and efficiency of the proposed method. The examples comprise different structures and field distributions starting vertical modes are from the simplest case in which only needed to the most complicated case in which up to vertical modes are required. The piecewise sinusoidal expansion functions are used to model the higher order modes in the vicinity of slots and open-circuited stub discontinuities for the surface electric current in the microstrip line. The incident, reflected, and transmitted waves are represented by traveling wave modes corresponding to the fundamental microstrip mode as in [16]. Entire domain sinusoidal expansions are used for the magnetic surface current in the slot as in [18]. A. Microstrip-Coupled NRD Resonators The hybrid planar/NRD oscillator, which was proposed and studied in [7] and [8], is an important active circuit with the hybrid integration technology. In this example, a microstripcoupled NRD resonator as shown in Fig. 2 is studied. The microstrip circuits are made with a thickness of 15 mil substrate ). The dimensions of the NRD (RT/Duroid 5880 and . The resonator are 7.12 12.88 13.55 mm with two coupling apertures have dimensions of 5 0.5 mm with a spacing of 15 mm. Pulse basis functions are used for the NRD for the number resonator. The transmission loss and phase of of vertical modes with a frequency range of 16.9–17.1 GHz was calculated and shown in Fig. 3(a). It shows that is enough in this structure because the fields in modes are very small in dielectric region since the slots do not touch the dielectric. The field distribution at 16.98 GHz is shown in mode is over Fig. 3(b) in which the field magnitude in mode. The results from 40 times smaller than that in commercial software Ansoft-HFSS is also drawn in Fig. 3(a) for comparison and shows that they agree with each other very well B. NRD Interconnect Between Planar Circuits The second example is an NRD guide interconnect between microstrip lines which are located on the opposite sides of this hybrid structure, first investigated by a transmission line method in [11]. The geometry of the interconnect directly between two

2738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Frequency characteristic of NRD interconnect between planar circuits with the different number of vertical modes.

Fig. 6. Field distributions of NRD interconnect between planar circuits in m = 1; 3; 5; 7; 9 vertical modes. Fig. 3. (a) Magnitude and phase of S21. (b) Field distributions of microstrip-coupled NRD resonator.

Fig. 4.

Structure of NRD interconnect between planar circuits.

slots with dimensions of 1.5 8 mm is shown in Fig. 4. The dimensions of the NRD guide are 12.7 12 12 mm which has been realized using a substrate with a dielectric constant close . The microstrip line has been imto that of silicon with an open stub of plemented on Teflon substrate between 8 mm. The convergence of coupling coefficient

the microstrip lines and NRD guide for vertical modes is shown vertical modes are needed to make the in Fig. 5. Up to calculation convergent because the fields in higher order modes are concentrated between the two slots in this structure. The reduced calculation region technique is used and it is essential for modeling this structure with more vertical modes. The calculamodes at tion regions and field distributions of 4.7 GHz are shown in Fig. 6 and indicates that the field magnitude of the higher m modes decreases slowly as m increases. The agreement of our approach with measurements is better than the results of transmission line method as shown in Fig. 7. C. Integrated Transition of Microstrip-Line-to-NRD-Guide Structures The last example is the microstrip-to-NRD transition structure, which was studied in [3] and [4]. The microstrip line is placed in the perpendicular direction to the dielectric strip of the NRD-guide and the integrated transition is made through a magnetic aperture coupling. In the microstrip-line-to-NRD-guide transition as shown in Fig. 8(a), a microstrip line with width

LI AND WU: GENERALIZED SVIE APPROACH FOR ANALYSIS OF HYBRID PLANAR/NRD-GUIDE INTEGRATED CIRCUITS

2739

functions required in large vertical modes, thus it is also attractive for structures with more vertical modes. Compared to commercial software packages such as Ansoft-HFSS, this method not only needs less memory and short CPU time, but also can provide more information about the structures, such as field distribution with respect to each vertical mode. IV. CONCLUSION

Fig. 7.

S -parameters of NRD interconnect between planar circuits.

of 1.52 mm is fabricated on a substrate (RT/Duroid 5880, ) with a thickness of 20 mil. The NRD guide is made of a ) with an rectangular dielectric strip (Rogers TMM-3, open stub of 2.79 mm and designed to operate around 20 GHz mm and mm. The coupling aperture on with the common ground plane is a narrow rectangular slot with disurface wave, which mensions of 7.5 0.5 mm . Only can be represented by propagating mode basis function, can be excited in this structure in the case of microstrip excitation, or mode and this has been apNRD guide excitation with proved strictly in Appendix B. The fields of evanescent modes are represented by pulse basis functions. The reduced calculation region technique is again used in this example. The structure is simulated with a frequency sweep between mode in the NRD guide) 17.03 GHz (cutoff frequency of with and 21 GHz. The convergence of transmission loss the number of vertical modes is shown in Fig. 8(b). It can be is accurate enough for the whole frequency seen that band. The calculation regions and field distributions of modes at 20 GHz are shown in Fig. 8(c). In the experimental prototype, two identical microstrip-line-to-NRD-guide transitions are used as in Fig. 9(a), which are interconnected through an NRD-guide terminated with two open ends having a length of 82 mm. The distance between the two microstrip feed lines is 76.42 mm. Fig. 9(b) shows the measured frequency with the modeling result for the whole strucresponse of ture. The higher loss in the measurement comes mainly from the dielectric loss in the NRD-guide and a mechanic-related matching problem in the slot. The ripples come from the resonator effect in the limited NRD guide because of mismatching. The agreement between simulated and measured results indicates that our approach is effective for modeling such complex transition structures. Apparently, this method is attractive for structures in which . Howa small number of vertical modes are required ever, for structures that needs more vertical modes such as the second example in this paper, other methods such as finite element method (FEM), FDTD, and TLM, also need a dense discretization grid to model them accurately because the fields change abruptly in the structures. In our method, the use of reduced calculation region technique largely reduced the basis

A generalized SVIE approach has been proposed and developed for accurate modeling and analysis of the hybrid planar/NRD integrated circuits. By using vertical modes in the basis functions, vertical integration in space-domain can be carried out analytically and a set of first-order Green’s function can be constructed. In each mode, the solution of volume integration concerning with the NRD guide circuits has been reduced to a 2-D planar problem. There are several advantages with this method. integrals under the NRD 1) There are no poles in the guide condition. The integrals may be carried out in rectangular coordinate with fast convergence due to its sinusoidal oscillating behavior. The radiation loss can be obpoles in integrals. tained by extracting 2) Only the diagonal submatrices related to the volume integral equation are needed and calculated due to the orthogonal property of different vertical modes. vertical modes are confined in the slot 3) Fields in areas and their vicinities, and a reduced calculation region technique can effectively be used. 4) Different numbers of the vertical modes are needed in different structures. In most cases, only a few vertical modes are needed. The overall computational effort could be drastically reduced due to the above advantages and this makes the proposed SVIE approach suitable for our planar/NRD-guide hybrid circuits as well as other planar/nonplanar hybrid integrated structures. The usefulness and potential applications of the proposed method are demonstrated through three distinct circuits in the hybrid technology. APPENDIX A The explicit form of the Green’s functions in region b in Fig. 1 can be obtained by letting the reflection coefficients at the two plates [19], The following definitions are used in the expressions

The Green’s functions are as follows:

(A.1)

2740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 8. (a) Top view of the microstrip-line-to-NRD-guide transition. (b) Frequency characteristics of the microstrip-line-to-NRD-guide coupling structure with different number of vertical modes. (c) Field distributions in m = 1; 2; 3; 4; 5 vertical modes.

where . It denotes the tangential magnetic field contribution in s1(s2) from the magnetic surface current in s1(s2)

and . It denotes the electric field contribution in from the magnetic surface current in s1 and

where

(A.4) (A.2) where . It denotes the tangential magnetic field contribution in s1(s2) from the magnetic surface current in s2(s1) and (A.3)

where contribution in

and . It denotes the electric field from the magnetic surface current in s2 and (A.5)

where

and . It denotes the tangential

LI AND WU: GENERALIZED SVIE APPROACH FOR ANALYSIS OF HYBRID PLANAR/NRD-GUIDE INTEGRATED CIRCUITS

2741

, where . There is always at least one pole which represents the continuous radiation mode in the above Green’s functions. With the increasing of frequency or increasing of the distance more poles will between two plates, appear in these Green’s functions. APPENDIX B If we can show that the coupling terms in (7) between the slot and LSE modes are zeros, we should be able to conclude that no LSE modes can be excited in this structure. The field distribution in LSE modes are (B.1) (B.2) (B.3) and

Because

, only

exists

Fig. 9. (a) Transparent view of two interconnected transitions of microstrip-line-to-NRD-guide through a length of NRD-guide. (b) Frequency response comparing with the theoretical and measured data in [4] for the two interconnected transitions.

magnetic field contribution in s1 from the volume current in and

(B.4) We only take care of the

component integral and

(A.6) (B.5) where

and . It denotes the tangential magnetic field contribution in s2 from the volume current in in (A.7), shown at the bottom of this page, where

(B.6) and zero. Then

are even functions, so the integral for

is (B.7)

Thus, no LSE modes can be excited in this structure. REFERENCES

It denotes the electric field contribution in from the polarized equivalent volume current in . In these Green’s functions, the poles at which denominator equals to zero represent radiation modes, also referred to parallel plate waveguide modes. These poles occur at

[1] T. Yoneyama and S. Nishida, “Nonradiative dielectric waveguide for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT–29, no. 11, pp. 1188–1192, Nov. 1981. [2] , “Recent development in NRD-guide technology,” Ann. Télécommun., vol. 47, no. 11–12, pp. 508–514, 1992. [3] L. Han, K. Wu, and R. G. Bosisio, “An integrated transition of microwave to nonradiative dielectric waveguide for microwave and millimeter-wave circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1091–1096, Jul. 1996.

(A.7)

2742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[4] K. Wu and L. Han, “Hybrid integration technology of planar circuits and NRD-guide for cost-effective microwave and millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 45, pp. 946–954, Jun. 1997. [5] J. Tang and K. Wu, “Co-layered integration and interconnect design platforms of planar circuit and nonradiative dielectric (NRD) waveguide,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 519–524, Apr. 2000. [6] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits status and future trend,” in Proc. Asia-Pacific Microwave Conf. , Jun. 2001, pp. 411–416. [7] K. Wu and L. Han, “Integrated planar NRD oscillator suitable for lowcost millimeter-wave applications,” IEEE Microw. Guided Wave Lett., vol. 6, no. 9, pp. 329–331, Sep. 1996. [8] S. Qi, K. Wu, and Z. Ou, “Hybrid integrated HEMT oscillator with a multiple ring nonradiative dielectric (NRD) resonator feedback circuit,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1552–1558, Oct. 1998. [9] Y. Cassivi and K. Wu, “Hybrid planar NRD-guide magic-Tee junction,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2405–2408, Oct. 2002. [10] A. A. Sayyah and K. Wu, “Efficient analysis of microstrip-coupled nonradiative dielectric (NRD) resonators for hybrid integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 216–223, Feb. 1999. [11] D. G. Kurup and A. Rydberg, “Dielectric connectors for multilayered RF integration,” Microw. Opt. Technol. Lett., vol. 23, no. 11, pp. 230–233, Nov. 1999. [12] A. Bacha and K. Wu, “Toward an optimum design of NRD-guide and microstrip transition for hybrid integration technology,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1796–1800, Nov. 1998. [13] , “Toward an optimum design of NRD-guide and microstrip transition for hybrid integration technology,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1796–1800, Nov. 1998. [14] D. Li, P. Yang, and K. Wu, “An order-reduced volume-integral equation approach for analysis of NRD-guide and H-guide millimeter-wave circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 799–812, Mar. 2005. [15] J. Sercu, N. Faché, F. Libbrecht, and P. Lagusse, “Mixed potential integral equation technique for hybrid microstrip-slotline multilayered circuits using a mixed rectangular-triangular mesh,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1162–1172, May 1995. [16] J. R. W. Jackson and D. Pozar, “Full-wave analysis of microstrip open-end and gap discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 33, no. 10, pp. 1036–1042, Oct. 1985. [17] P. L. Sullivan and D. H. Schaubert, “Analysis of an aperture coupled microstrip antenna,” IEEE Trans. Antennas Propagat., vol. 34, no. 5, pp. 977–984, Aug. 1986. [18] L. T. Hildebrand and J. Joubert, “Full-wave analysis of a new microstrip-to-waveguide interconnect configuration,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 1–7, Jan. 2000. [19] K. A. Michalski and J. R. Mosig, “Multilayed media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propagt., vol. 45, no. 2, pp. 508–519, Mar. 1997.

Duochuan Li was born in Huainan, Anhui Province, China. He received the B.Sc. degree in physics from Peking University, Beijing, China, in 1990, and the M.Sc. and Ph.D. degrees in controlled nuclear fusion and plasma physics from the Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, China, in 1993 and 1998, respectively. He is currently working toward the Ph.D. degree in electrical engineering at École Polytechnique de Montréal, Montréal, QC, Canada. His research interests include computational electromagnetics, nonradiative dielectric (NRD) waveguide, three-dimensional hybrid planar/nonplanar integration technique, and substrate integrated waveguide.

Ke Wu (M’87–SM’92–F’01) is Professor of Electrical Engineering and Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He was a Visiting or Guest Professor with numerous universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-Wave Engineering (FAME). He has authored or coauthored over 410 referred papers and several books/book chapters. His current research interests involve substrate integrated circuits, antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE). Dr. Wu is a member of Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held numerous positions in and has served on various international committees, including the vice-chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general co-chair of the 1999 and 2000 SPIE International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the Technical Program Committee (TPC) chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the RAWCON’2004. He has served on the Editorial or Review Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS as well as other IEEE Journals and Transactions. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was elected into the Board of Directors of Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS in Montreal, QC, Canada, the chapter coordinator for MTT-S Region 7, and the vice chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia–Pacific Microwave Prize, the University Research Award "Prix Poly 1873 pour l’Excellence en Recherche" presented by the Ecole Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics and engineering from the French–Canadian Association for the Advancement of Science (ACFAS), and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2743

Analysis of Stabilization Circuits for Phase-Noise Reduction in Microwave Oscillators Almudena Suárez, Senior Member, IEEE, and Franco Ramírez

Abstract—Two configurations for oscillator phase-noise reduction using stabilization circuits have been demonstrated in the literature. One of them is based on the self-injection of the oscillator signal, after it passes through a long delay line or a high-qualityfactor resonator. The second one is a stabilization loop, containing a frequency discriminator. In this paper, an in-depth analytical comparison of these two configurations, respectively based on injection locking and phase-locking principles, is presented. Analytical expressions are provided for the variation of the steady-state solution and its phase noise versus the parameters of the feedback network. The expressions are rigorously validated with harmonic balance. Instabilities reported by other authors are investigated through bifurcation analysis. The new expressions enable a good understanding of the amplitude and frequency jumps and sharp phasenoise maxima obtained simulations and measurements versus the feedback parameters. A practical 5-GHz voltage-controlled oscillator has also been implemented, for validation purposes. Index Terms—Describing function, feedback oscillator, fold-type bifurcation, phase noise, self-injected oscillator.

I. INTRODUCTION

S

EVERAL authors [1]–[6] have presented techniques for phase-noise reduction in existing microwave oscillators using feedback. The proposed feedback loops contain either a long delay line or a high-quality-factor resonator, coupled to a short line. In [1], [2], a self-injection topology is used based on the connection of a circulator to the oscillator output. After passing through a long delay line or a high-quality-factor resonator, the signal is re-injected to the oscillator circuit, which reduces the autocorrelation of the phase noise. In [1], [2] the phase-noise reduction is explained as the result of the oscillator synchronization to the re-injected RF signal. A second configuration, initially proposed by Altman [3], is a stabilization loop, in which feedback is introduced into a voltage-controlled oscillator (VCO) using a frequency discriminator. This discriminator [3], [4], [6] converts the frequency fluctuations into baseband voltage fluctuations, which provide an error signal that readjusts the oscillator solution. Although the overall circuit is actually a free-running oscillator, the phase-noise reduction may be intuitively understood as the result of the oscillator phase locking to a stabilized signal. No comparison between the performances of these two configurations has been performed, in terms of stability or phase-noise reduction, and it is believed that it could be of interest for the designer. Manuscript received July 6, 2004; revised March 16, 2005. This work was supported under Spanish CYCIT Project TIC2002-03748. The authors are with the Communications Engineering Department, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854182

Although these configurations have been experimentally verified [1]–[5], there are few circuit-level analyses of their behavior versus the feedback-loop parameters. In the case of the self-injection topology, the stable operation ranges have been analytically determined [1], [2] neglecting the deviation of the oscillator amplitude and frequency from the free-running values. When compared with time-domain simulations, the obtained expressions fail to accurately predict the stability ranges. An analytical formulation [1], [2] has also been presented for the variation of the phase-noise spectral density. It gives explanation for the reduction of this spectral density versus the time delay. Again, the deviation of the oscillator solution from the free-running values is not taken into account. On the other hand, commercial harmonic-balance (HB) tools enable an accurate simulation of the steady-state solution and its phase noise. However, convergence difficulties and jumps are obtained for some values of the feedback elements, which prevent the tracing of the entire solution paths. Sharp phase-noise maxima of high magnitude are also observed when these elements are varied. The convergence difficulties and the reported unstable behavior demand an exhaustive investigation through bifurcation-analysis techniques [7], which, to our knowledge, has not yet been tackled. In this study, an in-depth analytical study is carried out of the stability and phase noise of self-injected oscillators and stabilization loops using frequency discriminators. The analytical approach is different from that of [1] and [2] and takes into account the variations of the oscillation amplitude and frequency versus the feedback elements, which was proposed as a future research line in [2]. The main objective is the understanding of the instability phenomena reported by other authors and the influence of the feedback elements on the phase-noise behavior. The expressions are initially derived using a describing-function model for the nonlinear elements. However, the general application to practical oscillator circuits will require noise-analysis techniques based on harmonic balance, like the carrier-modulation [8], [9] and conversion-matrix approaches [9]–[11]. The qualitative agreement with the general behavior predicted by the new analytical formulation will be verified through the application of the two feedback techniques to a MESFET-based VCO at 5 GHz. The paper is organized as follows. In Section II, the new analytical formulation for the self-injected oscillator is presented, considering, as in [2], the cases of a long delay line and highresonator in the circulator loop. In Section III, an analytical formulation for the stabilization loop, containing a frequency discriminator, is presented and compared with that of the self-injected oscillator. In Section IV, the two techniques are applied to a VCO at 5 GHz, which has been manufactured and measured.

0018-9480/$20.00 © 2005 IEEE

2744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 1. Self-injected oscillator. The output load has been replaced with the circulator and delay line.

II. SELF-INJECTION TOPOLOGY FOR PHASE-NOISE REDUCTION A. Steady-State Solution In this section, a describing-function analysis of the oscillator circuit will be carried out. The free-running oscillator is modeled with the parallel connection of a nonlinear block, with the , and a linear block . The steadyadmittance . Now, the state equation is self-injection topology of Fig. 1 is considered. In this topology, the oscillator output is connected to the circulator Port 1. After passing through an attenuator and a delay line (or an attenuator and a high- resonator), the signal is reinjected into the oscillator circuit through the circulator Port 3. From the oscillator viewpoint, the reflection structure basically operates as a load, with the reflection coefficient . Taking this into account, the new steady-state equations are given by: (1) and the characteristic adwith mittance. To avoid a big perturbation of the oscillator solution, high attenuation is usually introduced between Ports 2 and 3 of the circulator, so, in general, it will be possible to expand in a first-order Taylor series about , which provides . The case of a long delay line of electrical length , plus an attenuator, will initially be considered. The total loop attenuation dB. Then, the reflection coefficient may be will be given by , where the modexpressed: ulus of the reflection coefficient and the time delay have and splitbeen explicitly introduced. Substituting this into ting (1) into real and imaginary parts, the following system is obtained:

(2) has been exwhere the admittance function panded in a first-order Taylor series about the free-running oscillator solution. The subindeces indicate derivatives with respect to the corresponding variables. System (2) provides the variation of the self-injected oscillator solution, in terms of its am. The linearizaplitude and frequency, versus the time delay , tion about the free-running oscillation will be valid for high

=

+

Fig. 2. Parallel-topology oscillator, based on a cubic nonlinearity i av , with a : A/V and b : A/V . The linear-element values are C : pF and L : nH. Variation of the oscillator solution versus the time delay T . (a) Oscillation amplitude. Comparison between the results obtained with (2) and using HB with one harmonic component. (b) Frequency deviation from the free-running value. Comparison between the results obtained with (2) and using HB with one and fifteen harmonic components.

bv

= 00 037 = 0 021 = 0 715 = 1 328 1

, the oscillator steady-state which is usually the case. For low solution should be recalculated for each value, using the describing function. The feedback action of the self-injection topology is evidenced by (2), as the reflected signal depends on the oscillation and frequency. As will be shown, the dependence of both on will lead to complex multivalued curves, for large values. This kind of solution cannot be obtained when , is used as a the electrical length , instead of the delay parameter, as in previous works. For illustration, the system (2) has been particularized to the case of a parallel-resonance oscillator with a cubic nonlinearity. The variation of the steady-state solution, in terms of and , is shown in Fig. 2, for the attenuation versus the time delay dB. As can be seen, only small deviations from value the free-running amplitude and frequency are obtained in the

SUÁREZ AND RAMÍREZ: ANALYSIS OF STABILIZATION CIRCUITS FOR PHASE-NOISE REDUCTION IN MICROWAVE OSCILLATORS

entire interval, which confirms the validity of the lineariza. tion in (2). The curves are single-valued for relatively small value, they start to exhibit points However, from a certain of infinite slope (or turning points [7]). The lower sections of these curves fold over themselves, giving rise to the loops that are shown in the inset of Fig. 2(a). As known from bifurcation theory [7], the turning points give rise to a qualitative variation of the solution stability. The solution jumps to a different section of the multivalued curve and a sudden change is observed in the oscillator amplitude and frequency. The validity of (2) has been verified through comparison with HB simulations considering one and fifteen harmonic components. Commercial HB has been used, unable to pass through the turning points. Thus, jumps are obtained between different curve sections at the turning points predicted by (2) [see the inset of Fig. 2(a)]. The excellent agreement for one harmonic component [Fig. 2(a)] validates (2). As could be expected, for a higher number of harmonic components [Fig. 2(b)], some quantitative discrepancies exist between the curves, but the qualitative behavior is still well predicted. To summarize, two main differences exist between (2) and the expressions provided in previous works [1], [2]. In (2) and is con(3), a general dependence sidered, which is the usual case in practical transistor oscillators. In contrast, the expressions in [1] and [2] are only valid . On for dependences of the form the other hand, the expressions in [1] and [2] neglect the variation of the steady-state amplitude and frequency in self-injected conditions, with respect to the free-running values. In (2), the as the analysis parameter enables the calculation of use of each new oscillator solution. As will be shown, this variation must be taken into account for an accurate determination of the stable-operation ranges and for the investigation of the bifurcation phenomena delimiting these ranges. Note that, in order to take the line dispersive effects into account, the physical length should be used as the parameter, as will be done in the case of the transistor-based VCO of Section IV. B. Stability As shown in [7] and [9], at turning points of the oscillator solution curve, versus a particular parameter, the Jacobian matrix associated with the oscillator admittance function becomes singular. In the case of a self-injected oscillator, the Jacobian matrix analyzed will be the one corresponding , given by . As already seen, the to value, so the oscillator solution is recalculated for every determinant will vary versus this parameter. The determinant is given by

(3) where . According to [9], the oscillator is stable versus synchronous perturbations and unstable for .

Fig. 3. Variation of JY condition is

det[

2745

det[JY ] versus the time delay 1T . The turning point ] = 0.

Fig. 4. Sequential stability analysis by means of the Nyquist plot, for different values of the time delay T .

1

The solution will exhibit a turning point for . For a direct calculation of the entire set of turning points, the . system composed of (2) and (3) must be solved in terms of Note that, for a stable free-running oscillation, the condition will be fulfilled. Thus, turning points can only be obtained when the amplitude of the sinusoidal term in (3), , becomes comparable to which is directly proportional to . Fig. 3 shows the variation of versus for the cubic-nonlinearity oscillator. The difference between the maxima and minima of this determinant increases with , as gathered from (3). As can be observed, the determinant zeroes accurately predict the turning points. For the rigorous determination of the stable ranges, Nyquist stability plots [7] have been sequentially traced for all of the considered values, as shown in Fig. 4, where an expanded view about the origin is presented. Unstable behavior is obtained for the values for which the plot crosses the negative real semi-axis. In Fig. 2(b), points with unstable behavior, which are predicted by the Nyquist analysis, are indicated with crosses. The turning points (circles) have been directly calculated, solving (2) and (3). Each unstable section is located between two consecutive turning points. In contrast with the predictions of [1] and [2], these unstable sections are only obtained from a certain

2746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

value. The length of the unstable intervals increases with as predicted by (3).

,

C. Phase-Noise Analysis For the phase-noise analysis, a current noise source will be introduced in the oscillator circuit, connected in parallel beand . For simplicity, only noise about the osciltween lator carrier will be considered. The variations of the phasewill be analyzed noise spectral density versus the time delay through successive linearizations of the system equations about each steady-state oscillation. For an analytical derivation of the will be dephase-noise spectrum, the carrier modulation termined through application of the Kurokawa approach [9]. Note that, in spite of the self-injection loop, the circuit still behaves like a free running oscillator, as no external time reference is present, so the carrier-modulation analysis is applicable. This analysis is valid for low frequency offset from the carrier, so the phase-noise-reduction bandwidth cannot be determined. For bigger frequency offset, the matrix-conversion approach should be applied [8], [10]. However, the largest phase-noise reduction is expected close to the carrier [1], [2], so the carrier-modulation analysis will enable a good estimation of the oscillator performance. The equations of the perturbed oscillator are the following:

(4) where are the conductance and susceptance, associated with the white-noise current, averaged over one period of the carrier frequency [9]. Assuming a noise freand solving for , the expression obtained for quency the phase-noise spectral density is shown in (5) at the bottom of this page. The above expression neglects the time varia. This variation is also tion of the amplitude perturbation neglected in the carrier modulation approach, which constitutes a multiharmonic generalization [7] of (5). Through (5), it is possible to obtain the evolution of the phase-noise versus spectral density at a particular frequency offset . For a given and fixed offset frequency the time delay , provided the condition is fulfilled, the minima of the noise spectral density will decrease . These phase-noise minima correspond to as , i.e., they the maxima of , are obtained from the condition with . For , the maximum phase-noise reduction with respect to the free-running value tends to , with

1

Fig. 5. Phase-noise variation versus the time delay T at fixed frequency kHz. The analytical results are compared with offset from the carrier f commercial HB simulations, using the carrier modulation approach.

=1

Larger reduction is obtained for smaller attenu. Taking (2) into account, it can easily be demonstrated ation that minimum frequency deviation, with respect to the free-running value , is obtained at the phase-noise minima, which is very convenient for the oscillator design. Fortunately, the points with minimum phase noise also lie on the stable sections of the solution curves. [see (3)], The denominator of (5) agrees with so divisions by zero are obtained at the turning points. The phase noise will tend to infinity at these points. It must be noted that the system linearization that is always used in phase-noise analysis is no longer valid in the neighborhood of the turning points, grows rapidly as these since the amplitude perturbation points are approached. For illustration, (5) has been used for the phase-noise analysis of the parallel-topology oscillator. In Fig. 5, the phase-noise kHz, with spectral density, at a fixed frequency offset , has been traced versus the time delay . As previously discussed, the phase noise tends to infinity at the turning points of the solution curve. On the other hand, the phase-noise minima lie in the stable sections, as can be verified through comparison with Figs. 2 and 3. For validation, HB simulations using the carrier modulation approach [8] have been superimposed. An improvement of about 10 dB is obtained for ns. the time delay The variation of the steady-state solution and its phase-noise kHz have also been analyzed versus spectral density at the bias voltage of a varactor diode for fixed time delay ns (Fig. 6). The interest of this particular analysis comes from the fact that, in contrast with the line length, this parameter can be continuously varied in the experiment. As can be seen, turning points, delimiting the stability margins, are also

(5)

SUÁREZ AND RAMÍREZ: ANALYSIS OF STABILIZATION CIRCUITS FOR PHASE-NOISE REDUCTION IN MICROWAVE OSCILLATORS

2747

Fig. 6. Parallel-topology oscillator, with cubic nonlinearity. Variation of the steady-state solution, in terms of the oscillation frequency, versus the bias voltage of the varactor diode. The evolution of the phase-noise spectral density kHz is superimposed. at fixed offset f

=1

observed when this parameter is used. The phase-noise maxima are obtained at these turning points. D. Use of Dielectric Resonators As shown in [1], [2], and [11], the use of a dielectric resonator increases the spectral purity of the re-injected signal. In the self-injected oscillator, the resonator is coupled to a transmission line in the circulator loop [2]. In former works [11], variations of the oscillation stability with the electric length of this line have been reported. Here, the steady-state oscillator solution and its stability and phase noise will be analyzed versus . Equation (1) will be used, which requires the the line delay . Two different models for the coupled rescalculation of onator will be used. The first model is the classical block model , plus a phase [11], consisting of a narrow bandpass filter . The second and more accurate model uses a shift chain matrix description for the transmission line and the resonator. Actually, the phase shift provided by the transmission line is only equal to its electrical length for matched terminais calculated from the multions. With the second model, tiplication of the chain matrices of the resonator and the delay line. The resulting matrix is transformed to a scattering matrix, . which enables the determination of the input admittance For illustration, a dielectric resonator, with and GHz, has been introduced in resonance frequency the self-injection loop of the parallel-topology oscillator. Fig. 7 shows a comparison between the analysis results in terms of the oscillation frequency when the two models are used. Two dB different attenuation values have been considered: [Fig. 7(a)] and dB [Fig. 7(b)]. There are discrepancies between the two, which increase as the attenuation is reduced. As can be seen, there are some intervals with three coexisting solutions, delimited by turning points. For maximum rigor, the stable regions of the curves in Fig. 7(a) have been determined through sequential Nyquist analyses, as in the previous section, and through pole-zero identification techniques [12]. Fig. 8 shows the complex-conjugate poles closest to the imaginary axis, corresponding to the three coexisting solutions, for ns. As can be seen, the solutions in Sections II-A and II-C are stable, while the solution in Section II-B is unstable. There

Fig. 7. Self-injected oscillator with a dielectric resonator in the circulator loop. Variation of the oscillation frequency versus the time delay T . Comparison between the results obtained when using simplified and accurate coupled-resonator models, for two different values of the loop attenuation. dB. (b) A dB. (a) A

1

= 30

= 15

is also, for each of the three solutions, a pair of complex-conjugate poles on the imaginary axis at the oscillation frequency. , greater As already mentioned, for smaller attenuation discrepancy is obtained between the results obtained with the two coupled-resonator models [see Fig. 7(b)]. When a rigorous is carried out, a second multivalued region is calculation of obtained, not predicted with the simplified expression. Fig. 7(b) shows a comparison between the results of the describing-function analysis and those obtained with commercial HB. The excellent agreement with the analytical predictions when using the accurate resonator model can be observed. With commercial HB, it is not possible to pass through the solution turning points, and a jump is obtained to a different curve section. Because the sections are very close, the discontinuity of the response might be difficult to note and a continuous curve may be wrongly assumed.

2748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 10. Parallel-resonance oscillator with a stabilization loop containing a frequency discriminator. DC blocks and choke inductors are not represented.

Fig. 8. Self-injected oscillator with dielectric resonator in the circulator loop. Application of the pole-zero identification technique [12] to the three solutions : ns [Fig. 7(a)]. coexisting for the time delay T

1 =01

The operation of the stabilization loop has been analytically studied here. The varactor capacitance varies ac, where is the cording to is the built-in potenlow-frequency feedback voltage and tial. For an approximate analysis, the steady-state solution . Then, the two inputs of is assumed and the frequency mixer will be: , where and is the total loop attenuation, including the power splitter. Then, the dc term at the varactor diode will be , where is the gain of the low-frequency amplifier. To be able to carry out the analytical study, it will be assumed can be linearized with respect to the that the admittance , about the free-running value . feedback voltage Assuming a parallel resonance of the form in Fig. 10, the feed, are back-oscillator equations, with explicit dependence on the following:

Fig. 9. Self-injected oscillator with dielectric resonator in the circulator loop. Variation versus the time delay T of the phase-noise spectral density at f kHz. The attenuation value is A dB.

1

1

= 30

=

The variations of the phase-noise spectral density for the atdB, at the constant frequency offset tenuation value kHz, are shown in Fig. 9. Compared with the use of the delay line, higher phase-noise reduction is achieved with the resonator. Again, the phase noise tends to infinity at the turning points of the solution curve, where the linearization is no longer valid.

(6) . Note that, for a simplified analysis, the where dc term at the amplifier output has been approached , where is the free-running oscillation amplitude. The determinant of the Jacobian matrix associated with (6) is given by (7) with

III. STABILIZATION LOOP In the feedback topology proposed in [3]–[6], phase-noise reduction is achieved with a stabilization loop containing a frequency discriminator. The loop operates as shown in Fig. 10. A fraction of the oscillator output signal is extracted through a coupler and is injected into a power divider. One of the divider outputs is delayed by means of a long transmission line. The two signals are introduced in a frequency mixer, acting as a phase comparator. The mixer output provides an error signal, which, after passing through a low-frequency amplifier, is introduced in a varactor diode. This corrects the oscillation frequency.

The above feedback configuration has been applied to the parallel-topology oscillator with cubic nonlinearity. Fig. 11 shows the variation of the oscillation frequency versus the time delay , for the amplifier gain dB. As in the case of the self-injected topology, turning points are obtained from relavalues. The turning points correspond to the zetively large roes of the determinant in (7). The stable and unstable sections have been distinguished through Nyquist stability analysis, obtaining unstable behavior between consecutive turning points, as shown in the inset of Fig. 11.

SUÁREZ AND RAMÍREZ: ANALYSIS OF STABILIZATION CIRCUITS FOR PHASE-NOISE REDUCTION IN MICROWAVE OSCILLATORS

Fig. 11. Parallel-topology oscillator, with stabilization loop: variation of the oscillation frequency versus the time delay T .

1

2749

Fig. 13. Schematic of the 5-GHz VCO, to which the two feedback techniques for phase-noise reduction have been applied.

corresponds to a minimum deviation from the free-running os. The phase-noise maxima, cillation frequency tending to infinity, are obtained at the denominator roots, which correspond to the turning points of (6). In Fig. 12, the results of dB are compared with those the stabilization loop for dB. obtained with the self-injection topology for In both cases, similar qualitative behavior is obtained, with a phase-noise improvement of more than 10 dB, with respect to the free-running oscillation. IV. ANALYSIS AND EXPERIMENTAL CHARACTERIZATION OF A 5-GHz OSCILLATOR Fig. 12. Parallel-topology oscillator with stabilization loop. Variation of the KHz, versus the time delay T . phase-noise spectral density at f

=1

1

For the phase-noise analysis, only noise about the oscillator carrier has been introduced. Note that the objective of the work is the study of the loop action over the oscillator noise, so noise contributions from the external-loop elements are not considered. The carrier modulation is determined by differentiating the system (6), as has been done in (4), and solving for the perturbed . Close to the oscillator carrier, the phase-noise frequency spectral density is approximately given by (8) where the denominator agrees with the determinant of the Jacobian matrix of (7), as expected in the quasi-stationary approach. As in the case of the self-injected oscillator, the phase-noise , varies spectral density, at a constant offset frequency in an oscillatory manner. In Fig. 12, this variation is anakHz. As soon as lyzed at constant frequency offset becomes dominant in the denominator of the product (8), the phase-noise minima decrease as . For , the maximum reduction of the phase-noise spectral density with respect to the free-running value tends , with being directly to proportional to the loop gain . Thus, the reduction increases with the loop gain. The phase-noise minima are obtained for , which, taking (6) into account, fortunately

When transistor-based oscillators are considered, with several noise sources, HB-based analysis is necessary for an accurate prediction of the oscillator response. In the carrier-modulation approach, the phase-noise variation will be inversely pro, where is the Jacobian matrix of portional to is the vector containing the mixed-mode HB system [8] and the harmonic components of the state variables of the free-running oscillator solution. This Jacobian matrix involves derivatives with respect to the oscillation frequency that, in the deter, whree minant, will give rise to sinusoidal terms in is the harmonic index, having amplitude proportional to , in a similar way to (5) and (8). Thus, a qualitatively similar phase-noise variation may be expected. The two different feedback techniques have been applied to a MESFET-based VCO, at 5 GHz, qualitatively comparing the analytical models with simulations and measurements. The oscillator schematic is shown in Fig. 13. For the simulation, the Angelov model has been used with four nonlinearities respecand capacitance tively given by the gate-to-source current , the drain-to-source current , and the drain-to-gate current . The simulated VCO band was 4.6–5.4 GHz. In the measurements, the oscillation band was 4.7–5.30 GHz. has been For the delay line, the substrate with chosen. In this case, the physical length of this line will be the analysis parameter, instead of the time delay. For each of the two configurations, the initial estimation of the required length and attenuation/gain values has been obtained using the analytical dB. approaches and imposing a phase-noise reduction

2750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 15. VCO at 5 GHz. Comparison between the simulated and measured phase-noise spectra corresponding to the free-running oscillator, the self-injected oscillator, and the stabilization loop with discriminator, respectively.

Fig. 14. VCO at 5 GHz with the two types of feedback. Self-injected topology, with A = 20 dB and l = 1:419 m. Stabilization loop with discriminator, having amplifier gain G = 10 dB and l = 1:5 m. (a) Variation of the oscillation frequency versus the physical line length. (b) Variation of the phase-noise spectral density at a constant offset frequency f = 1 kHz.

For the self-injected oscillator, the attenuation dB and m have been chosen. For the stabilization line length dB and line length m are loop, amplifier gain used. The line sections and have been implemented on two separate boards, with multiple line bends, to have a reasonably small size. The loss of the resulting structures has been taken into account in the estimations. The solutions obtained with the two different feedback configurations are compared in Fig. 14(a), where the variation of the oscillation frequency with the line length has been represented. The solid- and dashed-line curves are HB simulations of the self-injected oscillator and stabilization loop with discriminator, respectively. As can be seen, slightly smaller frequency deviation is obtained with the self-injected oscillator [Fig. 14(a)]. For this topology, an estimation with the analytical model has also been superimposed. This has been obtained by introducing of the free-running oscillator, at the cirthe derivatives

culator connection node, into (2). The free-running-oscillator derivatives have been calculated in HB with the aid of an auxiliary generator, following the technique demonstrated in [13]. As can be seen, there is good qualitative agreement between the analytical model and HB. As expected, turning points are only obtained from sufficiently large values of the line length. For the phase-noise analysis, several noise sources have been considered. A voltage noise source in series with the internal gate terminal accounts for the flicker noise. The spectral denV /Hz. The shot noise is sity of this source is , where modeled with a current source of spectral density is the electron charge, which is connected in parallel with the input Schottky diode. Thermal noise generators have also been added to all of the resistive elements. The phase-noise variation versus the delay-line length , calculated with the carrier-modulation approach, at a constant offset frequency kHz, is shown in Fig. 14(b). The results with the two different configurations can be compared. The sharp maxima at the turning points of the solution curves are in good qualitative agreement with the analytical models. Similar phase-noise reduction of about 9 dB is obtained with both configurations. The measured phase-noise spectrum confirming this phase-noise reduction is shown in Fig. 15. Simulations with the carrier-modulation [8], [9] and conversion-matrix approaches [10], [11] are also shown. The results with the two phase-noise analysis techniques are MHz in the case of overlapped up to the frequency offset MHz in the case of the self-injected oscillator and up to the stabilization loop. Although it is not presented here, the conversion-matrix approach shows a reduction of the phase-noise improvement as the frequency offset increases, in agreement with measurements. Finally, the varactor bias voltage has also been used as a parameter. In contrast with the line length, this parameter can be continuously modified in the experiment, which enables a rigorous verification of the analysis results. The variation of the phase-noise spectral density using the self-injected configuration, at the three different offset frequencies 1, 10, and 100 kHz, respectively, is shown in Fig. 16. The experimental results have been superimposed.

SUÁREZ AND RAMÍREZ: ANALYSIS OF STABILIZATION CIRCUITS FOR PHASE-NOISE REDUCTION IN MICROWAVE OSCILLATORS

Fig. 16. Self-injected oscillator with A = 20 dB and l = 1:419 m. Variation of phase-noise spectral density versus the bias voltage of the varactor diode for three different frequency offsets from the carrier. Measurements are superimposed.

2751

[6] C. McNeilage, E. N. Ivanov, P. R. Stockwell, and J. H. Searls, “Review of feedback and feedforward noise reduction techniques,” in Proc. IEEE Frequency Control Symp., Pasadena, CA, May 1998, pp. 146–155. [7] A. Suárez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Norwood, MA: Artech House, 2003. [8] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [9] K. Kurokawa, “Some basic characteristics of broadband negative resistance oscillator circuits,” Bell Syst. Tech. J., pp. 1937–1955, July–Aug. 1969. [10] J. C. Nallatamby, M. Prigent, J. C. Sarkissian, R. Quéré, and J. Obregón, “A new approach to nonlinear analysis of noise behavior of synchronized oscillators and analog-frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1168–1171, Aug. 1998. [11] J. C. Nallatamby, M. Prigent, M. Camiade, and J. Obregón, “Phase noise in oscillators—Leeson formula revisited,” IEEE Trans. Microwave Theory Tech., vol. 51, no. 4, pp. 1386–1394, Apr. 2003. [12] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closedloop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2003. [13] F. Ramírez, E. de Cos, and A. Suárez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003.

V. CONCLUSION In this paper, an exhaustive analysis of stabilization circuits for phase-noise reduction in microwave oscillators has been presented. Analytical expressions have been obtained, predicting the variation of the steady-state solution and its stability and phase noise versus the feedback-element values. Instability phenomena observed by other authors are explained using concepts from bifurcation theory. The analytical expressions have been rigorously verified through comparison with harmonic-balance simulations and the carrier-modulation approach for the phasenoise predictions. The feedback techniques have been applied to a 5-GHz VCO with very good experimental results. ACKNOWLEDGMENT The authors would like to thank J. Portilla, University of the Basque Country, Spain, for helpful advice and discussions.

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the degree in electronic physics and the Ph.D. degree from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1993, she has been an Associate Professor (permanent since June 1995) with the University of Cantabria and a member of its Communications Engineering Department. She has coauthored the book Stability Analysis of Microwave Circuits (Norwell, MA: Artech House, 2003). Her areas of interest include the nonlinear design of microwave circuits and, especially, the nonlinear stability and phase-noise analysis and the investigation of chaotic regimes.

REFERENCES [1] H. C. Chang, “Phase noise in self-injection-locked oscillators—Theory and experiment,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 1994–1999, Sep. 2003. [2] , “Stability analysis of self-injection-locked oscillators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 1989–1993, Sep. 2003. [3] J. L. Altman, “A technique for stabilizing microwave oscillators,” IEEE Trans. on Microw. Theory Tech., vol. MTT-2, no. 2, pp. 16–25, Jul. 1954. [4] U. L. Rohde and F. Hagemeyer, “Feedback technique improves oscillator phase noise,” Microw. RF, vol. 37, no. 11, pp. 61–76, Nov. 1998. [5] C. E. Free and C. S. Aitchison, “Microwave oscillator control using a switched delay-line technique,” in IEEE MTT-S Int. Microwave Symp. Dig., Orlando, FL, May 1995, pp. 79–82.

Franco Ramírez was born in Potosí, Bolivia. He received the electronic systems engineering degree from the Military School of Engineering “Mcal. Antonio José de Sucre,” La Paz, Bolivia, and the Ph.D. degree from the University of Cantabria, Santander, Spain. He joined the Communications Engineering Department, University of Cantabria, in 2001, and since then he has been involved in the development of computer-aided design techniques for the nonlinear analysis and design of microwave oscillators and analog frequency dividers.

2752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Efficient Analytical Formulation and Sensitivity Analysis of Neuro-Space Mapping for Nonlinear Microwave Device Modeling Lei Zhang, Student Member, IEEE, Jianjun Xu, Student Member, IEEE, Mustapha C. E. Yagoub, Member, IEEE, Runtao Ding, and Qi-Jun Zhang, Senior Member, IEEE

Abstract—A new computer-aided design (CAD) method for automated enhancement of nonlinear device models is presented, advancing the concept of Neuro-space mapping (Neuro-SM). It is a systematic computational method to address the situation where an existing device model cannot fit new device data well. By modifying the current and voltage relationships in the model, Neuro-SM produces a new model exceeding the accuracy limit of the existing model. In this paper, a novel analytical formulation of Neuro-SM is proposed to achieve the same accuracy as the basic formulation of Neuro-SM (known as circuit-based Neuro-SM) with much higher computational efficiency. Through our derivations, the mapping between the existing (coarse) model and the overall Neuro-SM model is analytically achieved for dc, small-signal, and large-signal simulation and sensitivity analysis. The proposed analytical formulation is a significant advance over the circuit-based Neuro-SM, due to the elimination of extra circuit equations needed in the circuit-based formulation. A two-phase training algorithm utilizing gradient optimization is also developed for fast training of the analytical Neuro-SM models. Application examples on modeling heterojunction bipolar transistor (HBT), metal–semiconductor–field-effect transistor (MESFET), and high-electron mobility transmistor (HEMT) devices and the use of Neuro-SM models in harmonic balance simulations demonstrate that the analytical Neuro-SM is an efficient approach for modeling various types of microwave devices. It is useful for systematic and automated update of nonlinear device model library for existing circuit simulators. Index Terms—Equivalent circuits, neural networks, optimization methods, semiconductor device modeling.

I. INTRODUCTION

I

N RECENT years, artificial neural networks (ANNs) [1] and space mapping (SM) [2], [3] have gained recognition in microwave computer-aided design (CAD) area as two important developments addressing the growing computational challenges in modeling, simulation, and optimization. ANNs can be trained to learn electromagnetic (EM) and physics behaviors from component data, allowing model development even when component formulas are unavailable [1]. Trained ANNs can be used in

Manuscript received July 21, 2004; revised March 10, 2005. L. Zhang, J. Xu, and Q.-J. Zhang are with Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: [email protected]; [email protected]; [email protected]). M. C. E. Yagoub is with School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). R. Ding is with School of Electronics and Information Engineering, Tianjin University, Tianjin 300072, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854190

high-level circuit and system designs, allowing fast simulation and optimization [4]–[12]. On the other hand, space mapping is an advanced optimization concept, allowing expensive EM optimizations to be performed efficiently with the help of fast and approximate “coarse” or surrogate models [2], [3], [13]–[15]. It has been applied with great success to otherwise expensive direct EM optimizations of microwave components and circuits with substantial computation speed-up. Recently, an SM neuromodeling technique combining neural networks with SM [16] was developed using neural networks to map the coarse model to a fine model. The technique can be applied to passive modeling or small-signal device modeling, achieving fast and accurate models for components such as bends, high-temperature superconductor filters, embedded passives in multilayer printed circuits, and other linear components [4], [16]. This paper addresses the concept of SM neuromodeling to cover a new direction, i.e., large-signal nonlinear device modeling. Nonlinear device modeling is an important area of CAD, and many device models have been developed, e.g., [3], [17], and [18]. Due to rapid development in the semiconductor industry, new devices constantly evolve. Models that were developed to fit previous devices may not fit new devices well. There is an ongoing need for new models. The challenges for CAD researchers are not only to develop more models, but also to introduce new CAD methods, so the task of developing models becomes more efficient and systematic. The latter aspect is the subject of this paper. The first step toward this direction through neural network and SM was introduced in [19]. The technique, called Neuro-space mapping (Neuro-SM), uses a novel formulation of space mapping with a neural network to automatically modify the voltage and current signals of the existing device model to accurately match new device data. It is an advance over several previous ANN-based methods for device modeling. The I–Q model proposed in [8] uses pure ANN to provide transistor terminal currents and charges. It has been applied to metal–semiconductor–field-effect transistor (MESFET) modeling [8] and large-signal high-electron mobility transistor (HEMT) modeling [9]. The adjoint neural network method in [20] enables neural network model for large-signal device to be trained with dc and bias-dependent S-parameter data. The Neuro-SM technique in [19] is the first time that a complete large-signal device model from an existing circuit simulator library can be combined with neural network architecture. With the neural network represented by controlled sources,

0018-9480/$20.00 © 2005 IEEE

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

the Neuro-SM model can be conveniently incorporated into existing circuit simulators for nonlinear circuit design [19]. However, the controlled sources introduce additional variables and Kirchhoff equations to the overall circuit. Thus, such circuit formulation of Neuro-SM (circuit-based Neuro-SM) model improves accuracy but at the cost of computational overhead from extra equations in circuit simulation. In this paper, a new analytical formulation is derived allowing efficient Neuro-SM model evaluation and sensitivity analysis for dc, small-signal, and large-signal applications. In the proposed technique, the mapping mechanisms are incorporated by directly modifying the signals in existing device equations. In this approach, there are no extra unknown variables or equations introduced into circuit simulation equations. This increases simulation efficiency, especially when the Neuro-SM model is later used in circuit and system designs. Based on the proposed analytical formulation, a two-phase training algorithm utilizing gradient optimization is developed for efficient training of Neuro-SM models. The proposed analytical Neuro-SM model is more efficient in both model training and circuit simulation/optimization than the equivalent circuit formulation of Neuro-SM in [19]. This paper is organized as follows. Section II first introduces the fundamental concepts for coarse and fine signals and nonlinear space mapping. The circuit-based Neuro-SM is reviewed, and the analytical Neuro-SM is proposed. The analytical sensitivity of the Neuro-SM model is derived. In Section III, a new training algorithm is presented utilizing exact sensitivity analysis of the analytical Neuro-SM model. Generalizations and discussions of the Neuro-SM technique are addressed in Section IV. Section V shows examples of Neuro-SM models developed by the proposed technique for SiGe heterojunction bipolar transistor (HBT), GaAs MESFET, and HEMT devices. Use of Neuro-SM models in a frequency doubler circuit for harmonic balance (HB) simulation is also demonstrated. These examples show that the proposed analytical Neuro-SM facilitates efficient model development for nonlinear microwave devices, allowing existing models to exceed their current capabilities. II. PROPOSED ANALYTICAL FORMULATION AND EXACT SENSITIVITY OF THE NEURO-SM TECHNIQUE A. Concept of the Circuit-Based Neuro-SM Technique The starting point for the Neuro-SM technique is when the existing/available device model cannot match the data of a new device. Let the existing/available nonlinear device model be called the coarse model. Let the fine model be a fictitious model implied by actual device data from measurement or detailed/expensive device simulation. Suppose that the gap between the coarse and fine models cannot be overcome by simply optimizing the parameters in the coarse model. To achieve a model that can best match the device data, the model structure or the nonlinear equations of the coarse model need to be modified. Fig. 1(a) shows a two-port circuit-based Neuro-SM [19]. Let the terminal voltage and current signals of the coarse model and , respectively. Let be the terminal voltage and current signals of the fine model be and , respectively. Here,

2753

Fig. 1. Structure of the general two-port Neuro-SM nonlinear model, where is used to provide mapping between coarse input a neural network f signals and fine input signals. (a) Circuit-based Neuro-SM using neural network equations in controlled sources for mapping. (b) Illustration of the proposed analytical Neuro-SM model for efficient model development without introducing extra equations to circuit simulation.

and are called coarse signals, and and are called fine are not sent to the coarse signals. The fine voltage signals model directly; instead, they are mapped into the voltage signals in the coarse model such that the modified coarse model response will match the fine output signal . Since the precise equation for the mapping is unknown, and the mapping in general can be nonlinear, the neural network is used as a logical representation of the mapping. The input neurons of the neural network receive fine voltage signals . The output neurons provide the mapped voltage signals to the coarse model, , where represents a multilayer i.e., feedforward neural network, and is a vector containing all internal synaptic weights of the neural network. The neural network is embedded as the functions in the voltage-controlled voltage sources. Current-controlled current sources are used to pass to . This Neuro-SM model will match the fine device data more closely than that possible from the coarse model alone. This is due to 1) the additional degrees of freedom in device modeling from the mapping neural network and 2) the use of this freedom where it is needed: the flexible transformation of terminal signals. The circuit-based structure also allows the Neuro-SM model to be conveniently implemented in existing circuit simulators for circuit design. The overall circuit-based Neuro-SM model has two external ports as in Fig. 1(a). The mapping neural network adds two internal ports in the model. Thus, additional nodal variables and nonlinear circuit equations [21] have to be solved for each use of Neuro-SM, for example, for each bias and each frequency. This computational overhead occurs not only in simulation but also in sensitivity analysis.

2754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

B. Proposed Analytical Formulation of the Neuro-SM Model We propose a new analytical formulation for efficient Neuro-SM modeling as illustrated in Fig. 1(b). In the new formulation, the mapping mechanisms are analytically derived instead of being indirectly represented by controlled sources and Kirchhoff equations. The port voltage and current signals of the device are modified explicitly in the original circuit equations through the mapping neural network. In this way, neural network and SM become an integral part of the model equations, without adding any extra nodal variables or equations. We examine how to achieve this analytical formulation within the environment of dc, small-signal, and large-signal simulations. We then further examine an analytical formulation of Neuro-SM sensitivity analysis for dc, small-signal, and large-signal cases. 1) Analytical dc Mapping: The Neuro-SM model is a full large-signal nonlinear model. The mapping for coarse dc and fine dc voltage signals is voltage signals directly achieved by the neural network. Let the dc response of the coarse model be a nonlinear function evaluated at coarse dc . Let the dc response of the Neuro-SM voltages, i.e., model be . Neuro-SM requires that after receiving the modified signal, the coarse model output signal should become an approximation of the fine output signal. Thus, the dc output current of the analytical Neuro-SM model as a function of the is fine dc input voltage signal (1)

For the frequency-domain case, let the currents of the Neuro-SM model and the coarse model at a generic harmonic frequency be and , respectively. The subscript represents the index of the harmonic frequency , is the number of harmonics considered in HB simuwhere for all , fine output is lation. Given fine input computed as in (4), where is the fine input signal at time point is the number is the Fourier coefficient for of time points, the th time sample and the th harmonic frequency, and superscript denotes complex conjugate, as follows:

(4) In addition, if the coarse model has separate linear and nonlinear parts [22], we can implement even more efficient analytical Neuro-SM model for large-signal simulation by directly mapping the linear part in frequency domain and the remaining represent the adnonlinear part in time domain. Let mittance matrix of the coarse linear subcircuit at . Since the signals applied to the linear part are from the nonlinear mapto the HB ping, we need to add the contribution of equation in the form of harmonic current

2) Analytical Small-Signal Mapping: The small-signal S-parameters are mapped via the analytical mapping of the matrices between the coarse model and fine model as

(5)

(2)

The nonlinear subcircuit in general consists of nonlinear current and charge elements. The effect of neural network mapping on the response of the nonlinear subcircuit can be computed by (4), where the nonlinear current and charge are due to nonlinear components such as controlled current sources and nonlinear capacitors in the coarse model. The overall Neuro-SM model response is

is evaluated at the mapped bias , and the where is obtained at the bias of the fine model derivative of using the adjoint neural network method [20]. Notice is complex and has contributions of all elements in the that coarse model, including capacitors. Equation (2) represents through the derivatives of a transformation (mapping) of . 3) Analytical Large-Signal Mapping: For large-signal simulation, we formulate the two-port Neuro-SM as a current-charge model. The analytical large-signal mapping is derived using the HB environment, which requires nonlinear models in time domain and circuit equations in frequency and represent the domain [22]. Let nonlinear terminal current and charge of the coarse model in . In the proposed terms of coarse input voltage signals , analytical Neuro-SM model, given the fine input signals the fine output current and charge are computed by (3) in time domain, as follows: (3)

(6) We described above how to systematically modify the device equations used in dc, small-signal, and large-signal simulation. Such modification is achieved by using a neural network to map the input voltage signals in the equations. Because of the neural network universal approximation capability [4], such mapping allows the model to achieve an extra degree of freedom beyond the limitation of the coarse model in matching the device data. The mapping effect is achieved by modifying the existing circuit equations only; thus, no additional equations are introduced. For and evaluate the example, if we remove the mapping of ) instead of model at the original input signals (i.e., the mapped input, (1)–(5) would become similar to the original circuit equations. These equations are needed to solve the coarse model in dc, small-signal, and large-signal cases. By introducing the mapping neural network into these equations, we alter the signals in the coarse model to improve model accuracy. , is used in all cases of derivations The same mapping, i.e.,

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

in order to ensure the analytical consistency of the Neuro-SM model among dc, small-signal, and large-signal simulations.

2755

Here, we derive a much simpler sensitivity formulation for training shown in (9) without involving perturbation or adjoint sensitivity analysis, as follows:

C. Sensitivity Analysis of the Analytical Neuro-SM Model With Respect to Mapping Neural Network Weights Let be a generic symbol representing an internal weight of the mapping neural network. The sensitivity of the Neuro-SM provides gradient information for effimodel with respect to cient training of the Neuro-SM model. Here, we derive the sensitivity formulas for the proposed analytical Neuro-SM. 1) dc Sensitivity: In the dc case, the sensitivity of the output current of the analytical Neuro-SM model with respect to is

(7) is the dc conductance matrix of the coarse model, and is the first-order derivative computed by neural network backpropagation [4]. 2) Small-Signal Sensitivity: The sensitivity for Y-parameters of the analytical Neuro-SM model due to changes in the mapping neural network can be derived as in (8). This equation includes two derivative terms. The first term has the second, which is order derivative of the neural network the differentiation of the Jacobian matrix with respect to the mapping neural network weight . This second-order derivative can be achieved by the adjoint neural network sensitivity analysis [20]. The second term is the sensitivity of the coarse model Y-parameter, which is dependent on the mapped dc-bias voltages, and thus the neural network represents the weights. Here, ) or port 2 coarse input signal at the coarse input port 1 (if ). By converting Y-parameters to S-parameters, sensi(if tivity for S-parameters can be subsequently obtained. where

(9)

This is made possible by our formulation of training, where the fine input signals would be fixed by training data. and are the In (9), nonlinear conductance and capacitance matrices of the coarse at the mapped signal model evaluated at the time point . In the case where the coarse model has separate linear and nonlinear parts, the sensitivity is the summation of that of the linear and nonlinear parts, as follows:

(10) where

is derived from (5) as

(11) is the admittance matrix of the coarse linear In (11), subcircuit at , and is the result computed from neural network backpropagation [4].

D. Exact Sensitivity Analysis of the Analytical Neuro-SM Model With Respect to Coarse Model Parameters

(8)

3) Large-Signal Sensitivity: Equation (9) shows the sensitivity of the output current of the proposed analytical Neuro-SM model at a generic harmonic frequency . It is achieved by differentiating (4) with respect to the mapping neural network weight . In general, using the standard sensitivity technique, the sensitivity of a circuit current with respect to any parameter in the nonlinear circuit would require either perturbation or adjoint sensitivity.

The analytical Neuro-SM model can be incorporated into circuit simulator after being trained utilizing the sensitivity discussed in Section II-C. In case coarse model parameters need to be treated as variables during circuit optimization, the sensitivity of circuit response with respect to coarse model parameters becomes useful. We now consider the sensitivity of the circuit response, denoted by , with respect to a generic design variable in the coarse model part of the Neuro-SM model. and be the original and 1) dc Sensitivity: Let adjoint dc port voltages of the analytical Neuro-SM model obtained by solving the original nonlinear circuit and its linear adjoint circuit [23], respectively. The dc sensitivity is

(12)

2756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

where is the sensitivity in the coarse model evaluated has been mapped by the mapafter the original voltage ping neural network. The contribution of the Neuro-SM model to the adjoint circuit is an admittance matrix evaluated at the mapped dc voltage, as follows:

(13) and be the original 2) Small-Signal Sensitivity: Let and adjoint voltages [23] at the terminals of the analytical Neuro-SM model obtained by performing small-signal simulabe the fine voltage signal tion of the nonlinear circuit. Let ) or port 2 (if ). The sensitivity is for port 1 (if evaluated by

3) Large-Signal Sensitivity: Define complex vectors and as the original and adjoint voltages of the analytical Neuro-SM model at harmonic frequency . Utilizing HB sensitivity [24], sensitivity of large-signal response with respect to is derived as shown in (17) at the bottom of this page, where and are the sensitivities of the nonlinear current and charge of the coarse model evaluated at time from the . mapped voltage signals The contribution of the Neuro-SM model to the adjoint HB equations is the admittance matrix as follows:

(18) (14) In (14),

is computed at the mapped voltage signal as

which is to be added into the admittance matrix of the overall and are the same as those in (9). If the adjoint circuit. coarse model has separate linear and nonlinear parts, the contribution from the nonlinear subcircuit is the same as in (18), and the contribution of the linear part is

(15) is achieved by extending backpropwhere agation toward the input neurons of the mapping neural netis also affected by the bias dependency of work [4]. small-signal solution of the Neuro-SM shown by the second is derived in (16), term in the bracket of (14), where and is the sensitivity of the dc bias of obtained using , as follows: (12) with replaced with

(19) In the derivations of both the model sensitivity and the circuit sensitivity in Sections II-C and II-D, we notice that the sensitivity computations in dc, small-signal, and large-signal cases involve derivatives of the coarse model evaluated at mapped voltage signals, and the derivatives of the mapping neural network achieved by backpropagation [4] and the adjoint neural network sensitivity analysis [20].

III. PROPOSED TRAINING ALGORITHM FOR THE ANALYTICAL NEURO-SM MODEL

(16) is defined as the coarse voltage signals of the Here, ) or port 2 (if ). Neuro-SM model for port 1 (if

The Neuro-SM model will not be good unless the mapping neural network is trained by fine data. The purpose of Neuro-SM learn model training is to let the mapping neural network the necessary relationship between the coarse and fine signals such that the response of the Neuro-SM model matches that of the fine model (device data). However, we may not have the voltage and current signals as direct training data as required

if

belongs to a nonlinear current branch in the coarse model

if

belongs to a nonlinear charge branch in the coarse model (17)

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

2757

Fig. 2. Block diagram for training of the proposed analytical Neuro-SM model. (a) dc and small-signal training. (b) Large-signal training. As observed, the input voltages are first passed to the mapping neural network box to be mapped (modified) before being applied to the coarse model. FFT: Fast Fourier transform; IFFT: inverse FFT.

by conventional neural network training algorithms. In this section, we formulate the training algorithm using dc, bias-dependent S-parameter data and optionally large-signal harmonic data from the fine model. Our training technique extends the two-phase training of [25] from linear/passive device modeling to nonlinear/active device modeling for the analytical Neuro-SM model. The overall training has two phases: initialization and formal training. A. Initialization of the Mapping Neural Network The mapping neural network is first initialized by a preliminary training to learn unit mapping, where the weights are adjusted in order to

(20) where is a data index, and is an index set for all training in data. Training data can be obtained by assigning a grid form across the entire operation range of the device. , making the overall The initialization phase leads to Neuro-SM model to be equal to the coarse model, before the actual device data is used in the training of the neural network.

B. Formal Training of the Mapping Neural Network The mapping neural network needs to be further trained by actual device data in a formal training phase in order to exceed the performance of the given coarse model. Formal training can be done with either dc and bias-dependent S-parameter data or harmonic data. The exact sensitivity analysis described in Subsection II-C provides the gradient information required by the training algorithm. Compared with the circuit-based Neuro-SM, the analytical Neuro-SM is a more compact model. The number of circuit equations for both model simulation and sensitivity analysis used in the analytical Neuro-SM is less than that of the circuit-based Neuro-SM. Thus, the proposed analytical formulation and sensitivity help achieve more efficient training than the circuit-based Neuro-SM in [19]. Fig. 2 shows the training diagram of the nonlinear analytical Neuro-SM model. 1) dc and Small-Signal Training: The mapping neural network is trained to minimize the dc and S-parameter errors between the model and data at all combinations of dc biases and frequency points. During training, the mapping neural network weights are adjusted according to the gradient information of the training error through sensitivity analysis of the analytical Neuro-SM model. Since the evaluation and sensitivity analysis of Neuro-SM are performed at different dc biases and frequency

2758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I EXAMPLES OF SENSITIVITY COMPARISON IN THE HBT EXAMPLE. SENSITIVITY IS DONE WITH REGARD TO MAPPING NEURAL NETWORK WEIGHTS AND COARSE-MODEL PARAMETERS. THE G–P MODEL IS USED FOR MAPPING

Fig. 3. Comparison of the device dc data, dc responses of the existing models (without mapping), and Neuro-SM models in the HBT example.

points, the CPU speed-up from each evaluation can be accumulated into a large CPU saving compared with the circuit-based Neuro-SM training in [19]. 2) Large-Signal Training: Large-signal training data contains output power of each harmonic at different combinations of biases, input power levels, and fundamental frequencies. The objective of large-signal training is to minimize the difference between HB response of the Neuro-SM model and harmonic data for all combinations. Large-signal sensitivity described in the previous sections can be used to provide gradient information for training of the analytical Neuro-SM model. The efficiency in evaluating the analytical Neuro-SM model and its sensitivity for each combination of bias, input power level, and fundamental frequency becomes more significant when many such combinations are used in training. 3) Accuracy Test: After training, the accuracy of the final model can be tested by comparing the Neuro-SM model with a separate set of data called test data. The test data can be dc, small-signal S-parameters, or large-signal harmonic data.

C. Use of the Trained Analytical Neuro-SM Model After the analytical Neuro-SM model is trained, it can be plugged into an overall circuit for circuit simulation and design. The Neuro-SM model can be incorporated into a circuit simulator either internally as a new type of device model, or externally as a user-defined model. To implement internally, we program neural network mapping to adjust the relationship of port current and voltage signals of the existing device model using the formulas in the proposed analytical formulation. To implement Neuro-SM externally, we construct the circuit-based form of Fig. 1(a) using the neural network weights from the trained analytical Neuro-SM model. These neural network weights are passed to the controlling functions of the controlled sources in the circuit-based Neuro-SM. The voltage/current relationship of the Neuro-SM and model required by the circuit simulator is that between , which is obtained from Neuro-SM model through the mapping of coarse model signals as in Fig. 1.

TABLE II COMPARISON OF MODEL ACCURACY IN THE HBT EXAMPLE. THE VALUES ARE AVERAGE ERRORS BETWEEN THE MODEL AND TRAINING/TESTING DATA. THE PROPOSED ANALYTICAL NEURO-SM CAN RETAIN THE SAME ACCURACY AS THE CIRCUIT-BASED NEURO-SM

TABLE III NEURO-SM TRAINING TIME COMPARISON BETWEEN SEVERAL TRAINING TECHNIQUES FOR THE HBT EXAMPLE. TRAINING WAS DONE WITH dc DATA ONLY. THE PROPOSED TECHNIQUE IS THE MOST EFFICIENT

TABLE IV MODEL EVALUATION TIME FOR 1000 MONTE CARLO ANALYSES OF 100 dc BIASES IN THE HBT EXAMPLE. RELATIVE TO THE ORIGINAL COARSE MODEL, THE COMPUTATIONAL OVERHEAD OF THE PROPOSED ANALYTICAL NEURO-SM IS MUCH LESS THAN THE CIRCUIT-BASED NEURO-SM

IV. DISCUSSIONS The format of the Neuro-SM model presented so far is to map voltage signals between coarse and fine models. This format can be expanded to a mixed mapping case, where the mapping is for a mixture of port voltage and current signals. For example, the input of an HBT device are base current signal and col. The mapping neural netlector voltage signal, i.e., work will map the fine-model input signals to the voltage/current input signals of the coarse model, such that the modified coarse-model response will match the fine outputs.

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

2759

Fig. 4. Comparison between the original ADS solution (device data), existing models (without mapping), and Neuro-SM models in the MESFET example. (a) dc. (b) S-parameter at two biases of (V ; V ) at ( 0.8 V, 4 V) and ( 0.2 V, 1 V).

0

0

For simplification purposes, we used a two-port device notation in explaining the Neuro-SM technique. This approach can be further generalized to -port networks, where all the notations and equations in the previous sections are extended accordingly. For example, the mapping neural network will contain input neurons and output neurons. The external input signals, i.e., fine signals, will be supplied through the mapping neural network to the -port coarse model. The mapping introduced in Sections II and III uses only the externally accessible signals of the coarse model, i.e., port voltage or current signals of the two-port coarse model. The independence from the coarse-model internal information makes it convenient for the Neuro-SM to be implemented and used with various coarse models. After being trained by the

proposed training algorithm, the Neuro-SM model can be used across different circuit simulators, including simulators where the Neuro-SM has not been preprogrammed. In the formulation described so far, the gap between the Neuro-SM model and the fine data will be minimized, but not necessarily eliminated. This means that the mapping is not necessarily exact. For coarse models such as intrinsic transistor (or transistor model including parasitic networks) that have fewer (or more) internal nodes, the mapping will make a significant (or incremental) accuracy improvement over that of the coarse model. Such a Neuro-SM is very suitable for intrinsic field-effect transistor (FET) modeling, which is usually the major challenge in the development of new FET models.

2760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Comparison between the first three harmonic data and the HB response of Neuro-SM models before and after HB refinement training in the MESFET example. Neuro-SM is applied to (a) Curtice model and (b) Materka model.

The Neuro-SM concept can also be extended to alternative formulations exploiting the information inside the coarse model. For example, additional mappings can be performed on the terminal charges of the FET device. Another example is to map the voltage/current signals of each circuit branch inside the coarse model. Equations for dc, small-signal, and large-signal cases can be derived in a similar way as those in Section II by involving separate mappings for charge signals or coarse-model internal signals. The potential benefit of these formulations is a further increase of the final model accuracy. The flexibility in using the trained model may be reduced because the coarsemodel internal signals (such as charge signal) may not be accessible in a circuit simulator. Such alternative models may be used only if the mapping is programmed internally in the simulation software. The neural network used for the mapping is not necessarily unique. In other words, the neural network internal weights can be different if the mapping is trained differently. This does not affect the Neuro-SM as long as the final neural network gives a correct map between the coarse and fine signals. Under certain conditions, the theoretical existence of a Neuro-SM model that exactly matches the fine-device behavior can be ensured. Examples of the conditions are as follows: if the coarse model topology is perfect, the mapping is applied to the signals of the individual branches in the coarse model, and the output signal of each branch is controllable by its

input signals. In this case, the dc, small-, and large-signal mappings corresponding to those in Section II are exact. In general, a mapping neural network exists for the Neuro-SM model to match the fine-device data more closely (although not necessarily exactly) than possible by the coarse model alone.

V. EXAMPLES A. Analytical Neuro-SM Models of SiGe HBT In this example, the proposed analytical Neuro-SM is used to model a SiGe HBT device with irregular nonlinear measured dc behavior [26]. We use three implementations of the Neuro-SM technique: 1) circuit-based Neuro-SM with perturbation sensitivity implemented in Agilent-ADS [27], 2) circuit-based Neuro-SM with adjoint neural network sensitivity used in [19], and 3) proposed analytical Neuro-SM and its sensitivity implemented in NeuroModelerPlus [28]. In our ADS implementation, the gradient information required for the Neuro-SM model training is achieved by perturbing each weight in the mapping neural network. The circuit-based Neuro-SM in NeuroModelerPlus can utilize the exact adjoint sensitivity to train the mapping neural network. For the analytical Neuro-SM in NeuroModelerPlus, the sensitivity analyses described in Sections II-C and II-D are implemented and applied for model training.

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

TABLE V SENSITIVITY COMPARISON IN THE MESFET EXAMPLE. SENSITIVITY IS DONE WITH REGARD TO MAPPING NEURAL NETWORK WEIGHTS AND COARSE-MODEL PARAMETERS. THE CURTICE MODEL IS USED FOR MAPPING

2761

TABLE VII NEURO-SM TRAINING TIME COMPARISON BETWEEN SEVERAL TRAINING TECHNIQUES FOR THE MESFET EXAMPLE. TRAINING WAS DONE WITH dc AND S-PARAMETER/HARMONIC DATA. THE PROPOSED TECHNIQUE IS THE MOST EFFICIENT

TABLE VIII MODEL EVALUATION TIME OF dc AND S-PARAMETER SWEEPS AT 150 BIASES, REPEATED FOR 1000 MONTE CARLO ANALYSES IN THE MESFET EXAMPLE. RELATIVE TO THE ORIGINAL COARSE MODEL, THE COMPUTATIONAL OVERHEAD OF THE PROPOSED ANALYTICAL NEURO-SM IS ONLY MARGINAL

TABLE VI COMPARISON OF MODEL ACCURACY IN THE MESFET EXAMPLE. THE VALUES ARE AVERAGE ERRORS BETWEEN THE MODEL AND TRAINING/TESTING DATA. THE PROPOSED ANALYTICAL NEURO-SM CAN RETAIN THE SAME ACCURACY AS THE CIRCUIT-BASED NEURO-SM

Two types of existing models—the Gummel–Poon (G–P) model [29] and Curtice cubic model [19], [30]—are used as coarse models for mapping. Fig. 3 shows improved model accuracy by the Neuro-SM technique to map the existing device models. As seen in Fig. 3, without mapping, the two models, at their best, provide only an approximation of the device behavior and lack the complicated details seen in the device data. With the mapping neural network, both models can be mapped to the device data with good accuracy. This is because the neural network training can automatically adjust the mapping differently according to the needs of the specific coarse model used. Table I shows that the dc sensitivity of analytical Neuro-SM model from the analytical sensitivity analysis matches well with the perturbation result, confirming the validity of our new sensitivity technique. Different numbers of hidden neurons (10, 15, and 20) for the mapping neural networks have been used in training. The testing accuracy for the Neuro-SM with 10, 15, and 20 hidden neurons by mapping G–P (or Curtice) model were 0.85%, 0.91%, and 1.40% (or 0.88%, 0.74%, and 0.93%), respectively. Mapping neural networks with 10 or 15 hidden neurons are found suitable for this example. In general, fewer (more) hidden neurons are needed if the coarse model is good (poor). Table II shows the detailed comparison of training and testing errors between the coarse and Neuro-SM models with the mapping neural network of ten hidden neurons. Table III

Fig. 6. Physical structure of a HEMT device used for generating fine data in MINIMOS to train Neuro-SM models.

compares the training time of the three Neuro-SM implementations. Training was done with 200 dc data, and CPU time was recorded for 100 training iterations on a Pentium IV 2.8-GHz computer. Table IV shows model evaluation time comparison between coarse models, the circuit-based Neuro-SM, and the proposed analytical Neuro-SM by performing 1000 Monte Carlo analyses for 100 dc-bias points. This example extends the study of the Neuro-SM technique beyond that in [19] in three new directions. First, we applied different coarse models to show the flexibility of Neuro-SM. Second, new analytical sensitivity were utilized and compared with perturbation, validating the proposed sensitivity technique. Third, training of the new analytical Neuro-SM model, and comparison of model accuracy, training CPU time, as well as evaluation time between the proposed analytical Neuro-SM and the circuit-based Neuro-SM in [19] were done, confirming that the proposed analytical Neuro-SM provides the best efficiency among the three model development methods in Table III. B. Analytical Neuro-SM Models of GaAs MESFET In this example, Neuro-SM is used to model large-signal behavior of an ADS internal GaAs MESFET [19]. The three implementations described in example A are utilized, i.e.,

2762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 7. S-parameter comparison between the original HEMT data from MINIMOS, existing models (without mapping), and Neuro-SM models in the HEMT example. All plots show S-parameters in decibels versus frequency in gigahertz. Comparison was done at four different dc biases at gate voltage ( 0.4 V, 0.2 V) and drain voltage (0.2 V, 2.4 V). Existing models used as coarse models for mapping are (a) Statz model, (b) Curtice model, and (c) Chalmers model.

0

circuit-based Neuro-SM with perturbation, circuit-based Neuro-SM with adjoint neural network sensitivity as in [19],

0

and the proposed analytical Neuro-SM. The Neuro-SM models were trained with dc and bias-dependent S-parameter data

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

2763

Fig. 8. dc comparison between the original HEMT data from MINIMOS, existing models (without mapping), and Neuro-SM models in the HEMT example. The gate voltage V for all three models is from 0.5 V to 0.1 V. Existing models used for Neuro-SM are (a) Statz model, (b) Curtice model, and (c) Chalmers model. Training of Neuro-SM models was done using such dc data and the bias-dependent S-parameter data in Fig. 7 simultaneously.

0

0

and refined by large-signal harmonic data. The training data was generated in ADS by an internal Statz model [31] for convenient verification purpose. Two existing MESFET models are used as coarse models for mapping: the Curtice cubic model [30] and the Materka model [32]. Harmonic data for refinement training was generated at different input power levels (1–5 dBm) and fundamental frequencies (2–5 GHz) with a harmonic frequency range up to 25 GHz. Sensitivity formulas described in Section II-C were implemented and used for training of the analytical Neuro-SM models. Similar to example A, we extend the study of Neuro-SM beyond that in [19] in three new directions: applicability of Neuro-SM for different coarse models, new sensitivity validation, and comparison of the new analytical Neuro-SM with the original Neuro-SM of [19]. Figs. 4 and 5 show the comparison of dc, small-signal, and large-signal behavior among coarse device models, mapped Neuro-SM models, and data. Notice that the mismatch between coarse models and data cannot be simply overcome by optimizing the model parameters alone. Structural change in the nonlinear model formulas is needed. This is achieved by Neuro-SM with the additional degree of freedom beyond that of the existing model due to the neural network mapping. Table V shows the dc, small-signal, and large-signal sensitivity verification. Different numbers of hidden

TABLE IX SENSITIVITY COMPARISON IN THE HEMT EXAMPLE. SENSITIVITY IS DONE WITH REGARD TO MAPPING NEURAL NETWORK WEIGHTS AND COARSE-MODEL PARAMETERS. THE CHALMERS MODEL IS USED FOR MAPPING

neurons (10, 15, and 20) for the mapping neural networks have been used in training. The testing accuracy for the Neuro-SM with 10, 15, and 20 hidden neurons by mapping the Curtice (or Materka) model were 1.43%, 1.38%, and 1.72% (or 1.34%, 1.20%, and 1.40%), respectively. Tables VI–VIII show model

2764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE X COMPARISON OF MODEL ACCURACY IN THE HEMT EXAMPLE. THE VALUES ARE AVERAGE ERRORS BETWEEN THE MODEL AND TRAINING/TESTING DATA. THE PROPOSED ANALYTICAL NEURO-SM CAN RETAIN THE SAME ACCURACY AS THE CIRCUIT-BASED NEURO-SM

TABLE XI NEURO-SM TRAINING TIME COMPARISON BETWEEN SEVERAL TRAINING TECHNIQUES FOR THE HEMT EXAMPLE. TRAINING WAS DONE WITH dc AND BIAS-DEPENDENT S-PARAMETER DATA. THE PROPOSED TECHNIQUE IS THE MOST EFFICIENT

Fig. 10. Comparison of the frequency doubler (with MESFET models) HB solutions between using the original ADS model, the coarse model, and the Neuro-SM model. (a) Second harmonic output power and conversion gain 4 GHz. (b) Second harmonic versus input power level at input frequency f output power versus output frequency with input power level of 1 dBm. (c) Fundamental signal suppression at input power level of 1 dBm. Before mapping, the existing device model led to inaccurate doubler solution. The Neuro-SM model improved the solution to be consistent with original ADS solution.

=

Fig. 9. Frequency doubler circuit. Both the MESFET models and the HEMT models developed with the Neuro-SM technique will be used in this circuit.

accuracy, training time, and model speed comparison, further demonstrating that the proposed analytical Neuro-SM technique with its exact sensitivity can retain the same model accuracy as circuit-based Neuro-SM in [19] while achieving increased efficiency. The neural networks used in the tables are with ten hidden neurons. In Table VII, training CPU time was recorded for 100 training iterations on a Pentium IV 2.8-GHz computer. C. Analytical Neuro-SM Models of a HEMT Trained With Physics-Based Device Data The HEMT [33] device is important in high-frequency circuit design. Physics-based numerical simulators and equivalent circuit models [34], [35] have been used for HEMT modeling. In this example, Neuro-SM is used to learn physics-based data of

the HEMT device. Training data (dc and bias-dependent S-parameter data) were generated from a physics-based device simulator, MINIMOS [34], by solving the device Poison equations. The HEMT structure used in setting up the physics-based simulator is shown in Fig. 6. It was modeled by three Neuro-SM implementations (circuit-based Neuro-SM with perturbation, circuit-based Neuro-SM with adjoint neural network sensitivity of [19], and the proposed analytical Neuro-SM) with three different coarse models, i.e., Curtice [30], Statz [31], and Chalmers (Angelov) [35] models, resulting in nine cases for extensive studies of the Neuro-SM technique. The comparison of Neuro-SM models and original physics data is shown in Figs. 7 and 8 for different coarse models (Curtice, Statz, and Chalmers). Mapping neural networks with ten to 15 hidden neurons are found suitable for this example. Tables IX–XI show the sensitivity, model accuracy, and training

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

Fig. 11. Comparison of the frequency doubler (with MESFET models) HB solution between using the original ADS model, the coarse model, and the Neuro-SM model. (a), (b), and (c) are similarly defined as that in Fig. 10, except that the coarse model used for mapping here is the Materka model instead of the Curtice model, as in Fig. 10.

time for the three implementations of Neuro-SM with ten hidden neurons, demonstrating the increased efficiency of the proposed analytical Neuro-SM over the circuit-based Neuro-SM of [19]. Training time was recorded for 100 iterations on a Pentium IV 2.8-GHz computer. Neuro-SM enables fast and accurate modeling of device physics. To further demonstrate the efficiency of the analytical Neuro-SM, the trained models were incorporated into ADS to compare the evaluation time with MINIMOS. S-parameter simulation of 20 frequencies at 150 biases was preformed. MINIMOS took approximately 75 min, while ADS with the analytical Neuro-SM model used only 10 s.

D. Use of Neuro-SM Models in A Frequency Doubler Circuit This example demonstrates the application of the trained Neuro-SM models in a balanced frequency doubler [36] circuit shown in Fig. 9. The trained Neuro-SM models for the

2765

Fig. 12. Frequency doubler (with HEMT models) HB solutions using three Neuro-SM models (mapping of Statz, Curtice, and Chalmers models). All the doubler solutions were obtained by ADS simulation. (a), (b), and (c) are similarly defined as those in Fig. 10, except that the transistor models used here were trained from the HEMT data generated from MINIMOS. Even though the original HEMT represented by the physics-based device simulator MINIMOS cannot be directly used in circuit simulators such as ADS, the proposed Neuro-SM technique makes it possible to have an HEMT model with device physics behavior in ADS simulation.

MESFET device in example B and the HEMT device in example C are incorporated into ADS and connected with other ADS components to form the overall doubler circuit. The MESFET Neuro-SM models trained in example B (mapped Curtice model and mapped Materka model) are first used in the frequency doubler circuit. We performed large-signal harmonic balance simulation of the frequency doubler, and the results, including conversion gain, second harmonic output power, and fundamental frequency suppression match well with the original ADS solutions, shown in Figs. 10 and 11. This verifies the validity of the large-signal behavior of the proposed Neuro-SM device model. The trained HEMT

2766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Neuro-SM models in example C (i.e., mapped Statz model, mapped Curtice model, and mapped Chalmers model) are then used in the doubler circuit simulation, with the results shown in Fig. 12. In reality, the physics-based device simulator MINIMOS cannot be directly combined with other passive/active components in ADS for overall circuit design. By the proposed technique, the Neuro-SM models can be first trained to learn the device characteristics from device physics simulator such as MINIMOS. The trained Neuro-SM models can then be conveniently implemented into the existing circuit simulators such as ADS, thus making the circuit simulation with physics-based device model faster and more convenient. VI. CONCLUSION A Neuro-SM technique has been proposed to meet the constant need of new device models due to rapid progress in the semiconductor technology. It aims to automatically modify the behavior of the existing models to match new device behavior. Neuro-SM models retain the speed of the existing device models while improving the model accuracy. In this paper, an advanced Neuro-SM formulation has been proposed with analytical mapping representations and exact sensitivity analysis. The proposed technique allows faster model training and evaluation. After being trained, the analytical Neuro-SM model can be incorporated into high-level simulators to increase the speed and accuracy of circuit design. By mapping the existing equivalent circuit models to detailed device physics data, the Neuro-SM can efficiently expand the scope of models in existing circuit simulators to include device physics behaviors. REFERENCES [1] Q. J. Zhang, K. C. Gupta, and V. K. Devabhaktuni, “Artificial neural networks for RF and microwave design: From theory to practice,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1339–1350, Apr. 2003. [2] J. W. Bandler, Q. S. Cheng, S. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [3] M. B. Steer, J. W. Bandler, and C. M. Snowden, “Computer aided design of RF and microwave circuits and systems,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 996–1005, Mar. 2002. [4] Q. J. Zhang and K. C. Gupta, Neural Networks for RF and Microwave Design. Boston, MA: Artech House, 2000. [5] P. Burrascano, S. Fiori, and M. Mongiardo, “A review of artificial neural networks applications in microwave computer-aided design,” Int. J. RF Microw. CAE, vol. 9, pp. 158–174, May 1999. [6] P. M. Watson and K. C. Gupta, “EM-ANN models for microstrip vias and interconnects in multilayer circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2495–2503, Dec. 1996. [7] G. L. Creech, B. J. Paul, C. D. Lesniak, T. J. Jenkins, and M. C. Calcatera, “Artificial neural networks for fast and accurate EM-CAD of microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 794–802, May 1997. [8] A. H. Zaabab, Q. J. Zhang, and M. S. Nakhla, “A neural network modeling approach to circuit optimization and statistical design,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1349–1358, Jun. 1995. [9] D.M.M.-P. Schreurs, J. Verspecht, S. Vandenberghe, and E. Vandamme, “Straightforward and accurate nonlinear device model parameter-estimation method based on vectorial large-signal measurements,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2315–2319, Oct. 2002. [10] F. Wang and Q. J. Zhang, “Knowledge based neural models for microwave design,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2333–2343, Dec. 1997.

[11] V. Rizzoli, A. Neri, D. Masotti, and A. Lipparini, “A new family of neural network-based bi-directional and dispersive behavioral models for nonlinear RF/Microwave subsystems,” Int. J. RF Microw. CAE (Special Issue on Applications of ANN to RF and Microwave Design), vol. 12, pp. 51–70, Dec. 2002. [12] M. Vai, S. Wu, B. Li, and S. Prasad, “Reverse modeling of microwave circuits with bidirectional neural network models,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1492–1494, Oct. 1998. [13] M. H. Bakr, J. W. Bandler, R. M. Biernacki, S. H. Chen, and K. Madsen, “A trust region aggressive space mapping algorithm for EM optimization,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2412–2425, Dec. 1998. [14] J. W. Bandler, N. Georgieva, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “A generalized space mapping tableau approach to device modeling,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 67–79, Jan. 2001. [15] J. W. Bandler, M. A. Ismail, and J. E. Rayas-Sánchez, “Expanded spacemapping EM-based design framework exploiting preassigned parameters,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. , pp. 1833–1838, Dec. 2002. [16] J. W. Bandler, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “Neuromodeling of microwave circuits exploiting space mapping technology,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2417–2427, Dec. 1999. [17] The RF and Microwave Handbook, CRC, Boca Raton, FL, 2001. J. M. Golio. [18] C. M. Snowden, Semiconductor Device Modeling. Stevenage, U.K.: Peregrinus, 1988. [19] L. Zhang, J. J. Xu, M. C. E. Yagoub, R. T. Ding, and Q. J. Zhang, “Neuro-space mapping technique for nonlinear device modeling and large-signal simulation,” in 2003 IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 173–176. [20] J. J. Xu, M. C. E. Yagoub, R. T. Ding, and Q. J. Zhang, “Exact adjoint sensitivity for neural based microwave modeling and design,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 226–237, Jan. 2003. [21] P.J.C. Rodrigues, Computer-Aided Analysis of Nonlinear Circuits. Norwood, MA: Artech House, 1997. [22] M. S. Nakhla and J. Vlach, “A piecewise harmonic balance technique for determination of periodic response of nonlinear systems,” IEEE Trans. Circuits Syst., vol. 23, no. 2, pp. 85–91, Feb. 1976. [23] J. Vlach and K. Singhal, Computer Methods for Circuit Analysis and Design. New York: Van Nostrand Reinhold, 1994. [24] J. W. Bandler, Q. J. Zhang, and R. M. Biernacki, “A unified theory for frequency-domain simulation and sensitivity analysis of linear and nonlinear circuits,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1661–1669, Dec. 1988. [25] V. K. Devabhaktuni, B. Chattaraj, M.C.E. Yagoub, and Q. J. Zhang, “Advanced microwave modeling framework exploiting automatic model generation, knowledge neural networks, and space mapping,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1822–1833, Jul. 2003. [26] C. N. Rheinfelder, F. J. Beibwanger, and W. Heinrich, “Nonlinear modeling of SiGe HBT’s up to 50 GHz,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2503–2508, Dec. 1997. [27] Advanced Design System (ADS), Agilent Technologies, Palo Alto, CA. [28] NeuroModelerPlus, Q. J. Zhang, Dept. of Electronics, Carleton University, , Ottawa, ON, Canada. [29] H. K. Gummel and H. C. Poon, “An integral charge-control relation for bipolar transistors,” Bell Syst. Tech. J., vol. 49, p. 115, 1970. [30] W. R. Curtice, “GaAs MESFET modeling and nonlinear CAD,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 220–230, Feb. 1988. [31] H. Statz, P. Newman, I. W. Smith, R. A. Pucel, and H. A. Haus, “GaAs FET device and circuit simulation in SPICE,” IEEE Trans. Electron Devices, vol. 34, no. 2, pp. 160–169, Feb. 1987. [32] A. Materka and T. Kacprzak, “Computer calculation of large-signal GaAs FET amplifier characteristics,” IEEE Trans. Microw. Theory Tech., vol. 33, no. 2, pp. 129–135, Feb. 1985. [33] C. Y. Chang and F. Kai, GaAs High-Speed Devices: Physics, Technology, and Circuit Applications. New York: Wiley, 1994. [34] MINIMOS-NT, Institute for Microelectronics, Technical University, Vienna, Austria. Release 2.0. [35] I. Angelov, H. Zirath, and N. Rorsman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [36] A. S. Yanev, B. N. Todorow, and V. Z. Ranev, “A broad-band balanced HEMT frequency doubler in uniplanar technology,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2032–2035, Dec. 1998.

ZHANG et al.: NEURO-SM FOR NONLINEAR MICROWAVE DEVICE MODELING

Lei Zhang (S’04) received the B.Eng. degree in electrical engineering and economics from Tianjin University, Tianjin, China, in 2000 and the M.A.Sc. degree in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2003. She is currently working toward the Ph.D. degree at the Department of Electronics, Carleton University. Her research interests include neural-network-based methods for microwave device modeling and circuit design and the development of a neural-network-based circuit simulator.

Jianjun Xu (S’00) received the B.Eng. Degree from Tianjin University, Tianjin, China, in 1998, and the Ph.D. Degree in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2004. He is currently a Postdoctoral Fellow in the Department of Electronics, Carleton University. His research interests include neural networks, modeling and their applications in computer-aided design for electronic circuits. Dr. Xu was the recipient of numerous academic awards and scholarships, including the Ontario Graduate Scholarship in Science and Technology, the Ontario Graduate Scholarship, and the Senate Medal at Carleton University for outstanding work at the doctoral level. He was also the recipient of Student Paper Award in the IEEE MTT-S International Microwave Symposium (IMS) 2001.

Mustapha C. E. Yagoub (M’96) received the Diplôme d’Ingénieur degree in electronics and the Magister degree in telecommunications from the Ecole Nationale Polytechnique, Algiers, Algeria, in 1979 and 1987, respectively, and the Ph.D. degree from the Institut National Polytechnique, Toulouse, France, in 1994. He was with the Institute of Electronics, Université des Sciences et de la Technologie Houari Boumédienne, Algiers, Algeria, initially as an Assistant from 1983 to 1991 and then as an Assistant Professor from 1994 to 1999. From 1999 to 2001, he was with the Department of Electronics, Carleton University, Ottawa, ON, Canada, where he was involved with neural network applications in microwave areas. He is currently an Assistant Professor with the School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada. His research interests include neural networks for microwave applications, computer-aided design (CAD) of linear and nonlinear microwave devices and circuits, and applied electromagnetics. He has authored over 100 publications in refereed journals and conferences. He coauthored Conception de Circuits Linéaires et Non Linéaires Micro-Ondes (Toulouse, France: Cépadues, 2000). Dr. Yagoub is a Member of the Professional Engineers of the Province of Ontario, Canada, and the Ordre des Ingénieurs du Québec, Canada.

2767

Runtao Ding was born in Shanghai, China, in 1938. He received the Diploma degree from Tianjin University, Tianjin, China, in 1961. Since 1961, he has been with the Department of Electronic Engineering, School of Electronic Information Engineering, Tianjin University, where he is currently a Professor. From 1991 to 1996 and from 1996 to 1999, he was the Chairman of the Department of Electronic Engineering and the Dean of the School of Electronic Information Engineering, respectively. His research interests include nonlinear signal processing, image processing, neural networks, and circuit design. Prof. Ding was a Co-Chair of the Technical Program Committee (TPC) of the IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) 2000.

Qi-Jun Zhang (S’84–M’87–SM’95) received the B.Eng. degree from the East China Engineering Institute, Nanjing, China, in 1982 and the Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1987. From 1982 to 1983, he was with the System Engineering Institute, Tianjin University, Tianjin, China. From 1988 to 1990, he was with Optimization Systems Associates (OSA), Inc., Dundas, ON, Canada, where he developed advanced microwave optimization software. In 1990, he joined the Department of Electronics, Carleton University, Ottawa, ON, Canada, where he is currently a Professor. His research interests are neural network and optimization methods for high-speed/high-frequency circuit design. He has authored over 160 papers on these topics. He coauthored Neural Networks for RF and Microwave Design (Boston, MA: Artech House, 2000) and co-edited Modeling and Simulation of High-Speed VLSI Interconnects (Boston, MA: Kluwer, 1994). He was a contributor to Analog Methods for Computer-Aided Analysis and Diagnosis (New York: Marcel Dekker, 1988). He was a Co-Guest Editor for the Special Issue on High-Speed VLSI Interconnects of the International Journal of Analog Integrated Circuits and Signal Processing and was twice a Guest Editor for the Special Issues on Applications of ANN to RF and Microwave Design for the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Zhang is a Member of the Professional Engineers of the Province of Ontario, Canada.

2768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Ka-Band Analog Front-End for Software-Defined Direct Conversion Receiver

Serioja Ovidiu Tatu, Member, IEEE, Emilia Moldovan, Ke Wu, Fellow, IEEE, Renato G. Bosisio, Life Fellow, IEEE, and Tayeb A. Denidni, Senior Member, IEEE

Abstract—A six-port -band front-end architecture based on direct conversion for a software-defined radio application is proposed in this paper. The direct conversion is accomplished using six-port technology. In order to demodulate various phase-shift-keying/quadrature-amplitude-modulation (PSK/QAM) modulated signals at a high bit rate, a new analog baseband circuit was specially designed according to the / equations presented in the theoretical part. An experimental prototype has been fabricated and measured. Simulation and measurement results for binary PSK, quaternary PSK (QPSK), 8 PSK, 16 PSK, and 16 QAM modulated signals at a bit rate up to 40 Mb/s are presented to validate the proposed approach. A software-defined radio can be designed using the new front-end and only two analog-to-digital converters (ADCs) because the output signals are generated by analog means. Previous six-port receivers make use of four ADCs to read the six-port dc levels and require digital computations to generate the output signals. With the proposed approach, the load of the signal processor will therefore be reduced and the modulation speed can be significantly increased using the same digital signal processor. Index Terms—Direct conversion, front-end, phase-shift keying (PSK), quadrature amplitude modulation (QAM), six-port.

I. INTRODUCTION

T

HE CONCEPT of software-defined radio (SDR) has initially been discussed for military applications. Today, with the increase of the digital signal processor (DSP) capabilities on the one hand and the requirements for rapid deployment to market on the other, SDR is emerging as an important commercial technology. SDR today represents one of the most important topics of research in the area of mobile and personal communications and brings together two key technologies of the last decade: digital radio and downloadable software. To facilitate the dynamic introduction of new functionality and masscustomized applications to the user’s terminal, postpurchase, it encompasses not only reconfiguration of the air–interface parameters of handset and base station products but also the whole mobile network. Today’s microelectronic circuits cannot provide all of the required processing power. Therefore, the signal processing of RF, Manuscript received August 23, 2004; revised November 8, 2004. This work was supported in part by the National Science Engineering Research Council of Canada (NSERC). S. O. Tatu and T. A. Denidni are with the Institut National de Recherche Scientifique—Énergie Matériaux et Télécommunications, Montréal, QC, Canada H5A 1K6 (e-mail: [email protected]; [email protected]). E. Moldovan, K. Wu, and R. G. Bosisio are with the Poly-Grames Research Center, Département de Génie Electrique, École Polytechnique, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854181

IF, and parts of the baseband still have to be realized by analog hardware [1], [2]. To overcome this situation, a new front-end based on six-port technology is proposed in this paper. The six-port circuit was initially utilized as a low-cost alternative to a network analyzer. A number of six-port circuits were proposed and designed to perform measurements of reflection coefficients [3]–[6] or to beam direction-finding applications [7]. New applications of the six-port technology for direct-conversion radio receivers have been recently proposed [8]–[14]. The use of the six-port technology in the front-end of a SDR can improve the receiver performances, especially in terms of bit error rate (BER). According to recent studies [11]–[14], six-port circuits were designed to perform phase measurements between two RF input signals without the need of a calibration procedure. These works show excellent demodulation results in direct conversion receivers (DCR) using a quaternay phase-shift-keying (QPSK) modulation. This paper presents new demodulation results for various PSK modulations such as binary PSK (BPSK), QPSK, 8 PSK, and 16 PSK, based on the six-port technology. The same six-port can also evaluate the amplitude ratio between two input RF signals. Therefore, a quadrature-amplitude-modulation (QAM) signal can be demodulated as well. Simulations and measurements demonstrate very good demodulation results at a high bit rate without need of a six-port calibration. The online calibration procedure [8] increases the precision of results, but dramatically decreases the bit rate. The previous six-port receivers [8] use a different approach: output signals a calibration procedure and the calculus of by signal processing of the four six-port dc output signals. Four analog-to-digital converters (ADCs) were utilized for this purpose. The proposed front-end is based on simultaneous direct conversion and analog splitting in and demodulated signals. An improved SDR can be designed using the new front-end with signals are generated by analog only two ADC (because means) and a simplified algorithm versus the conventional approaches. Therefore, the load of the signal processor will be reduced, and the modulation speed can be increased using the same DSP. II. SIX-PORT AMPLITUDE AND PHASE DISCRIMINATOR Fig. 1 shows the block diagram of the proposed six-port phase discriminator, composed of a Wilkinson power divider and three 90 hybrid couplers. This junction is designed to perform phase and amplitude measurements without a calibration procedure. The phase shift and the relative amplitude between the RF input

0018-9480/$20.00 © 2005 IEEE

TATU et al.:

-BAND ANALOG FRONT-END FOR SOFTWARE-DEFINED DIRECT CONVERSION RECEIVER

2769

output voltage of an ideal power detector is proportional to the square magnitude of the RF input signal (9) constants are measured in V/W. where Supposing that four identical detectors the dc output voltages are, respectively,

are used,

(10) (11) (12) Fig. 1. Six-port discriminator.

(13) signal and the RF reference signal will be obtained using power measurements at the six-port outputs. The scattering matrix of the proposed six-port phase discriminator can be easily obtained using the six-port diagram of Fig. 1, and it is given in

complex plane, a vector can be defined using In the the four six-port dc output voltages (14) Then (15) (16) Therefore, this vector can be written as

(1) The signal values, indicated in the same figure, are calculated using the -parameters of the Wilkinson power divider and the 90 hybrid coupler. Let us assume that there are two normalized wave inputs and with different amplitudes and phases; the phase differand the amence between the input signals is plitude ratio of these signals is (2) (3)

(17) The magnitude of the vector is proportional with the ratio between the RF input signal at port 6 and the LO signal at port 5, namely . Therefore, this vector represents the analog direct demodulated signal. , we can conclude If the reference (LO) signal phase that the phase of the vector is equal to the phase of the RF input signal at port 6. Considering two different states of the RF input signal and assuming a stable reference, we obtain the phase front-end equation (18)

Therefore, we can calculate the normalized wave outputs using six-port -parameters as (4) More specifically, we have (5) (6) (7)

Equations (15) and (16) show that, in theory, the output signal dc offset of the proposed circuit is equal to zero. In practice, this value is not null, but can be reduced by a rigorous design of the six-port circuit and its power detectors. For a PSK modulation, the circle radius is a function of the input six-port power, as expected. As known, the input RF power is equal to the square magnitude of the normalized input wave. According to (17) and the normalized wave definition, the magnitude of became (19)

(8)

Considering two values of the input RF power and assuming a constant power level of the LO, we have a useful equation

In order to obtain the dc output signals, four power detectors are connected to the six-port circuit outputs. As known, the dc

(20)

2770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 2. Proposed setup for the visualization of the demodulated signal constellation using a six-port circuit.

Fig. 4.

Fig. 3. Block diagram of the analog front-end.

Finally, the amplitude front-end equation can be obtained dB

(dB)

(21)

Therefore, the variation of the circle radius is equal to the variation of the input power if both values are given in decibels. The previous equations show that the analog direct demodulated signal can be obtained using a six-port circuit with power detectors and two differential amplifiers. Equations (18) and (21) represent the proposed front-end equations and are very useful for obtaining various demodulated signal constellations. Finally, as an important observation, it can be concluded that the constellation of the demodulated signal can be directly visualized on the oscilloscope screen, as shown in the block diagram of Fig. 2. III. ANALOG FRONT-END BLOCK DIAGRAM The proposed analog front-end uses the theoretical results output presented in previous paragraph to generate analog signals. The block diagram of the analog front-end, presented in Fig. 3, is obtained by upgrading the block diagram proposed in Fig. 2. The RF received signal is amplified using a low-noise amplifier (LNA). Then, the six-port module generates four dc output signals using the RF input signal and the reference signal given by the local oscillator (LO). Finally, the baseband circuit amplifies these signals and generates the analog outputs according to (15) and (16). -band prototype front-end was fabricated using a monoA lithic hybrid microwave integrated circuit (MHMIC) six-port [11]. The baseband circuit was realized with conventional operational amplifiers according to Fig. 3.

Diagram of the baseband circuit.

The diagram of the baseband circuit, realized using current feedback operational amplifiers type OPA 2658 is shown in Fig. 4. This operational amplifier has a cut-off frequency of 800 MHz. In the first stage, the six-port dc output voltages are amplified by around 20 dB. Then, two differential amplifiers are signals according to (15) and (16). Finally, used to obtain these signals are once again amplified. The dc offset can be adjusted by the RC circuits connected to the noninverting ports, if necessary. The dc offset cancellation can be useful if the operating frequency is far away (24 or 30 GHz) of the six-port circuit central frequency (27 GHz) [12]. The dc offset increment is related to the errors of the transmission -parameter magnitudes [12]. -band MHMIC six-port module As presented in [11], the is a wide-band circuit. The bandwidth of this circuit is more than 4 GHz, having the central frequency at 27 GHz. Therefore, the bit rate is limited only by the speed of the baseband circuit. More than 100 Mb/s can be achieved using these high-speed operational amplifiers. IV. SIMULATION RESULTS System simulations were performed using Advanced Design System 2003 software of Agilent Technologies and a computer model of this front-end based on the six-port module. Initial simulation results were presented in [13]. The simulation diagram is presented in Fig. 5. The transmitter (Tx) uses a vector modulator (VM) to obtain the PSK or -band modulated signal. Various modulations (QPSK, QAM 8 PSK, 16 PSK, and 16 QAM) were generated and analyzed. During the simulations, the operating frequency was set at 27 GHz; the transmitter power was set at 2 dBm, the gain of antennas was equal to 20 dBi, and the path length was equal to 10 m. The propagation path was simulated using the Friis model [15].

TATU et al.:

Fig. 5.

-BAND ANALOG FRONT-END FOR SOFTWARE-DEFINED DIRECT CONVERSION RECEIVER

2771

Simulation block diagram of the analog front-end.

The receiver model uses an LNA of 10 dB and the computer -band six-port module (SPM) and of the basemodel of the band circuit (BBC). In order to obtain a clearly demodulated constellation (without the transitions between consecutive states), two “sample-and-hold” circuits (SHCs) were used. The output signals change only once at each time clock. Therefore, the constellation is composed only by discrete points. The SHCs output analog signals. These signals can be generate the used like the input signals for the ADC of an SDR. Fig. 6 shows the simulated constellation of the demodulated signal obtained for various modulation schemes, using the ADS simulation block diagram shown in Fig. 5. An envelope simulation was performed during 50 s having a step of 0.2 s. The clock frequency was set at 1 MHz in simulations. As seen in these figures, the circuit acts as an excellent analog demodulator. In the case of PSK modulations, the constellation points are situated on a circle, as demonstrated in the theoretical part [see (17)]. In addition, for the 16 QAM modulations, the points are almost equidistant, confirming the front-end discrimination’s qualities in both amplitude and phase. The phase errors are minimal due to the six-port architecture and to the differential approach used in the baseband circuit. Therefore, the constellation of any PSK signal is obtained with an excellent precision. The amplitude errors are related to the nonlinearity of the power detectors. Simulations show a maximum phase error of 1% and a maximum amplitude error of 4% for all constellation points. In addition, simulations show that the dc offset value is less than 50 mV for a radius of 1 V. This dc offset is equal to the distance between the central point (see the demodulated results without noise presented in Fig. 6) and the origin. We can conclude that, for all these modulations, the demodulated constellations were obtained with excellent phase errors and acceptable magnitude errors without any six-port calibration. The phase of the reference signal must be adjusted to prevent the constellation rotation, as presented in [7] and [11]. The same front-end circuit was analyzed in the presence of white noise. A noise generator was added on the ADS simulation diagram of Fig. 5 between the receiving antenna and the LNA.

Fig. 6. Constellation of the demodulated PSK/QAM signals without noise (simulations).

Fig. 7 shows the simulated constellation of the demodulated signal using various modulation schemes and signal to noise levels: 3 dB for QPSK, 6 dB for 8 PSK, 12 dB for 16 PSK, and 6 dB for 16 QAM. For each modulation, the signal-to-noise ) was chosen in order to obtain individratio (SNR) value ( ualized clusters. point must be easily associated with a cluster. Each Therefore, using signal processing, for each point, we can decide where the correspondent cluster and the associated output bits are. If the SNR decreases compared to the situation presented in these figures, the bit error rate will dramatically increase. In order to obtain a precise PSK demodulation, these ratio value evaluated in decibels simulations show that the must be at least double, if the number of clusters is doubled. values of 3 dB for QPSK (four clusters), of The clusters), and finally of 12 dB for 6 dB for 8 PSK (eight clusters) were obtained. Obviously, an SNR 16 PSK (16 of 12 dB is more difficult to achieve in practice. However, using a 16 QAM modulation, the SNR can decrease at 6 dB, as obtained for 8 PSK, even if 16 clusters are used. Therefore,

2772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 8. Block diagram of the

Fig. 7. Constellation of the demodulated PSK/QAM signals with white noise (simulations).

the receiver performances will increase under the same conditions using a 16 QAM modulation. In previous simulations, a stable LO was used to generate the six-port reference signal. In practice, the errors which occur in amplitude and phase must be added to errors given by the instability of the LO. A carrier recovery loop must be designed to obtain the reference signal. If the phase of the LO changes in time, the demodulated constellation turns clockwise or anticlockwise depending on the sign of this variation. A typical analog carrier recovery circuit was presented for a QPSK modulation [14]. However, using specific algorithms, an SDR can generate a control signal to a phase-locked loop (PLL) oscillator. Therefore, a high-quality reference signal can be obtained.

V. MEASUREMENT RESULTS The test bench was designed using available equipment. Fig. 8 shows the block diagram of this bench. The PSK/QAM modulated signal and the reference signal of 250 MHz are generated using an HP-8782 vector signal generator. This generator can provide various PSK/QAM modulated signals. -band modulated signal and the reference signal are The obtained using a local oscillator LO (Wiltron frequency synthesizer model 6740B), a Wilkinson power divider (W), and two SU26A21D side-band up-converters. The direct conversion and -band analog splitting are simultaneously obtained using the front-end prototype. The demodulated signal constellation can be directly visualized using an oscilloscope. Fig. 9 shows the photograph of the measurement test bench with the front-end circuit. In this picture, we can identify the vector signal generator (left up), the Wiltron frequency synthesizer (left down), the dc sources and the metallic fixture containing two up-converters and the front-end circuit. The

Fig. 9.

Fig. 10.

Ka-band front-end measurement test bench.

Photograph of the measurement test bench.

Measurement test bench with details.

outputs are connected to channel 1 (X) and channel 2 (Y) oscilloscope inputs. An 8 PSK demodulated constellation can be shown on the Tektronix 2235 analog oscilloscope screen. Fig. 10 shows the details of the metallic fixture. The six-port circuit and the baseband circuit are realized on separate boards. Rigid cables with K or SMA connectors are used to connect all front-end components. The vector signal generator power was set initially at 15 dBm. At the operating frequency of 27 GHz, the up-converter conversion loss is around 12 dB. Therefore, the -band modulated signal power is around 27 dBm, which is consistent with the simulations. Fig. 11 shows a pseudorandom sequence of the demodulated output signals versus the time for various modulated sigsignals are presented in these figures (the nals. Both signal is the dot one). A Tektronix TDS 694C digital real-time oscilloscope, in YT display format, was used to capture these images. The 250-MHz modulated signal is generated using a vector signal generator pseudorandom bit sequence (PRBS), having a clock of 20 MHz. The PRBS rate has 20 Mb/s for BPSK and 40 Mb/s for QPSK/16 QAM signals.

TATU et al.:

-BAND ANALOG FRONT-END FOR SOFTWARE-DEFINED DIRECT CONVERSION RECEIVER

2773

Fig. 12. Measured demodulated constellations for PSK/QAM signals in XY display format. Fig. 11. time.

Measured I=Q signals of demodulated PSK/QAM signals versus the

Unfortunately, the 8 PSK signal supplied by our vector modulator is a low-bit-rate periodical signal. However, the demodulated signal amplitudes are the same as for a pseudorandom signal having a higher bit rate. As seen in Fig. 11(a), the demodulated BPSK signal peak value (the circle radius) is around 1 V, validating the simulations performed using the same signal levels. Both demodulated signals of QPSK [see Fig. 11(b)] have the same magniof the radius. As expected, for 8 PSK tude, equal to and 16 QAM signals, we have two different signal levels on each output voltage polarity, confirming that the six-port circuit acts as an amplitude discriminator as well. The maximum magnitude is equal to the radius for 8 PSK value of the of the radius for 16 QAM [see Fig. 11(c)] or to [see Fig. 11(d)]. The waveforms are almost rectangular even for this important bit rate, due to the uses of a high-speed baseband circuit. Various demodulated constellations were obtained using the -band test bench. Fig. 12 shows the measured demodulated signal constellations for: (a) BPSK; (b) QPSK; (c) 8 PSK; and (d) 16 QAM, respectively. The digital real-time oscilloscope in display format was used. The figure shows that the demodulated constellation points have excellent amplitude and phase symmetry for all of these PSK/QAM modulations. The HP-8782 vector signal generator cannot provide a 16 PSK signal. Therefore, this demodulation result cannot be compared with simulations. However, according to the result of the 8 PSK demodulation [see Fig. 12(c)] where all points are equidistant disposed in circle, we can assume an excellent demodulation result in this case too. The measurement results prove once again the theoretical and the simulation results.

Fig. 13.

0 radius versus the input power.

At the central frequency of 27 GHz, the measured dc offset was less than 50 mV, confirming the simulation results. As demonstrated in the theoretical part, if the input signals power change, the radius of the circle follows this variation. Equation (21) shows that the variation of the circle radius is equal to the variation of the input power, in decibels. For example, a variation of 20 dB of the RF input power corresponds to a 20-dB variation of the circle radius. Simulations and measurements were performed in order to validate these results. A comparison between simulations and measurements versus the input RF power is presented in Fig. 13. In order to obtain the simulations results, the diagram of Fig. 5 was used. The RF generator power was shifted in a 20-dB range between 2 and 18 dBm, and the variations of radius, in decibels, were plotted in Fig. 13. Moreover, measurements were performed on the test bench using also an 8 PSK modulation. The circle radius was measured on the oscilloscope screen. The RF power at the vector signal

2774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

The image captured using the digital real-time oscilloscope (with the same image persistence of 10 s) shows that the proposed circuit is able to demodulate the RF input signal without any calibration in real time. The bit rate was the same as for measurements shown in this paragraph, up to 40 Mb/s. The BPSK, QPSK, and 8 PSK constellation points and the corner points of the 16 QAM demodulated constellation are located on the same circle. Finally, we can conclude that an excellent agreement between theory, simulations, and measurements was obtained. These results prove, once again, that amplitude and phase measurements can be performed with excellent precision using the six-port technology. VI. CONCLUSION Fig. 14.

8 PSK constellation radius versus the input power.

Fig. 15.

Dynamic transition (BPSK to 16 QAM constellation).

generator output was varied between 15 and 35 dBm, in the same range of 20 dB. For a 20-dB input power variation, a 20-dB radius variation was expected and obtained. Fig. 14 shows the measurements of an 8 PSK constellation radius versus the input power. The input power increases in two steps of 4 dB. The image was captured on the oscilloscope screen with 10 s image persistence. We can see that the constellation radius increases with two steps of around 4 dB. The second circle radius is around 1.6 times more than initial radius, corresponding to a gain of 4 dB. The final circle radius is around 2.5 times more than initial radius (a gain of 8 dB). As well, each demodulated cluster keeps the appropriate phase with a minimal error. To conclude the measurements, another interesting measurement was made. The measurement results are presented in Fig. 15. During a few seconds, the modulation scheme was changed: starting to BPSK (light grey clusters), then QPSK and 8 PSK (grey clusters) to 16 QAM (black clusters). Phase adjustment was not made to the front-end reference port during this process.

-band front-end based on direct conversion A six-port was proposed in this paper. Direct conversion is realized using -band MHMIC six-port and a baseband circuit, a low-cost equation obtained in specially designed according to the this paper. ADS simulations and measurements, performed on the test bench, show excellent demodulation results for various PSK/QAM modulations. The dc offset, an important aspect in direct conversion technique, is dramatically reduced using a differential approach in both six-port and baseband circuit. Phase and amplitude measurements are performed with an excellent precision without any calibration, in presence of a stable reference signal (LO). We can conclude that an improved SDR can be designed using the new front-end because of analog splitting. The output demodulated I/Q signals are generated by analog means and not by DSP computation, as presented in previous papers. Therefore, the load of the signal processor will be reduced and the demodulation results will be improved using the same DSP. ACKNOWLEDGMENT The authors would like to acknowledge the technical personnel of Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada, for their valuable contributions to this paper. REFERENCES [1] R. Kokozinski, D. Greifendorf, J. Stammen, and P. Jung, “The evolution of hardware platforms for mobile ‘software defined radio’ terminals,” in Proc. 13th IEEE Int. Symp. Personal, Indoor and Mobile Radio Communications, vol. 5, Sep. 2002, pp. 2389–2393. [2] A. Haghighat, “A review on essentials and technical challenges of software defined radio,” in Proc. Military Communications Conf., vol. 1, Oct. 2002, pp. 377–382. [3] C. A. Hoer, “The six-port coupler: A new approach to measuring voltage, current, power impedance and phase,” IEEE Trans. Instrum. Meas., vol. IM-21, no. 4, pp. 466–470, Nov. 1972. [4] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1075–1080, Dec. 1977. [5] , “An improved circuit for implementing the six-port technique of microwave measurements,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1080–1083, Dec. 1977.

TATU et al.:

-BAND ANALOG FRONT-END FOR SOFTWARE-DEFINED DIRECT CONVERSION RECEIVER

[6] M. P. Weidman, “A semi automated six port for measuring millimeterwave power and complex reflection coefficient,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1083–1086, Dec. 1977. [7] T. Yacabe, F. Xiao, K. Iwamoto, F. Ghannouchi, K. Fujii, and H. Yabe, “Six-port based wave corellator with application to beam direction finding,” IEEE Trans. Instrum. Meas., vol. 50, no. 2, pp. 377–380, Apr. 2001. [8] J. Li, R. G. Bosisio, and K. Wu, “Computer and measurement simulation of a new digital receiver operating directly at millimeter-wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2766–2772, Dec. 1995. [9] M. Abe, N. Sasho, V. Brankovic, and D. Krupezevic, “Direct conversion receiver MMIC based on six-port technology,” in Proc. Eur. Conf. Wireless Technology, Oct. 2000, pp. 139–142. [10] J. Hyryläinen and L. Bogod, “Six port direct conversion receiver,” in Proc. Eur. Microwave Conf., Sep. 1999, pp. 341–347. [11] S. O. Tatu, E. Moldovan, K. Wu, and R. G. Bosisio, “A new direct millimeter-wave six-port receiver,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2517–2522, Dec. 2001. [12] S. O. Tatu, E. Moldovan, G. Brehm, K. Wu, and R. G. Bosisio, -band direct digital receiver,” IEEE Trans. Microw. Theory Tech., “ vol. 50, no. 11, pp. 2436–2442, Nov. 2002. [13] S. O. Tatu, E. Moldovan, K. Wu, and R. G. Bosisio, “Analog signal treatment in six port technology,” in Proc. Canadian Conf. Electrical and Computer Engineering, vol. 3, May 2003, pp. 1925–1928. [14] E. Marsan, J. C. Schiel, G. Brehm, K. Wu, and R. G. Bosisio, “High speed carrier recovery circuit suitable for direct digital QPSK transceivers,” in Proc. IEEE Radio and Wireless Conf., Boston, MA, Aug. 2002, pp. 103–106. [15] W. C. Jakes, Microwave Mobile Communications. Englewood Cliffs, NJ: IEEE Press, 1974.

Ka

Serioja Ovidiu Tatu (M’05) received the B.Sc. degree in radio engineering (with honors) from Polytechnic University, Bucharest, Romania, in 1989, and the M.Sc.A. degree and the Ph.D. degree in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2001 and 2004, respectively. He is currently an Assistant Professor with the Institut National de Recherche Scientifique—Énergie Matériaux et Télécommunications, Montréal, Montréal, QC, Canada. He was with the National Company of Telecommunications, RomTelecom, Bistrita-Nasaud, Romania, where he was an RF Engineer and Head of the Telecommunications Laboratory from 1989 to 1993, and from 1993 to 1997, he was the Technical Manager. His current research interests are the microwave circuit design, hardware- and software-defined radio receivers, and radar systems.

Emilia Moldovan received the B.Sc. degree in electrical engineering from the Polytechnic University, Cluj-Napoca, Romania, in 1980 and the M.Sc.A. degree from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2001, where she is currently working toward the Ph.D. degree. From 1982 to 1997, she was a Telecommunication Engineer with the Quality of Service Department, National Company of Telecommunications, RomTelecom, Bistrita-Nasaud, Romania. Her research interests include passive microwave circuit design, telecommunications, and radar systems.

2775

Ke Wu (M’87–SM’92–F’01) received the B.Sc. degree in radio engineering (with distinction) from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph. D. degrees in optics, opto-electronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble, Grenoble, France, in 1984 and 1987 respectively. He is a Professor of Electrical Engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering. He was a Visiting or Guest Professor with many universities around the world. He also holds an Honorary Visiting Professorship and a Cheung Kong Endowed Chair Professorship (visiting) at Southeast University and an honorary professorship at the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, Montréal, QC, Canada, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME). He has authored or coauthored over 390 referred papers and several books/book chapters. His current research interests involve hybrid/monolithic planar and nonplanar integration techniques, active and passive circuits, antenna arrays, advanced field-theory based computer-aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave, Optical Technology Letters, and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor for the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. He has held many positions in and has served on various international committees, including the Vice Chairperson of the Technical Program Committee (TPC) for the 1997 Asia-Pacific Microwave Conference, the General Co-Chair of the 1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the General Chair of 8th International Microwave and Optical Technology (ISMOT’2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the General Co-Chair of the RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected into the Board of Directors of Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTTS/APS/LEOS in Montreal, Canada, and the chapter coordinator for MTT-S Region 7. He was the recipient of a URSI Young Scientist Award, Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award “Prix Poly 1873 pour l’Excellence en Recherche” presented by the Ecole Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics and engineering from the French-Canadian Association for the Advancement of Science (ACFAS), and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award. He is a Fellow of the Canadian Academy of Engineering (CAE).

Renato G. Bosisio (M’79–F’95–LF’04) was born on June 28, 1930, in Monza, Italy. He received the B.Sc. degree in mathematics and physics from McGill University, Montréal, QC, Canada, in 1951 and the M.A Sc. degree in electrical engineering from the University of Florida, Gainesville, in 1963. From 1951 to 1953, he was a Research Scientist with the Defense Research Telecommunications Establishment (Electronics Laboratory), Ottawa, ON, Canada. From 1953 to 1959, he was with the Canadian Marconi Company, Montréal, and was also with the English Electric Valve Company, Chelmsford, U.K., where he

2776

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

was involved with microwave magnetrons. From 1959 to 1964, he was with the Sperry Electron Tube Division, Gainesville, FL, where he performed research and development work on microwave-crossed field devices. From 1964 to 1965, he was with the Varian Associates of Canada, Georgetown, ON, Canada, where he was engaged in the development of traveling wave tubes. He then joined the faculty at the University of Montreal, Montreal, where he became Associate Professor in Electrical Engineering at the École Polytechnique de Montréal in 1965, Professor and Head of the Electromagnetic and Microwave Group in 1971, Head and founder of the Advanced Microwave Research Group (Grames) in 1990, and Emeritus Professor in 1995. In 1994, he founded and headed the Poly-Grames Research Centre, Montréal, for graduate student research in the design, fabrication, and measurement of microwave/millimeter wave devices, components, and subassemblies destined for use in advanced radar and communication systems. He has authored or coauthored 185 journal publications, 230 refereed conference presentations, and numerous technical reports and industrial consultations in microwaves and millimeter waves. He has also been involved in numerous microwave innovations and holds 12 patents. His research interest cover a wide area in microwave/millimeter wave related to advanced wireless local area networks, satellite/satellite/earth communication links, and point-topoint communications and automotive guidance systems. His research topics include automated and computer-aided microwave/millimeter wave instrumentation and measurements, characterization of dielectric materials and electron devices, high power microwave power applications, design/fabrication/test of microwave/millimeter wave monolithic and hybrid integrated circuits and the development of new microwave/millimeter wave “Six-Port Technology” for direct digital receivers, radar and intelligent integrated sensors. Prof. Bosisio is a member of Sigma Xi Research Society at McGill University, Phi Kappa Phi Learned Society at the University of Florida (1963), and of l’Ordre des Ingénieurs du Québec (1965). He was the recipient of a number of awards, including the “McNaughton Medal” of IEEE Canada (2004), the IEEE Canada “Outstanding Educator Award” (1996), the École Polytechnique “Outstanding Professor Award” (1973), Canada Patents and Development Limited “The Inventor Award” (1971).

Tayeb A. Denidni (M’98–SM’04) received the B.Sc. degree in electronic engineering from the University of Setif, Setif, Algeria, in 1986, and the M.Sc. and Ph.D. degrees in electrical engineering from Laval University, Quebec City, QC, Canada, in 1990 and 1994, respectively. From 1994 to 1996, he was an Assistant Professor with the Engineering Department, Université du Quebec in Rimouski (UQAR), Rimouski, QC, Canada. From 1996 to 2000, he was also an Associate Professor at UQAR, where he founded the Telecommunications laboratory. Since August 2000, he has been with the Personal Communications Staff, Institut National de la Recherche Scientifique (INRS-EMT), Université du Quebec, Montreal, QC, Canada. His current research interests include planar microstrip antennas, dielectric resonator, antennas, adaptive antenna arrays, microwave and RF design for wireless applications, phased arrays, microwave filters, RF instrumentation and measurements, microwave and development for wireless communications systems. He has authored more than 60 papers in refereed journals and conferences. Dr. Denidni is a Member of the Order of Engineers of the Province of Quebec, Canada. He is also a Member of URSI (Commission C).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2777

Application of Bifurcation Control to Practical Circuit Design Ana Collado and Almudena Súarez, Senior Member, IEEE

Abstract—Bifurcations delimit the operation bands of many nonlinear circuits. Thus, the capability to preset these bifurcations at the desired parameter values will be of invaluable interest for practical design. In this paper, simple conditions for bifurcation control are presented. They are based on the use of auxiliary generators and can be implemented in both in-house and commercial harmonic-balance simulators. The control is performed through the introduction into the circuit of feedback networks, whose values are calculated in order to fulfill the desired bifurcation conditions. Through the control techniques, it is possible to preset the operation bands of complex circuits, such as synchronized and voltage-controlled oscillators and frequency dividers. They also enable the elimination of hysteresis phenomena. They have been tested by means of their application to practical microwave circuits, with very good results in comparison with measurements. Index Terms—Bifurcation, hysteresis, frequency divider, synchronized oscillator, voltage-controlled oscillator.

I. INTRODUCTION

T

HERE is an increasing demand, in modern communication systems, of radio-frequency circuits, with high performance. In order to meet the circuit specifications, accurate simulation tools are required at the design stage. This simulation is specially demanding in the case of autonomous circuits, such as free-running or synchronized oscillators and frequency dividers. The autonomous regime is characterized by the coexistence of mathematical solutions, and therefore, the stability analysis is essential [1]. The solution has to be stable to be observable, but the stability properties of a solution may qualitatively change when a parameter is varied. Examples of parameters are the amplitude or frequency of an input generator, or a linear-element value [1]–[3]. A bifurcation is a qualitative variation in the stability of a given steady-state solution or a change in the number of steadystate solutions when a parameter is continuously modified [1], [4]. Examples of bifurcations, commonly observed in measurements, are the division by two of the fundamental frequency, the hysteresis, or the onset of oscillation frequencies. The bifurcations are especially relevant in the case of circuits such as free and subsynchronized oscillators or frequency dividers, since bifurcations lead them to the regime in which they are expected to operate. In other circuits, bifurcations are undesired. They often delimit the stable operation ranges of power amplifiers or frequency multipliers, for instance.

Manuscript received August 14, 2004; revised February 8, 2005. This work was supported under the Spanish CYCIT Project TIC2002-03748. The authors are with the Communications Engineering Department, University of Cantabria, ETSIIT, 39005 Santander, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854180

In previous literature [2], [3], [5], bifurcation conditions, implementable on harmonic balance (HB), were obtained for an accurate determination of the nonlinear-circuit operation bands. However, the designer was unable to preset the parameter values at which the bifurcation was desired. For example, it was not possible to impose the power threshold for frequency division in a divider circuit or the limits of the frequency band. In similar manner, it was not possible to impose mathematical conditions in order to eliminate the hysteresis versus the tuning voltage of a voltage-controlled oscillator (VCO), which could only be suppressed through a trial-and-error process. Due to their relevance on the circuit response, the capability to not only detect the bifurcations but also preset them would be invaluable for an optimum global design of the nonlinear circuit. This can be achieved by bifurcation control [6]–[8]. In most previous literature on bifurcation control, the controlled systems are specific nonlinear equations in which feedback functions, or controllers, are introduced [6], [7]. The controller must fulfill certain conditions in order to set the bifurcation, with given characteristics, at the desired parameter value. These conditions are derived from the Taylor expansion of the controlled nonlinear system, about the bifurcation point [6]. In the frequency domain, bifurcation-control techniques, based on the describing function, have been presented [8]. In these techniques, the critic poles of the associated linearized system are set to the desired bifurcation values, through a specific feedback function. In [9], a synthesis technique for period-doubling bifurcations is proposed. Instead of using feedback, the necessary load impedance, at the critic frequency, is determined and synthesized. The technique relies on the conversion-matrix approach. The objective of this paper has been to develop a practical method for bifurcation control in microwave circuits, implementable on both in-house and commercial HB simulators. The bifurcation conditions to be imposed in the control method are obtained through the introduction into the circuit of an auxiliary generator (AG). The AG technique was originally developed for the HB simulation of autonomous regimes [10]. Then, modifications were introduced to extend the AG capabilities to bifurcation detection [1], [5] and to the analysis of bifurcation routes to chaos [11], [12]. Here, a new variation of the technique will enable the use of AGs for bifurcation control. The AG operates at the oscillation frequency [1], fulfilling a nonperturbation condition of the steady state, and prevents the HB convergence toward degenerate, nonoscillating solutions. The AG capability for bifurcation control comes from the intimate relationship between this artificially introduced generator and the self-generated oscillation. Unlike other frequency-domain techniques, the AG-based control does not require the de-

0018-9480/$20.00 © 2005 IEEE

2778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

termination of the characteristic system, associated to the nonlinear steady-state solution of the controlled system. Compared with previous literature in the nonlinear-dynamics field, emphasis is made here on the practical applicability of the control techniques, using a minimum number of mathematical conditions. Specific feedback networks are introduced beforehand. When controlling the onset of oscillations and subharmonic frequencies, these networks will be of the low-pass-filter type. The AGs are used to impose simple bifurcation conditions, depending on the elements of the feedback network. The response of the controlled circuit should match the original response as much as possible, except for the specific control action. When controlling the onset of subharmonic frequencies, the reduced influence is enabled by the low-pass nature of the introduced feedback network. The paper is organized as follows. Section II shows the main principles of the bifurcation control based on the use of AGs, together with an analytical example. Sections III–V present the control of flip bifurcations, turning points, and co-dimension-2 bifurcations, respectively. Section VI presents the transformation of a subcritical bifurcation, associated to hysteresis, into a supercritical one. The different sections are illustrated with practical applications to synchronized and voltage-controlled oscillators and frequency dividers. The action of the control techniques is confirmed with simulations and measurements. II. PRACTICAL BIFURCATION CONTROL WITH THE AID OF AUXILIARY GENERATORS A. General Concepts The most common types of bifurcation versus a parameter can be classified into two main groups. The first group includes bifurcations associated to the onset or extinction of oscillation frequencies, such as the Hopf bifurcation, from either dc or a periodic regime at , and the flip bifurcation, from periodic regime. The second group includes bifurcations associated to infinite-slope points of the solution curves versus the parameter . These solution curves are traced in terms of the output power or the magnitude of a harmonic component of any circuit variable. Turning points may give rise to jumps and hysteresis [1]. However, in periodic solution curves of injected oscillators, they may also be points at which synchronization or desynchronization takes place [1]. In a previous literature [1], [5], it was shown how the two groups of bifurcations could be detected in HB with the aid of an AG. The AG operates at the self-oscillation frequency (which may be synchronized or not) and has amplitude and phase . In case of a voltage AG, connected in parallel at a sensitive circuit node [5], an ideal bandpass filter, in series with the generator, is necessary to prevent the short-circuiting of other frequencies. To avoid the perturbation of the steady-state solution, the AG must exhibit a zero current-to-voltage ratio at . This complex equation the delivered frequency , with being the set of is added to the HB system harmonic components of the state variables. Together with , and , in the case of the variables to calculate will be an autonomous oscillation, and and in the case of a synchronized oscillation or a subharmonic regime.

Due to the continuity of Hopf and flip bifurcations, the amplitude of newly generated oscillation or subharmonic components, respectively, tends to zero at the bifurcation point [1], [5]. When using an AG, the incipient bifurcations are detected by imposing a very small amplitude to this generator and determining the parameter value that fulfills . The turning-point detection using an AG requires knowledge of the Jacobian matrix associated to the nonperturbation equa. This Jacobian matrix is given by tion (1) stands for either or , depending on the type where of regime. The derivatives in (1) are calculated through increments, and the HB equations must be fulfilled at each increment. beIn [1] and [5], it is shown that the Jacobian matrix comes singular at the turning points. Thus, turning points are , which also solutions of the nonperturbation equation fulfill . In bifurcation control, the bifurcation properties of a nonlinear circuit are modified through the synthesis of specific feedback functions [7]. A typical control action is the change of the parameter value of an existing bifurcation point. It is also possible to modify the bifurcation characteristics, from subcritical to supercritical, for instance [7]. Another action would be the introduction of a new bifurcation at a desired parameter value. In the frequency domain [8], [9], the conditions to be satisfied by the controller are determined from the characteristic system, associated to the nonlinear solution at the bifurcation point. The AG provides an alternative “black-box” technique that does not require the explicit determination of the characteristic system. After inclusion of the feedback network, the values of the elements of this network are determined in order to fulfill the desired bifurcation conditions, imposed by means of the AG. An analytical example is presented in the following. B. Analytical Example For clarification, a simple example, enabling the shifting of the Hopf bifurcation in a parallel resonance oscillator, will be presented. The circuit is shown in Fig. 1. The example is by no means a practical one. The purpose is only to illustrate the principles of the AG-based control technique. The dc solution of the circuit in Fig. 1, in which no dc generators are considered, is given by . The stability of this dc solution is determined by considering a small-amplitude perturbation of complex frequency and linearizing the nonlinear element about the dc solution. This provides the following characteristic equation of the uncontrolled circuit [Fig. 1(a)]: (2) The corresponding poles

are given by

(3)

COLLADO AND SÚAREZ: APPLICATION OF BIFURCATION CONTROL TO PRACTICAL CIRCUIT DESIGN

=

+

Fig. 1. Parallel resonance oscillator, with a cubic nonlinearity i av ;b > . (a) Uncontrolled circuit. (b) Addition of a resistance for bv :a < bifurcation control.

0

0

Considering the linear conductance as parameter, a Hopf bifurcation takes place for and . A possible control objective would be the shifting of this bifurcation . To do so, in this simple exto the new conductance value [see Fig. 1(b)] is introduced. Then, the ample, the resistance roots of the characteristic equation, or system poles, become

2779

The aim of the control will be to modify the circuit bifurcation properties, versus a parameter , with minimum variation of the controlled-circuit response, in comparison with the original one. In the literature [6]–[8], equality is imposed, at the bifurcation point, between the solutions of the uncontrolled and controlled systems. However, in the case of autonomous circuits, like forced oscillators and frequency dividers, the designer is interested in the solution after the bifurcation. On the other hand, the supercritical nature of the bifurcation is imposed in previous literature [6], [7] by means of mathematical conditions. Except for the application in Section VI, these additional conditions on the nature of the bifurcation will not be considered here to avoid the computational complexity. Instead, the stability of the bifurcated solutions of the controlled circuit will be verified in each case. It must also be taken into account that the bifurcation control is inherently local. The variation of the circuit response when control is applied will depend on the particular choice of feedback network and control parameters. III. CONTROL OF FLIP BIFURCATIONS A. Mathematical Conditions

(4) which, as expected, agree with the poles of the original system . From (4), it is possible to calculate so as to for obtain the Hopf bifurcation at the desired conductance value . This is given by

be considLet a stable periodic regime at the frequency ered, at a given value of the parameter . Variations in will be now carried out. A flip bifurcation from the periodic regime at , occurring at , would lead to a subharmonic solution at . Introducing a feedback network with parameters , the flip bifurcation can be shifted from to by solving

(5) As can be easily verified, the frequency value, at the bifurcation . point, remains at Next, the bifurcation-control technique, based on the use of to . an AG, will be used to shift the Hopf bifurcation, from The AG controls the incipient solution after the bifurcation, and so the oscillator steady-state equation should be written under . Asthe condition of very small oscillation amplitude suming one harmonic component, the nonlinear element can be modeled with its describing function. The steady-state equation , is given by of the circuit, after the introduction of

(6) is the conductance value at which the bifurcation is where value, in order to have a Hopf bifurdesired. From (6), the cation at , should be (7) which agrees with (5) for . In turn, AG frequency ful. Thus, the two methods agree to accuracy fills . degree

(8) at the subharmonic component Small amplitude is imposed, which is fulfilled in the immediate neighborhood V. Beof the bifurcation point. A practical value is is a complex quantity, two real equations must be cause solved. No particular constraints will generally be imposed on . In order to have a square system, this variable, together with one single control parameter , should be calculated to fulfill (8). However, the use of two or more control parameters in combination with error minimization or optimization algorithms widens the solution possibilities. The solving strategy is based on a two-tier procedure. The outer tier is the nonperturbation equation (8). The inner tier is the HB system, to be fulfilled and . for each variation of System (8) can be implemented on commercial HB software in which optimization routines are available. The AG of fixed and frequency is made up amplitude using a standard radio-frequency (RF) voltage source from the element library. The ideal bandpass filter in series with the voltage generator is implemented with an impedance box, whose value is assigned by means of a conditional sentence. Then, the system (8) is solved through HB optimization. Practical values for the optimization goals are mho, mho. A practical application of system (8) is described in the following.

2780

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Schematic of a regenerative divider by two, in hybrid technology, with f

B. Reduction of the Input-Power Threshold in Regenerative Dividers In contrast with harmonic-injection dividers, regenerative dividers [13] do not oscillate in the absence of input signal, which is advantageous for their integration in communication systems. As a consequence, they have the drawback of requiring relatively high input power for the frequency division. Control of flip bifurcations can be used to reduce the power threshold for frequency division in frequency dividers by two. As an example, this technique will be applied to a regenerative divider by two, 10.4 GHz, in hybrid technology. with input frequency The schematic is shown in Fig. 2. The transistor is a Siemens GaAs FET (CFY30). Series feedback at the divided frequency is introduced at the transistor source. An input bandpass filter is used to ensure the presence of the drive signal at the transistor gate. The output consists of a dc-block capacitor, plus a simple matching network at the divided frequency. In the divider of Fig. 2, the flip bifurcation, enabling the frequency division by two, originally takes place for the input 5 dBm. For the reduction of this value, a feedpower back network has been introduced, containing three passive elements: an inductance , a capacitance , and a resistance . The network acts as a low-pass filter with high insertion loss. It increases the feedback amount at the subharmonic frequency and helps the self-generation of this frequency component. The feedback amount decreases with the resistance value. On the other hand, the low-pass characteristic of this network ensures minimum discrepancy with the response of . the uncontrolled circuit in the nondivided regime at For the control of the flip bifurcation, (8) has been solved through optimization in commercial HB. The considered bifur. The cation parameter is the input-generator power are the feedback inductance (implecontrol parameters in mented on transmission line) and the feedback resistance. For , the eleeach desired value of the flip bifurcation power ments of and are optimized in order to fulfill the goals mho, mho. The optimization is performed in combination with a HB simulation with 15 harmonic components.

= 10.4 GHz. For a general evaluation of the capabilities of the technique, has been swept down to the desired flip-bifurcation power 15 dBm, obtaining, at each step, the required values of the and resistance versus this power. feedback inductance deThe results are shown in Fig. 3(a). As can be seen, as creases, a fast variation of the feedback elements is initially obvalue becomes too tained. However, when the imposed small, there is a sensitivity reduction, due to the system approaching a linear regime. In Fig. 3(b), the output power at the subharmonic frequency has been traced versus the generator input power for the initial circuit and for different realizations of the feedback network, according to the results of Fig. 3(a). For each specified , the corresponding subharmonic curve bifurcation power is generated from exactly this input-power value. This confirms the accuracy of the technique. All the subharmonic power curves are qualitatively similar and tend to converge as the input power increases. They are all entirely stable from the flip bifurcation. The control has enhanced the linearity of the response versus the input power. The control has also been experimentally validated using a spectrum analyzer (Agilent E4407B). Prior to the flip bifurcation, there is no output power at the subharmonic frequencies. When the bifurcation occurs, spectral lines are generated at the , with To subharmonic frequencies obtain the subharmonic curves of Fig. 3(b), the output power at is measured versus the input power. Exthe spectral line perimental points are superimposed for the initial circuit (with 15 dBm. no feedback) and the controlled circuit for As can be observed, in all cases, the simulated input power for frequency division is slightly smaller than the measured input power. This is believed to be due to modeling inaccuracies of the transistor and the linear elements. A general-purpose model provided by the transistor manufacturer has been used. In spite of this, the control action is validated as the input power required for the frequency division varies in the form predicted by simulation and in similar quantitative values. In previous literature on bifurcation control [6], the equality, at the bifurcation point, between the controlled and uncontrolled

COLLADO AND SÚAREZ: APPLICATION OF BIFURCATION CONTROL TO PRACTICAL CIRCUIT DESIGN

2781

=

Fig. 4. Regenerative frequency divider by two, with f 10.4 GHz. Evolution of the pair of complex conjugate poles at f =2 = 5.2 GHz when the feedback network is synthesized, in order to shift the bifurcation point to = 2 dBm. P

0

has been analyzed in the higher input-power region, where all curves tend to converge, through the conversion-matrix approach [15], [16]. No significant variation was appreciated. For an equal noise spectrum of the input source, the phase-noise spectral density of the output signal at 100-KHz frequency offset was 100 dBc/Hz, for both the controlled and uncontrolled dividers. IV. CONTROL OF TURNING POINTS Fig. 3. Shift of the flip bifurcation in a regenerative frequency divider. (a) Variation of the values of feedback-network parameters versus the specified input power for flip-type bifurcation. (b) Output power at the divided-by-two frequency versus input power for different feedback-network values, obtained from (a). Measurements for the original curve and the controlled curve for P 15 dBm are superimposed.

=0

The edges of the synchronization bands in harmonic and subharmonic injection oscillators are given by local–global bifurcations, occurring at turning points of the periodic synchronization curves [17]. Thus, the turning-point control can be used to preset the synchronization bands of these circuits. A. Mathematical Conditions

solution is imposed. This has not been done here. However, due to its low-pass nature, the feedback network is expected to have . To verify very small influence on the nondivided regime at has also been traced this, the nondivided solution curve at in Fig. 3(b), for each of the considered controllers. All the nondivided curves overlap, which confirms the validity of the technique. Note that, in each case, the curve is stable up to the bifurcation point only. The bifurcation point is shifted, with minimum disturbance of the circuit response in the stable interval. According to Fig. 3(a), in order to shift the bifurcation point 2 dBm, the feedback element values should be to 525 7 nH. This has been confirmed by the bifurcation diagram of Fig. 3(b). As an additional test, the zero-pole identification technique [14] has been applied to the controlled circuit, with the already indicated feedback-element values. The resulting variation of the complex conjugate poles at 5.2 GHz is shown in Fig. 4. As can be observed, the pair of complex-conjugate poles cross the imaginary axis at exactly 2 dBm, which confirms the accuracy of the technique. One concern was the possible influence of the feedback network on the phase noise of the regenerative divider. This

Let an injected oscillator with the parameter be considered, which may correspond to the input power or input frequency, , a turning point is for instance. At the parameter value obtained in the solution curve of this uncontrolled circuit. The objective will be to shift this turning point to the new parameter value through the use of a feedback network. To achieve this, an AG will be introduced into the circuit. Its frequency will , in the case be a multiple of the input generator frequency of a subharmonically injected oscillator, or a submultiple, in the case of frequency divider. The turning point must be a solution and, at the same time, of the nonperturbation equation . Thus, the system fulfill the singularity condition to be solved to set the turning point at the new parameter value will be

(9) is the set of additional parameters, provided by the where element values of the selected feedback network. In system (9),

2782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Schematic of the subsynchronized oscillator at 4.2 GHz, with injection signal at 1=2 the oscillation frequency. An external low-frequency feedback loop is used to enlarge the synchronization bandwidth and control its upper edge.

three real equations must be solved, given by the zero value and . of the real and imaginary parts of , Again, no particular constraints are generally imposed on so for a square system, one single control parameter should be calculated. An error-minimization algorithm can also be used, considering the variation of two or more feedback elements. It is also possible to maintain the oscillation output power at the to a constant value. Then, the use of band edge by setting two control parameters will be necessary. are As already mentioned, the derivatives composing calculated through increments, performing an HB simulation at each increment. When using commercial HB software, these derivatives are obtained by simultaneously considering three replicas of the circuit in a same HB analysis, each one with an AG at the synchronized-oscillation frequency, at the same circuit node. The first schematic is simulated at the actual operation and . The second schematic point, corresponding to and . The third schematic is is simulated at simulated at and . In order to solve the control system (9), optimization is used, with the goals (to be fulfilled by the first schematic only) and ] = 0. The two additional schematics enable the calculation through increments of the derivatives required for the computation of . The derivative is obtained from the , with being the admittance differratio ence between the second and first schematics. In turn, the derivais calculated from the ratio , tive being the admittance difference between the third with and are and first schematics. Practical values of 2 and 0.01 V. As already stated, the three circuits are solved in a single HB simulation. B. Shift of the Frequency Band of a Subsynchronized Oscillator The method has been applied to the control of the operation the oscillation frebands of a subsynchronized oscillator at quency. In this kind of circuit, the oscillation frequency synchronizes to the second harmonic of the input signal. The frequency bandwidth is typically much smaller than in harmonically injection-locked oscillators [18]. The free-running frequency of the

4.88 GHz and the input-generator analyzed oscillator is 2.4 GHz. A bipolar transistor from frequency is about Infineon is used (see Fig. 5), with a capacitive divider and an inductor at the collector terminal. The input signal at the subharmonic frequency is introduced at the base terminal. The circuit has been manufactured in hybrid technology. At the time to choose a convenient feedback network for control purposes, attention has been paid to the good results of the low-frequency loop proposed by Chang et al. [19]. This loop enables a substantial enlargement of the synchronization bandwidth through a combination of injection locking and phase locking. The enlargement will be very convenient due to the small synchronization bandwidth that is typically obtained in subharmonically injection-locked oscillators [18]. In addition, the beneficial effect of the low-frequency feedback loop over the oscillator phase noise has been demonstrated [19], [20]. For this kind of feedback, the collector signal is extracted through a choke and introduced in a low-frequency amplifier (see Fig. 5). The amplifier output is connected to the varactor diode, and this modifies the self-oscillation frequency, reducing the frequency error, as in a phase-locked oscillator. When the feedback loop is introduced, the harmonic-injection oscillator becomes an injection-locked phase-locked oscillator [19], so significant variations can be expected in the oscillator synchronization bands. This is shown in Fig. 6(a), where the 10 dBm before (solid closed synchronization curves for line) and after the introduction of the loop (dashed line) may be compared. The curves have been traced in terms of output . In both cases, commercial power versus output frequency HB has been used, introducing an AG into the circuit and using the technique proposed in [20]. In this technique, the AG phase is swept, optimizing at each phase value the AG amplitude and in order to fulfill the goal . frequency With respect to the original solution, the closed-solution curve widens, and its orientation is modified. As has been verified, only the upper section of each closed curve is stable. In Fig. 6(a), the condition (9) for turning-point control has been applied in order to preset the upper-edge of the synchronization band (the turning point on the right-hand side) for the 10 dBm. The selected control paconsidered power

COLLADO AND SÚAREZ: APPLICATION OF BIFURCATION CONTROL TO PRACTICAL CIRCUIT DESIGN

Fig. 6. Enlargement of the operation band of a subsynchronized oscillator at 4.2 GHz, with injection signal at 1=2 the oscillation frequency. (a) Solution curves for P = 10 dBm. Solid line: Initial synchronization curve. Dashed line: Enlarged synchronization curve, through the insertion of the low-frequency feedback loop. (b) Effect of the control technique on the simulated synchronization locus, traced in terms of the input frequency and input power. (c) Experimental synchronization locus before and after the application of the control technique.

0

rameters have been the reference voltage and one of the affecting the gain of the operational amplifier. resistors

2783

In the particular case of Fig. 6(a), the upper edge of the syn4.92 GHz. chronization band has been preset to An experimental validation of the turning-point control has also been conducted. When the circuit is synchronized, equally spaced lines at the input-generator frequency are observed in the spectrum analyzer. Due to the self-oscillation, the output spectral line is higher than that of the power of the line. This kind of behavior corresponds to operation points along the upper half of the closed-synchronization curve. Within the synchronization interval, the oscillation frequency varies with as . Increasing or dethat of the input generator , the periodic solution is maintained until a value creasing is reached for which the circuit becomes desynchronized and a mixer-like spectrum is obtained. These values correspond to the turning points of the synchronization curve. Beyond them, the circuit behaves as a self-oscillating mixer, with the two fundaand . Just after synchronization loss, mental frequencies , with integer, since the the spectrum is very dense, about two frequencies and are very close. As continues to be varied, the separation between the spectral lines increases. spectral line Measurements of the output power at the versus are superimposed in Fig. 6(a), with black squares for the uncontrolled circuit and white squares for the controlled one. As can be observed, there is a shift of the experimental frequency bands. This is believed to be due to modeling inaccuracies of the hybrid-circuit components. It must be noted that the shift of the central frequency of the band is less than 1.5%, although due to the narrowness of the synchronization band, the displacement seems to be bigger. The main objective of the experiment was to show the capability to relocate the upper edge of the synchronization band. In the measurement, this has been varied in the same sense and in similar quantity as in simulation. Fig. 6(b) shows the simulation of the global effect of the control technique on the entire synchronization region, in terms of and frequency . This region is delimthe input power ited by the synchronization locus, containing the points at which takes place. All the locus points fulfill subsynchronization , with the turning-point conditions . Its lower vertex corresponds to the free-running oscillation. In Fig. 6(b), the locus in the solid line corresponds to the original circuit, with no feedback. The locus in the dashed line corresponds to the controlled circuit. To obtain the synchronization locus in commercial HB, the new technique, based on the simultaneous HB solution of three circuit replicas, has been used (see Section IV-A). The sweep, optimizing , locus is obtained through a and for each value in order to fulfill the goals . As can be seen in Fig. 6(b), the 10 dBm enables a general enlargement control at of the synchronization band for all the input-power values. Because the synchronization band has been controlled for the 10 dBm, without additional conditions power level over the free-running oscillation, there is a shift of the free-run. This can be avoided with an additional ning frequency control condition. However, it was not necessary in the case of Fig. 6(b), since the enlarged band always contains the original band for the expected input-power levels, of relatively high value. As has been shown, it is possible to preset the edge of

2784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

the synchronization for high input-generator power, with the circuit behaving nonlinearly with respect to this power. For the experimental determination of each of the two loci, values have been considered. For each , the input several is manually increased in order to obtain the point frequency at which the transition from desynchronized behavior (mixerlike spectrum) to synchronized state occurs, which corresponds is further to the turning point on the left-hand side. When increased, the transition value from synchronized to desynchronized state corresponds to the turning point on the right-hand side. The resulting experimental loci are shown in Fig. 6(c), where black squares correspond to the original oscillator and white squares correspond to the controlled one. To verify the general capabilities of the technique, in Fig. 7(a) the specified right edge of the synchronization band has been swept between 4.75 and 5.2 GHz, calculating, at each step, the required values of the feedback-network elements through the turning-point-control system (9). The collection of shifted synchronization curves is shown in Fig. 7(b). For each pair of feedback-element values, the corresponding synchronization curve is obtained in commercial HB through the AG-phase-sweep technique proposed in [20] and discussed earlier in this section. It has been verified that, for each curve, the upper edge of the synchronization band exactly corresponds to the specified frequency value in the horizontal axis of Fig. 7(a). This confirms the accuracy of the technique. As shown in Fig. 7(b), the synchronization bandwidth is barely altered by the shifting. The slight output power decrease (about 1 dB) is unavoidable, due to the intrinsic low-pass nature of the circuit elements. The stability properties of the synchronized-solution curve are preserved under the control action. The entire upper section of each closed curve is stable, as has been verified. Note the great frequency-shifting capability, compared with the relatively narrow synchronization bandwidth. The fact that the frequency shift is achieved through variation of magnitudes in the low-frequency loop enables a simple control of the division band in practical design. Measurements corresponding to the outermost synchronization curves are superimposed in Fig. 7(b). The experimental curves show the same frequency displacement already observed in Fig. 6(a). Otherwise, the action of the control technique is confirmed. As a final comment, presetting both the lower and upper edges of the synchronization band might be possible, through the consideration of two turning-point conditions of the form (9) and the use of circuit replicas. However, the resulting system becomes too complex, and the associated numerical difficulties would limit its accuracy and practical usefulness. V. CONTROL OF CODIMENSION 2 BIFURCATIONS Co-dimension-2 bifurcations require the finetuning of two parameters [1]. Two different bifurcations simultaneously occur at these points. They are typically encountered in harmonically and subharmonically injection-locked oscillators [5]. Although complex, they are meaningful bifurcations for practical design. 2, As an example, in harmonic-injection dividers by they generally provide the limit between the lower input-power range, for which division is due to harmonic synchronization,

Fig. 7. Subsynchronized oscillator at 4.2 GHz, with injection signal at 1=2 the oscillation frequency shifting of the upper edge of the synchronization band through bifurcation control. (a) Variation of the control parameters versus the specified frequency of the upper turning point. (b) Controlled synchronization curves. The shifted turning point is indicated together with the variation of the control-parameters values. Measurements for the outermost curves are superimposed.

and the higher input-power range, for which division occurs through flip bifurcation. In case of division by synchronization, the circuit behaves as a self-oscillating mixer outside the frequency-division bands, . Because the ciras it oscillates at a frequency close to cuit output filters are centered about the divided frequency, this may give rise to undesired spurs in other system components. In addition, when division takes place by synchronization, the spectrum is typically very noisy in the neighborhood of the band edges [21]. Thus, for a given input power, division by flip bifurcation may be preferred. A. Mathematical Conditions The input-power threshold for division by flip bifurcation, in 2, may be reduced through harmonic-injection dividers by control of the co-dimension-2 bifurcations. In order to see this, Fig. 8 shows the typical bifurcation loci of these dividers, in and . Dithe plane defined by the two usual parameters vision by synchronization takes place from the quasi-periodic

COLLADO AND SÚAREZ: APPLICATION OF BIFURCATION CONTROL TO PRACTICAL CIRCUIT DESIGN

regime when crossing the synchronization locus. From the periodic regime (above the Hopf bifurcation locus), the frequency division takes place through flip bifurcation, as in a regenerative divider. The dashed section of this locus indicates flip bifurcations giving rise to unstable divided solutions, so the crossing of or will have no physical this section when varying either effect. Fig. 8 shows the mathematical conditions to be fulfilled at the different bifurcation loci when using the AG technique. As can be seen, the flip-bifurcation locus and the synchronization and . At these points, the locus intersect at the two points conditions for flip bifurcation and turning point are simultaneously fulfilled, and the frequency-divided paths are generated with infinite slope. Thus, two different bifurcations simultaneously occur: a flip bifurcation and a turning point. They are bifurcation points of co-dimension-2. and relies on As in the former cases, the control of the introduction of a feedback network, with the control-pais initially given by rameter set . It will be assumed that . The objective will be to reduce to the new value . According to Section II-A and Fig. 8, the control conditions will be the following:

(10) is allowed to vary during the solution process, a slight If shift of the frequency-division band will be obtained. B. Application to a Harmonic-Injection Divider by

2

The above control technique has been applied to a harmonic2, with 9.6 GHz. The circuit is injection divider based on the bipolar transistor from Infineon (BFP405). The objective has been the reduction in 2 dB of the co-dimension-2 bi3.6 dBm to 5.6 dBm. furcation power, from In order to achieve the control, the selected feedback network has been a low-pass filter, like the one considered in the regenerative divider of Fig. 2. Thus, three additional elements are considered, respectively given by the feedback network resistance , inductance , and capacitance . Note that no research on the optimum bifurcation network has been conducted. The control system (10) is solved in commercial HB through optimization. Again, three circuit replicas are necessary. An AG is connected to each at the divided frequency of them, at the same circuit node. The first schematic operates and must be solved to fulfill the nonperturbation at condition . The amplitude value is . The and + two additional schematics operate at , respectively, and are used to determine the derivatives in , in similar manner to what was done in Section IV-A. The considered increments are 0.01 V and 2 . The optimization goals are and . and phase To achieve these goals, the AG frequency and the feedback elements are optimized.

2785

N=

Fig. 8. Sketch of the global behavior of harmonic injection dividers by 2. The mathematical conditions to be fulfilled at the different bifurcation loci and are co-dimension-2 when using the AG technique are indicated. bifurcations.

P

P

The solution curves, in terms of output power versus the divided-by-two frequency, are traced in Fig. 9, before and after the application of the control technique. The two sets of curves have been obtained in commercial HB. For the closed curves, the technique presented in [20] and discussed in Section IV-B has been used. For the open curves, the switching parameter algorithm described in [22] has been used. The curve at which co-dimension-2 bifurcation occurs is traced, in each case, with a dashed line. Note that the actual co-dimension-2 bifurcation takes place for the frequency value at which the curve is generated. As can be observed by comparing Fig. 9(a) and (b), 3.6 dBm to the control objective (a reduction from 5.6 dBm) has been achieved. A noticeable effect, associated to this lowering of the co-dimension-2 points, is the opening of the solution curves from 10 dBm. No significant quantitative variation is observed in the frequency-division bands or output power. Note and will generally belong to different that the points solution curves, as in this case. The opened curves seem to have near-infinite slope at the flip bifurcations, due to the limited accuracy of the representation. However, only at co-dimension-2 bifurcations, the slope takes infinite value as they fulfill . For , the slope is negative, and the flip bifurcation is subcritical, with no physical effect. For , the flip bifurcation is supercritical and gives rise to . the frequency division. A similar reasoning is possible for To verify the effect of the control of the co-dimension-2 bifurcation , the original and controlled circuits have been analyzed with the envelope-transient technique. The circuit variables are expressed in a Fourier series with time-varying coefficients. The fundamental of this series is the divided frequency , and an AG is used for the initialization of the oscillations [23]. In frequency-divided regime, the amplitude of the subharwill be constant. In the case of dimonic component at vision by flip bifurcation, the amplitude of this frequency component will be zero prior to the division, as the circuit initially . In the case of division by synoperates in periodic regime at chronization, the circuit behaves as a self-oscillating mixer prior to the division. Thus, the amplitude of the component at

2786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 10. Envelope-transient simulation of the harmonic-injection divider. The magnitude of the harmonic component at the divided-by-two frequency is traced versus ! =2. (a) Frequency division through synchronization in the original circuit. (b) Frequency division by flip bifurcation in the controlled circuit.

value that provides the limit between division by synchro. Proceeding in nization and division from periodic regime at this manner, a 2.1-dB reduction of the flip-bifurcation threshold was experimentally obtained. VI. TRANSFORMATION OF A SUBCRITICAL BIFURCATION INTO A SUPERCRITICAL ONE

Fig. 9. Control of co-dimension-2 bifurcations. The output power at the divided frequency is traced versus the output frequency. (a) Original circuit. (b) Controlled circuit. The input power for P (see Fig. 8) has been reduced from P 3.6dBm to P 5.6 dBm. An associated effect is the opening of the solution curves from lower input-power value.

=0

=0

will oscillate at the difference frequency between and the . Fig. 10 comactual oscillation frequency, i.e., at 3.9 dBm, the pares, for the same value of input power evolution of the magnitude of the harmonic component versus the input frequency in the original and controlled circuits. As can be seen, division occurs by synchronization in the original circuit. However, in the controlled circuit, division is due to a flip bifurcation. Thus, the control goal has been achieved. The frequency shift, agreeing with the one observed in the HB simulations of Fig. 9, is due to the fact that no constraint has been imposed in system (10) on the bifurcation frequency. values at which the co-dimension-2 bifurcation The occurs have also been measured. The value is gradually in, a manual creased from very low value, performing, for each . When division takes place through synchronizasweep in tion, a dense self-oscillating mixer spectrum is obtained prior to . When division takes place the periodic divided regime at is obthrough flip bifurcation, a clean periodic spectrum at . To experimentally tained prior to the divided regime at detect the co-dimension-2 bifurcation , attention is paid to the

In case of Hopf bifurcations from the dc regime, two different control actions may be desired. One action would be the shifting of the bifurcation point, in similar manner to what was done in Section III for a flip bifurcation. A second action, with bigger practical interest, would be the transformation of a subcritical bifurcation (associated to an undesired hysteresis phenomenon) into a supercritical one. In the case of a supercritical bifurcation, a stable periodic oscillation of amplitude A arises at the with a positive slope . In the parameter value case of a subcritical bifurcation, an unstable oscillation arises at . When the parameter value , with negative slope a subcritical bifurcation takes place, there is no stable solution in the neighborhood of the original dc solution, so a jump takes place. Subcritical bifurcations are undesired in practical design, since they are associated to hysteresis. Due to the equality between the amplitude of the first harmonic component of the oscillation signal and the AG amplitude (at the same circuit node), the condition for supercritical , at . In order to bifurcation will be achieve this condition, a feedback network with the control parameters is introduced into the circuit. Then, the control parameter values are calculated in order to fulfill

(11) The derivative in the above condition is calculated through increments in , performing a HB analysis for each increment.

COLLADO AND SÚAREZ: APPLICATION OF BIFURCATION CONTROL TO PRACTICAL CIRCUIT DESIGN

2787

REFERENCES

Fig. 11. Transformation, through control techniques, of a subcritical Hopf 5.3 GHz. Dashed line: bifurcation into a supercritical one in a VCO at f Original circuit. Solid line: Controlled circuit.

=

When using commercial HB, the condition on the derivative sign can be imposed by using two circuit replicas. One operates and must fulfill . The second one operates at . The feedback-network parameters are optiat and . mized so as to achieve the goals The circuit is solved in a single HB analysis. This control technique has been applied to eliminate the hysteresis in a VCO at 5.3 GHz. When the varactor capacitance is varied, of Hopf bifurcation of subcritical type gives rise to the oscillation. The oscillation amplitude is traced with a dashed line in Fig. 11. At the bifurcation point, the condition is fulfilled. For the change of sign of this derivative, a feedback network of the same kind as in previous examples has been used, with a resistance, an inductor, and a capacitance. The conditions (11) have been imposed, using the . For the feedback-network capacitance increment 3 nH, 780 100 pF, the derivative values becomes positive, and a supercritical bifurcation is obtained. The variation of the oscillation amplitude is represented with solid line in Fig. 11. Note the reduced range of capacitance in the horizontal axis. In a larger range, minimum discrepancy is obtained between the output-power values of the two solution curves. In this case, no measurements are provided, due to the difficulty in accurately characterizing the hysteresis phenomenon in such a narrow range of capacitance values. VII. CONCLUSION Mathematical conditions for bifurcation control on harmonic-balance simulators have been presented. The conditions are based on the use of auxiliary generators and require the introduction of feedback networks in the nonlinear circuit. It enables the shifting of the operation bands in oscillators and frequency dividers. Undesired hysteresis phenomena can also be eliminated. The new techniques have been applied to a regenerative divider, a subsynchronized oscillator, a harmonic injection divider, and a voltage-controlled oscillator (VCO), for different control actions. Good experimental results have been obtained, with a significant improvement of the circuit performance in all cases.

[1] A. Suárez and R. Quéré, Global Stability Analysis of Microwave Circuits. Boston, MA: Artech House, 2003. [2] V. Rizzoli and A. Neri, “State of the art and present trends in nonlinear microwave CAD techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 343–356, Feb. 1988. [3] G. M. Maggio, M. Gilli, and M. P. Kennedy, “An approximate analytical approach for predicting period-doubling in the Colpitts oscillator,” in Proc. Int. Symp. Circuits Systems (ISCAS’98), vol. III, Monterey, CA, May 31–Jun. 3 1998, pp. 671–674. [4] H. Kawakami, “Bifurcation of periodic responses in forced dynamic nonlinear circuits: Computation of bifurcation values of the system parameters,” IEEE Trans. Circuits Syst., vol. 31, no. 3, pp. 248–260, Mar. 1984. [5] A. Suárez, J. Morales, and R. Quéré, “Synchronization analysis of autonomous microwave circuits using new global stability analysis tools,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 494–504, May 1998. [6] G. Chen, J. L. Moiola, and H. O. Wang, “Bifurcation control: Theories, methods and applications,” Int. J. Bifurcation Chaos, vol. 10, no. 3, pp. 511–548, Mar. 2000. [7] E. H. Abed and J. H. Fu, “Local feedback stabilization and bifurcation control,” Syst. Control Lett., vol. 7, pp. 11–17, 1986. [8] R. Genesio, A. Tesi, H. O. Wang, and E. H. Abed, “Control of period-doubling bifurcations using harmonic balance,” in Proc. 32nd Conf. Decision Control, San Antonio, TX, Dec. 1993, pp. 492–497. [9] G. Leuzzi and F. Di Paolo, “Bifurcation synthesis by means of harmonic balance and conversion matrix,” in Proc. Gallium Arsenide Applications Symp. (GAAS 2003), Munich, Germany, Oct. 2003, pp. 521–524. [10] A. Anakabe, J. M. Collantes, A. Suarez, J. Jugo, and J. Portilla, “Analysis of microwave frequency dividers in harmonic-balance simulators,” in IEEE Int. Conf. Electronics Circuits Systems, vol. 3, Sep. 2001, pp. 1575–1578. [11] A. Suarez and J. M. Collantes, “A new technique for chaos prediction in RF circuit design using harmonic-balance commercial simulators,” IEEE Trans. Circuits Systems I, Fundam. Theory Appl., vol. 46, no. 11, pp. 1413–1415, Nov. 1999. [12] A. Suarez and J. M. Collantes, “Harmonic balance analysis of two bifurcation routes to chaos,” in Proc. IEEE MTT-S Int. Microwave Symp., vol. 2, Jun. 1999, pp. 767–770. [13] C. Rauscher, “Regenerative frequency division with a GaAs FET,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 11, pp. 1461–1468, Nov. 1984. [14] A. Anakabe, J. M. Collantes, J. Portilla, J. Jugo, S. Mons, A. Mallet, and L. Lapierre, “Analysis of Odd-Mode parametric oscillations in HBT multi-stage power amplifiers,” in 11th Eur. Gallium Arsenide Other Compound Semiconductor Application Symp., Munich, Germany, Oct. 2003, pp. 533–536. [15] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [16] J. C. Nallatamby, M. Prigent, J. C. Sarkissian, R. Quéré, and J. Obregón, “A new approach to nonlinear analysis of noise behavior of synchronized oscillators and analog-frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1168–1171, Aug. 1998. [17] V. Araña, A. Suárez, and P. Dorta, “Dual-band frequency divider, based on oscillation control,” in 2004 IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1501–1504. [18] A. S. Daryoush, T. Berceli, R. Saedi, P. Herczfeld, and A. Rosen, “Theory of subharmonic synchronization of nonlinear oscillators,” IEEE MTT-S Dig., pp. 735–738, 1989. [19] H. C. Chang, A. Borgioli, P. Yeh, and R. A. York, “Analysis of oscillators with external feedback loop for improved locking range and noise reduction,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1535–1543, Aug. 1999. [20] F. Ramírez, E. de Cos, and A. Suárez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003. [21] S. Ver Hoeye, A. Suarez, and S. Sancho, “Analysis of noise effects on the nonlinear dynamics of synchronized oscillators,” IEEE Microw. Compon. Lett., vol. 11, no. 9, pp. 376–378, Sep. 2001. [22] E. Palazuelos, A. Suárez, J. Portilla, and F. J. Barahona, “Hysteresis prediction in autonomous microwave circuits using commercial software application to a -band MMIC VCO,” IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1239–1243, Aug. 1998.

Ku

2788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[23] E. de Cos, F. Ramírez, and A. Suárez, “Multi-harmonic generator based on the synchronization of a nonlinear bipolar oscillator,” presented at the IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003.

Ana Collado was born in Santander, Spain. She received the telecommunications engineering degree from the University of Cantabria, Santander, Spain, in 2002. She is currently working toward the Ph.D. degree at the University of Cantabria. Her areas of interest include the development of techniques for practical bifurcation control, the investigation of coupled-oscillator systems, and the stability analysis of power amplifiers.

Almudena Súarez (M’96–SM’01) was born in Santander, Spain. She received the electronic physics degree and the Ph.D. degree from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she worked on nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1993, she has been an Associate Professor (permanent since June 1995) at the University of Cantabria and a Member of its Communications Engineering Department. She has coauthored the book Stability Analysis of Microwave Circuits (Norwood, MA: Artech House, 2003). Her areas of interest include the nonlinear design of microwave circuits and, especially, nonlinear stability and phase-noise analysis and the investigation of chaotic regimes.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2789

K - and Q-Bands CMOS Frequency Sources With X -Band Quadrature VCO Sangsoo Ko, Student Member, IEEE, Jeong-Geun Kim, Taeksang Song, Euisik Yoon, Member, IEEE, and Songcheol Hong, Member, IEEE

Abstract—Fully integrated 10-, 20-, and 40-GHz frequency sources are presented, which are implemented with a 0.18- m CMOS process. A 10-GHz quadrature voltage-controlled oscillator (QVCO) is designed to have output with a low dc level, which can be effectively followed by a frequency multiplier. The proposed multipliers generate signals of 20 and 40 GHz using the harmonics of the QVCO. To have more harmonic power, a frequency doubler with pinchoff clipping is used without any buffers or dc-level shifters. The QVCO has a low phase noise of 118.67 dBc/Hz at a 1-MHz offset frequency with a 1.8-V power supply. The transistor size effect on phase noise is investigated. The frequency doubler has a low phase noise of 111.67 dBc/Hz at a 1-MHz offset frequency is measured, which is 7 dB higher than a phase noise of the QVCO. The doubler can be tuned between 19.8–22 GHz and the output is 6.83 dBm. A fourth-order frequency multiplier, which is used to obtain 40-GHz outputs, shows a phase noise of 102.0 dBc/Hz at 1-MHz offset frequency with the output power of 18.0 dBm. A large tuning range of 39.3–43.67 GHz (10%) is observed. Index Terms—CMOS, fourth multiplier, frequency doubler, pinchoff clipping, quadrature, second multiplier, transformer, voltage-controlled oscillator (VCO).

I. INTRODUCTION

F

ULLY integrated CMOS millimeter-wave frequency sources have garnered great attention due to their low cost and integrability with other analog and digital circuits, although the Si substrate has higher loss than the GaAs substrate. Recently, the phase noise of CMOS voltage-controlled oscillators (VCOs) in the -band has become comparable to that of GaAs VCOs [1]. Above the -band, it is difficult to design a CMOS VCO with high performances. One of the reasonable approaches to improving performance is frequency doubling. There are several reasons to use a lower frequency oscillator with a frequency doubler instead of an oscillator at a higher frequency. The phase noise of the latter is usually higher than that of the former. Furthermore, the use of a frequency doubler is beneficial for a high-frequency phase-locked loop (PLL). and a frequency Generally, a VCO oscillates up to divider operates up to 1/4 1/2 of . It is very difficult to increase the operating frequency of a frequency divider up to the output of a high-frequency VCO. A frequency doubler (or multiplier) with a VCO has half the frequency output. Thus, the

maximum operating frequency of a frequency divider followed by a VCO in PLL is mitigated by half [2]. Several monolithic microwave integrated circuit (MMIC)based millimeter-wave frequency doublers have been reported in the III-V process. These devices have a single-ended or a balanced topology [3], [4]. The latter allows the broad-band suppression of an input frequency, but the power consumption is larger than that of a single-ended topology. The quadrature signals can be readily developed into a pair of differential sigand further developed into a signal of by nals of balanced doubling. To get a high-quality signal of a frequency multiplier, accurate quadrature signals with low phase noises are required. A simple method to obtain accurate quadrature signals with low phase noises is to couple two symmetric LC-tank VCOs. This method was implemented successfully in the -band. However, above the -band, there have been a few reports on this method, especially with CMOS technologies. Due to the poor properties of integrated inductors, their phase-noise properties are even inferior to those of GaAs VCOs. Although a distributed VCO (DVCO) is an attractive solution with the CMOS process, it demands larger chip area than a VCO with an LC-tank. To improve the factor of an integrated inductor in the CMOS process, a transformer is used in a QVCO [1]. Two coupled LC-tanks with a transformer can improve the factor of a resonator. Moreover, the transformer in the proposed VCO separates gate biases of series-connected transistors from cross-coupled RF signals [1]. Owing to this property, the current source in the proposed VCO can be removed, while the VCO maintains low phase noise under low power supply. Furthermore, the VCO allows RF outputs with low dc bias. This is beneficial when the VCO is connected to a frequency multiplier. In this paper, the QVCO is designed to have output with a low dc level and the 20- and 40-GHz frequency multiplier is proposed, which is integrated with the QVCO in 0.18- m CMOS process. Section II explains the topology and the noise reduction mechanism of the proposed quadrature VCO. Section III shows how to implement the frequency multiplier with the proposed VCO. The measured results are presented in Section IV. II. DESIGN OF

Manuscript received August 12, 2004; revised January 24, 2005. This work was supported in part by the Korea KOSEF under the ERC Program through the MINT Research Center, Dongguk University. The authors are with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305701, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854179

-BAND CMOS QUADRATURE VCO

Fig. 1 shows block diagrams of a conventional balanced frequency doubler and a fourth-order frequency multiplier with quadrature VCOs. The pinchoff clipper and the push–push block are used to increase the power of harmonics [4]. The input of a pinchoff clipper requires a lower dc level, while the

0018-9480/$20.00 © 2005 IEEE

2790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 1. Block diagrams of frequency sources with: (a) a frequency doubler and (b) a fourth-order frequency multiplier. The buffer and dc-level shifter are lossy and power consuming. The proposed VCO is designed not to use these blocks.

dc level of a VCO output is equal to the power supply voltage or half of that in a conventional VCO. Thus, a dc-level shifter is needed. However, a dc-level shifter and a buffer are lossy and consume large amounts of power. A low loss buffer in the CMOS process draws a great deal of current which causes the degradation of the phase-noise property. In general, a differential VCO uses a current source to start oscillations reliably and the phase noise of the VCO is hardly increased by a large output swing [7]. On the other hand, a VCO without a current source can operate with a low power supply. In the proposed QVCO, as shown in Fig. 2, the current source is removed while the VCO maintains the property of low noise under a low power supply. This is due to the use of a transformer and series-connected transistors. The proposed VCO has low noise due to three noise-reduction mechanisms. The first is the transformer based resonator, which has two coupled LC tanks. When each LC tank is coupled by a coupling coefficient ( ), the quality factor of the resonator is improved by a factor of [1]. is biased in the The second is that only the transistor saturation region. The transistor in the triode region shows low gain and low noise, while the transistor in the saturation region which shows high gain and high noise [10]. The transistor is used only for quadrature coupling does not need high gain. Thus, if is biased in the deep triode region, the degradation of the phase noise by is negligible. In the proposed and are connected in series and the external VCO, to bias only in the satbias voltage of is lower than uration region. Since the external control bias voltage of is though the transformer, the gate connected to the gate of bias voltage of is controllable without the influence of RF decreases, the bias current decreases. If characteristics. As the size of is large, of is about even though decreases. Thus, of increases and of decreases. At low is in the deep triode region and the noise of is negligible. Therefore, the phase noise is imis decreases. proved as The last is the improvement of loaded . Even though the biases of transistors in a VCO are in a saturation region, the large output swing of a resonator forces transistors to be in a triode region for part of a period. The low output resistance of a transistor in a triode region diminishes the loaded

Fig. 2. (a) Schematic of the proposed transformer-based VCO core. (b) The quadrature generation with the VCO core. The VCO has low phase noise without a current source.

effectively. In a conventional VCO, a current source makes become higher [5], [7]. However, the in the loaded the proposed VCO serves as a current source. Although the in the triode region is not as good as a current source, the improvement of the phase noise is similar or superior. This is due to absence of noise sources from the current source. ( ) is much lower Moreover, since the gate bias of , the period remaining in than the drain bias of the triode region is reduced. As the aforementioned mechanism, should be lowered to make the phase noise small. The proposed QVCO has two output pairs since it has a transformer-based resonator in each , and the other VCO block. One is out with a dc level of is tran with a dc level of , as shown in Fig. 2(a). If is decreased to the threshold voltage of the transistor, tran can be connected to the pinchoff clipper without any buffers and level-shifters.

KO et al.:

- AND

-BANDS CMOS FREQUENCY SOURCES WITH

-BAND QVCO

The size of determines the optimal ; thus, the in size should be selected very carefully. Transconductance the triode region is proportional to (1) where , and are the electron mobility, the gate oxide capacitance per unit area, the gate width, and the gate does not need to have high length, respectively. Although of should be positive to maintain quadragain, the cannot be under the threshold voltage ture coupling. Thus, according to (2) If is too low to couple the VCOs, one must choose a large ; however, is related to the noise power. Flicker noises are dominant in the phase noises of VCOs [8]. Although the physical mechanism of flicker noises in a MOS transistor is still not fully understood, it is widely accepted that the noise is associated with traps in the oxide–semiconductor interface [9]. Generally, the BSIM model describes flicker noise using the unified model, in which fluctuations of trapped oxide charges influence the number of channel carriers and their mobility [10], [11]. The current noise power of flicker noises in a triode region is

2791

increases. Thus, the flicker noise decreases. From (5), and the thermal noise increases as the channel width increases. This is the opposite trend of flicker noises. Accordingly, the optimal must be selected very carefully by simulations. size of Generally, a BSIM1 model of Spectre2 or Agilent ADS3 uses for simplicity. In this simulation mode, they do not use the aforementioned model but the SPICE2 model as in (6) for flicker noises. This is an accurate approximation since transistors are operated mostly in the saturation region and flicker noise is not important in most of the RF circuits, such as a power amp and an LNA. The noise power is proportional to only bias current and not the transistor size. The smaller transistor has the lower thermal noise but similar flicker noise under a fixed bias current. Thus, the total noise, which is the sum of the flicker is emand thermal noise, is decreased as the smaller size ployed. However, this is opposite to what the aforementioned BSIM model estimates. In this paper, the effects of two differ’s are compared experimentally in Section IV. ently sized This might be somewhat different from the simulation since the available model is only (6) , and are flicker noise exponential, flicker where frequency coefficient, and flicker noise coefficient, respectively [11]. III. FREQUENCY MULTIPLIERS WITH THE QUADRATURE VCO

(3) with (4a) (4b) (4c) , and are the Boltzmann’s constant, where temperature, drain current, electron charge, electron mobility, channel length, frequency, and channel noise fitting coefficient, and are technology-dependent model parespectively, , and are the gate oxide, deplerameters, and tion layer, interface trap capacitance, and threshold voltage, respectively [10]. The current noise power of thermal noise is given by

The proposed VCO in Section II has three kinds of advantages as a frequency source with frequency multipliers. The first is low phase noise. When the frequency multiplier using th harmonics makes an times higher frequency source, the redB theoretically [4], sulting phase noise is increased by 6 [6]. Thus, a VCO with low phase noise is a prerequisite to get a pure multiplied frequency source. The second advantage is that it allows the quadrature signal outputs, which can be developed or single output of by into differential outputs of using balanced multipliers. The last advantage is that the VCO has RF outputs with low dc bias, making it suitable to pinchoff clipping, which is used to increase harmonics power. A. Harmonics of Quadrature Signals A VCO shows a strong nonlinear effect due to the large output swing in the resonator. Since the nonlinear effect is related to many different physical phenomena, it cannot be modeled easily. Thus, the nonlinearity is modeled with polynois modeled as mials empirically. The output of a VCO (7)

(5) is the channel conductance per unit channel length, where which is proportional to the channel width [10]. From (3), the flicker noise of a MOSFET in a triode region is independent of the channel width if the bias voltage is is increased, decreases fixed. However, if is fixed and

1BSIM: the CMOS model by the University of California at Berkeley. [Online.] Available: http://www- device.eecs.berkeley.edu/~bsim3/ 2Spectre: the RF Simulator of Cadence. [Online.] Available: http://www. cadence.com/products/customic/spectrerf/index.aspx 3ADS: the RF simulator of Agilent. [Online.] Available: http://eesof.tm. agilent. com/

2792

where the signal. If

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

’s are the harmonic coefficients and is the input is substituted by , then we have

(8) The above equation shows that the phase of the th harmonic is raised to times. A quadrature VCO has four outputs, are 0 , 90 , 180 , and 270 . If the four signals whose phases with the different phases are inserted into (8) and two signals with a phase difference of 180 are combined into two groups, fundamental frequency components are canceled out and frequency-doubled differential signals are obtained as follows:

(9a)

Fig. 3. (a) Output signal of a pinchoff clipper derived by an input sinusoidal signal with low dc bias. (b) The output spectrum of a pinchoff clipping signal. Even mode harmonics are increased.

(9b)

nonlinearity intentionally. The common method to increase harmonics is a pinchoff clipping as shown in Fig. 3 [12]. If a transistor of the pinchoff state is derived by sinusoidal is input, the output looks like that of Fig. 3. The output represented as a multiplying cosine wave

If these two differential signals are combined once again, the fundamental and the second- and third-order harmonics are canceled out. Only the fourth-order and higher harmonics are obtained as follows:

(11a) and a square-wave (11b)

(10) The results show that a set of quadrature signals can create differential output and further create a single a pair of . Since a fundamental signal is much larger output of than other harmonics, the amplitude and phase error degrade the cancellation of unwanted harmonics. The exact 180 phase difference and the same power are required to cancel out the is multiplied in fundamental frequency. The phase error of harmonics, as shown in (8). Then, the influence of the phase frequency signal. error is more important to obtain a B. Pinchoff Clipping The harmonics power of a VCO is dependent on the factor of the resonator. However, the device nonlinearity of a negative conductance cell mainly influences harmonics. Since a MOS has high linearity and low , the harmonics of a MOS VCO are too small to use as a signal source, even though output power is increased by the push–push method. Thus, one has to introduce

The output

is modeled as

(12) The output has only even-order harmonics except the fundamental frequency. Despite the simple structure, the multiplier with a pinchoff clipping is useful to amplify even harmonics. term is equal to that of The amplitude of the at . Thus, the fourth-harmonic power of ideal clipping circuits is larger than the second one. Even harmonics are not canceled out by the push–push or balanced multiplier. However, the harmonics power above the fourth order is lower, as shown in Fig. 3(b), due to the bandwidth limitation of transistors. The higher even modes are also eliminated easily by a simple buffer or a filter since the frequency of the fourth order is much higher than that of the second order.

KO et al.:

- AND

-BANDS CMOS FREQUENCY SOURCES WITH

-BAND QVCO

2793

Fig. 5. Multiplier blocks: (a) to generate differential 2 generate a single 4 f signal.

2

Fig. 4. Block diagram of multipliers with the proposed VCO: (a) to generate a pair of differential 2 f signals and (b) to generate a single 4 f signal.

2

2

C. Implementation of a Frequency Multiplier With the Proposed VCO Fig. 4 shows the block diagrams of frequency multipliers with a quadrature VCO. The input of the pinchoff clipper needs large swing signals with a lower dc level, while the dc level of a VCO output is equal to the power supply voltage or half of that in a conventional VCO. Thus, a dc-level shifter is needed. However, the dc-level shifter and a buffer are lossy blocks. Although loss of a buffer in the CMOS process is reduced by a large bias current, this causes the degradation of the phase noise property. In addition, the large capacitor to block the dc signal is not available at high frequencies.

2f

signals and (b) to

The interstage loss is especially important since the harmonic powers of the pinchoff clipper are sensitive to the amplitude of an input voltage swing. Although loss is reduced by removing these stages, the pinchoff clipper, connected to the QVCO directly, might have an effect on the QVCO. However, the input of the clipper has high impedance since a common source stage has high input impedance and the low miller capacitance due to low load impedance of the clipper. The proposed VCO has two pairs of outputs since it has with a dc level of transformer-based resonators. One is , and the other is with a dc level of , as shown in at the Fig. 2(a). Since the VCO is designed to be can be connected to minimum point of a phase noise, the pinchoff clipper directly. As shown in Fig. 5(a), the doubler (the frequency multiplier for the second-order harmonics) block consists of clipping transistors, inductor loads, and a buffer, which is identical to the buffer of the QVCO for easy comparison. If the doubler output power is large, the matching network replaces the buffer. The multiplier for the fourth-order harmonics consists of four transistors and the fourth-order harmonics matching network. The multiplier has no buffer since the emitter follower is used in the VCO, and the doubler cannot operate until the frequency of the fourth-order harmonics. The matching circuit of the fourth-order multiplier is designed to increase the fourth harmonics power and to decrease other harmonics. As mentioned in Section III-A, the output of the clipper includes large even and small odd harmonics, except for the fundamental signal. Thus, odd harmonics are not important in the matching design. The second harmonic is larger than the fourth harmonics one due to the bandwidth of the transistor. Although the second-order multiplier is canceled in the fourth-order multiplier with the ideal balanced structure, the matching is designed to increase the fourth-order harmonic and decrease the second-order harmonics. The proposed multipliers are allowed to have an integrated frequency source of 20 or 40 GHz in the 0.18- m CMOS process. IV. EXPERIMENTAL RESULTS All of the presented integrated frequency sources are implemented using the 0.18- m CMOS process. The transistor

2794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 6. Transformer-based VCO without a current source (1280

2 710 m ).

shows typically of about 50 GHz and of about 70 GHz. This foundry provides five layers for interconnection and a 2- m-thick top analog metal. The frequency source was measured with on-wafer probing. The output spectrums and the phase-noise performance were obtained from an HP8564E spectrum analyzer and its phase-noise measurement kit. The cable loss including a probe tip in measurement setup is about 2 and 3.5 dB at 10 and 20 GHz, respectively. A.

Fig. 7. Bias current of the VCO core circuit and the output power atV of 0.4–1.8 V. : large coupling transistor; : small coupling transistor.



-Band CMOS QVCO

The proposed QVCO is shown in Fig. 6. The chip size is m including the bonding pads. Octagonal-shape transformers for LC resonators are implemented with the analog metal and fourth and fifth layers for interconnections. The quality factor of the transformer is 8 at 10 GHz which was improved by a coupling resonator [1]. The four variable and in Fig. 2 are composed of MIM capacitors capacitors and n-type accumulation MOS varactors. The MOS varactors and MIM capacitors are connected in series to reduce the total capacitance and the nonlinear effect of the varactors by large swing voltage in an output node. The external control voltage is connected to the gate of a varactor with resistors. The of sizes of capacitors are selected for the resonant frequencies at and nodes to be identical. The total capacitance of is slightly larger than that of since the capacitance of the gate node is larger than that of the drain. The width of transistors is optimized to have low phase nose and low dc level of in Fig. 2. To study the effect of size of the coupling transistor, explained in Section II, two kinds of coupling transistor widths are selected carefully. One is eight fingers with a 2.5- m-wide gate and the other is 12 fingers. Both bias currents are similar and the phase noise of the VCO with a large coupling transistor is 2 dB larger than that with a small one in a harmonic balanced simulation. As is shown in Fig. 7, the bias current of a large coupling transistor is slightly larger than that of a small one. Both output is the gate bias of the switching powers are very similar. is strongly dependent on transistor. The bias condition of [2]; thus, the bias current increases linearly with . Also, the width of the coupling transistor has hardly any effect on the output power and bias current, since the bias condition of does not vary much with the width of the coupling transistors.

Fig. 8. QVCO with a small coupling transistor (eight fingers). Phase-noise measurement at 10.37-GHz output frequency ( 117.0 dBc/Hz at a 1-MHz offset frequency, spot frequency = 1 MHz). The inset shows the output spectrum at the identical center frequency. (The output power of 7.67 dBm at center frequency. The resolution bandwidth of 30 kHz.)

0

0

The output power is almost constant as the voltage of varies, as shown in Fig. 7. The bias current decreases as decreased because the VCO has no current source. Generally, the output power of the VCO increases as the bias current increases, since the transconductance of the transistor is proportional to is conthe bias current. However, because the source of , the gain of is degenerated with the output nected to . Thus, the gain of is almost constant resistance of over the variation of because the output resistance of decreases as . Thus, the output power is not changed at the V, the and are off, and different . If the bias current and output power decrease rapidly. Figs. 8 and 9 show the measured output spectrum and phase noises at the center frequency of both VCOs. The center frequency with a large coupling transistor is 10.175 GHz, which is about 0.2 GHz lower than that with a small coupling one. The phase noise of 118.67 dBc/Hz at a 1-MHz offset frequency is improved by 1.67 dB under the supply bias of 1.8 V. This shows that the decrease of the flicker noise in the large coupling transistor is larger than the increase of the thermal noise as predicted

KO et al.:

- AND

-BANDS CMOS FREQUENCY SOURCES WITH

-BAND QVCO

2795

TABLE I COMPARISON

OF THE VCOS WITH LARGE AND COUPLING TRANSISTORS

SMALL

Fig. 9. QVCO with a large coupling transistor (12 fingers). Phase-noise measurement at 10.18-GHz output frequency ( 118.67 dBc/Hz at a 1-MHz offset frequency, spot frequency = 1 MHz). The inset shows the output spectrum at the identical center frequency. (The output power of 8.17 dBm at center frequency. The resolution bandwidth of 30 kHz.)

0

0

Fig. 11. Proposed frequency source with the frequency doubler (the second-order multiplier) and the proposed QVCO (1280 760 m ).

2



Fig. 10. Phase noises of both VCOs for V at a 1-MHz offset frequency. : small coupling transistor; : large coupling transistor.

in Section II. Fig. 10 shows the phase noise for . The phase while noise of the large coupling transistor is lower at low the phase noise is slightly higher at high . The size difference has almost no effect on the tuning ranges, which are 935 MHz and 1.19 GHz with the 0–1-5 V and 1.5–1.5-V control voltages, respectively. The widely used definition of the normalized figure-of-merit (FOM) [22] is as follows: FOM

(13)

is the oscillation frequency, is the offset frewhere is a measured phase noise, and is the power quency, consumption in a VCO core. Since the VCO with large coupling transistors has more bias current despite lower phase noise, the FOM is not increased. FOM at the minimum phase noise is about 188 dB, which is equal to that with small coupling transistors. The VCO shows the best FOM among the reported -band VCOs, as shown in Fig. 21.

The above results show that the large coupling transistor can improve the phase noise while the bias current, FOM, and tuning range are practically maintained. The measured phase noise contradicts the simulation result with a simplified noise model as predicted in Section II. This shows that the simplified noise model cannot predict the transistor noise in the triode region. To improve the phase noise of a VCO, the bias condition is selected and the VCO is optimized carefully. The measured results of both VCOs are summarized in Table I. B. Proposed Frequency Sources With the VCOs Figs. 11 and 12 show photographs of the proposed integrated frequency doubler (the second-order multiplier) and the fourthorder multiplier with the VCO, which is identical to the VCO with large coupling transistors described in Section IV-A. The chip sizes of the doubler and the multiplier are 1280 760 m and 1280 850 m , respectively, including the bonding pads. The sizes are only 7% and 20% larger than that of the VCO. The doubler is composed of the pinchoff clippers, inductive loads, and the source follower as explained in Section III. The inductor load is connected to drain of the pinchoff clippers. The spiral inductor is used for the load, since the inductor for load does not frequency. The ground using need high a factor at the first metal layer is introduced to reduce parasitic inductance. The fourth-order multiplier is composed of the four pinchoff clippers and a matching network, as explained in Section III. A microstrip transmission line is used as a matching network. When a transmission line which is used for a short stub is short

2796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 12. Proposed frequency source with the fourth-order multiplier and the proposed QVCO (1280 850 m ).

2

at the second-harmonic frequency in an ideal case, the transmission line is open at the fourth-harmonic frequency. Therefore, the transmission line can be used to amplify only the fourth harmonic in the matching network. However, the transmission line should be designed delicately in a real design. To reduce the losses of the transmission line at high frequencies, the signal line of the transmission line is implemented with the analog metal, and the first metal layer is used for the ground plane, which reduces the substrate loss. Since the frequency of the fourth-order harmonic is about 40 GHz, the length of the line is small enough to be integrated into a single chip. However, because the line width is independent of the frequency, a high impedance line and not a 50- line is used to reduce the chip size. A MIM capacitor is used to block dc bias in the VCO and the second multiplier. However, in the fourth harmonic, an interdigital capacitor is used at the output pin since MIM capacitor does not work until 40 GHz. The transmission line and the interdigital capacitor are modeled with a 2.5-D EM simulator of Agilent Momentum.4 The small pad is used to reduce the parasitic capacitance.

Fig. 13. Harmonic spectrum of the quadrature VCO (output power is 7.67 dBm at 10.33 GHz. The resolution bandwidth is 1 MHz).

0

Fig. 14. Harmonic spectrum of the frequency doubler (second multiplier) (output power is 7.83 dBm at 22.08 GHz. The resolution bandwidth is 1 MHz).

0

C. Measurement and Comparisons The output harmonics of the quadrature VCO, after the frequency doubler and after the 4th order frequency multiplier are shown in Figs. 13–15, respectively. The fundamental, second, and fourth harmonics show the maximum powers in each figure. This shows that the proposed configurations generate the selected harmonics and the others are suppressed successfully. As shown in Fig. 13, the fundamental power of the 10 GHz of the quadrature VCO is about 7.67 dBm without considering the cable and probe loss. If these losses are considered, the actual output power is about 6 dBm. The output power is expected to be increased by modifying the output buffer. The second harmonic is about 18 dBm. As shown in Fig. 14, the doubled frequency signal of about 22 GHz has about 7.8-dBm output without considering cable loss, while a fundamental power of about 11 GHz is below 20 dBm, when the higher order harmonic powers are quite low. The output power of the second harmonics is comparable to that of the fundamental 4Agilent Momentum: the 2.5D EM simulator of Agilent. [Online.] Available: http://eesof.tm.agilent. com/

Fig. 15. Harmonic spectrum of the fourth-order frequency multiplier (output power is 20.83 dBm at 40.83 GHz. The resolution bandwidth is 1 MHz).

0

harmonic of the QVCO, although the output buffer is the simple source follower. The buffer is designed identically to that of the QVCO to compare the harmonics. The doubler is the gate bias of in the VCO works successfully. and clipper transistors. The harmonic powers are strongly since is the bias of the pinchoff clippers. dependent on

KO et al.:

- AND

-BANDS CMOS FREQUENCY SOURCES WITH

-BAND QVCO

Fig. 16. Phase-noise measurement at 19.85-GHz output frequency. ( 111.67 dBc/Hz at a 1-MHz offset frequency, spot frequency = 1 MHz) The inset shows the output spectrum of the frequency doubler (second multiplier) at the identical center frequency. (The output power of 10.67 dBm at center frequency. The resolution bandwidth is f 30 kHz).

0

0

2797

Fig. 17. Bias current and phase noise of the frequency doubler (second multiplier) versus V . : VCO core current; : clipper current; : phase noise.



The second-order harmonic ouput of the multiplier increases, while the fundamental harmonic decreases with the decrease of . These results are reported in [13]. Fig. 15 shows that the fourth harmonic power of 20 dBm is larger than the other harmonics. If the losses are considered, the actual output power is about 16 dBm. The third harmonic power is quite low since the odd harmonics are suppressed by the clipper. The fundamental and second harmonics are suppressed by the balanced structure of the frequency multiplier and the harmonic matching of the output. Fig. 16 shows the measured output spectrums and the phase noises of the doubler output at a center frequency of 19.85 GHz. The phase-noise performance under a supply bias of 1.8 V is 111.5 dBc/Hz at a 1-MHz offset frequency, which is 7 dB higher than that of the QVCO. The phase noise is increased by 6 dB theoretically when the output frequency is doubled [6], and the measured degradation is 1 dB higher. This might be caused by the noises of the additional clippers and the substrate. The tuning ranges are 1.58 GHz with the 0–1.5-V range and 2.17 GHz with the 1.5–1.5-V range. The tuning range is two times larger than that of the QVCO’s tuning range. Fig. 17 shows the bias current and phase noise with respect to . The VCO core current and the clipper current are proportional to . The main noise source in the VCO is flicker noise. On the other hand, the main noise of the pinchoff clipper is channel noise since the clipper does not operate as a mixer. Although the channel noise is also proportional to bias current, the noise is not critical in the phase noise. Thus, the phase noise curve of the doubler is similar to that of the VCO, while the minimum point of phase noise is shifted by 7 dB to the higher value. The fourth-order multiplier is also measured with on-wafer probing. To measure output spectrums and the phase-noise performance up to 50 GHz, an HP8565E spectrum analyzer is used with its phase-noise measurement kit. The cable loss including a probe tip in the measurement setup is about 3.5, 3.8, 4.1,

Fig. 18. Phase-noise measurement of the fourth-order frequency multiplier) at a 39.08-GHz output frequency. ( 94.95 dBc/Hz at a 600-kHz offset frequency. The resolution bandwidth is 30 kHz.

0

and 4.5 dB at 10, 20, 30, and 40 GHz, respectively. Fig. 18 shows the measured output spectrums of the fourth multiplier at a center frequency of 39.7 GHz. The phase noise is 95.0 and 102.0 dBc/Hz at 600-kHz and 1-MHz offset frequencies, respectively, which is 16.17 dB higher than that of the VCO. The tuning ranges are 1.5 and 4.34 GHz with the 0–1.5 V and 1.5–1.5 V, respectively. The tuning range is four times larger than that of the VCO’s tuning range. Fig. 19 shows the harmonic power with respect to the tuning range. The fourth harmonics are largest all over the tuning range, and the power is almost constant. As the frequency increases, the second harmonic, which is the largest harmonic except for the fourth, decreases. Generally, a MOS transistor is used in the saturation region . Thus, the transistor is modeled to be accubelow about rate in this area. However, since the clipper is biased in the pinchoff condition near , the output matching in the fourth-order multiplier cannot be designed precisely and the output power of the fourth harmonics cannot be increased sufficiently. The matching condition is optimized at higher bias conditions than that of the design. Thus, the bias current and the phase noise are

2798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE II COMPARISON OF THE VCO, THE DOUBLER (THE SECOND-ORDER MULTIPLIER), AND THE FOURTH-ORDER MULTIPLIER

Fig. 19. Harmonic spectrum of the fourth-order multiplier. : first harmonics; : second harmonics; 5: third harmonics; : fourth harmonics.

Fig. 21. Phase noise comparison to recently published CMOS VCOs, operating in the 9–51-GHz range (FOM at a 1-MHz offset frequency).

Fig. 20. Frequency tuning ranges of: (a) the quadrature VCO, (b) the second order multiplier, and (c) fourth-order multiplier.

higher than those of the design, and the output power is about 18.0 dBm, which is at least 4.5 dB higher than that of other harmonics. If the matching is reformed with an accurate model, the phase noise and output power could be improved.

The tuning ranges of the proposed quadrature VCO and the VCO performances of the second- and fourth-order multipliers with the VCO are presented in Fig. 20. Two external tuning ports are connected to the gate and the drain of the MOS varactors in C1 and C2 of Fig. 2. The port of the drain is connected to the ground and only the port of the gate is controlled. These tuning curves are similar to each other, and the ranges are about 1, 2, and 4 GHz. The properties of the proposed frequency sources are summarized in Table II. The phase noises and FOM are compared to recently published CMOS VCOs, operating in the 9–51-GHz range, in Fig. 21. The phase noises of the three frequency sources are superior to those of other published CMOS VCOs. The phase noise of the fourth is more improved than that of the second. This result shows that proposed frequency source can be applied quite well to higher frequency applications. V. CONCLUSION Fully integrated 10-, 20-, and 40-GHz frequency sources are presented with the 0.18- m CMOS process. A 10-GHz QVCO

KO et al.:

- AND

-BANDS CMOS FREQUENCY SOURCES WITH

-BAND QVCO

is designed to have low phase noise and an output with a low dc level, which can be effectively followed by a frequency multiplier. The transistor size effect on the phase noise proves that the simplified flicker noise model is used carefully to simulate a transistor in the triode region. The proposed multipliers generate signals of 20 and 40 GHz using the harmonics of the 10-GHz QVCO. The multipliers use a pinchoff clipping to increase the output power of harmonics without any buffers and a dc-level shifter. Due to the simple structure, the multipliers have low loss and are integrable in a CMOS process. The chip sizes are only 7% and 20% larger than that of the VCO, although the sources are integrated with the VCO and the frequency multiplier. The operating frequency, phase noise, FOM, and tuning range of the proposed VCO and second- and fourth-order frequency multipliers are remarkable compared to recently published CMOS VCOs.

ACKNOWLEDGMENT The authors wish to acknowledge Samsung Electronics Company for providing the opportunity to fabricate the chip.

2799

[14] N. Fong, J.-O. Plouchart, N. Zamdmer, D. Liu, L. Wagner, P. Garry, and G. Tarr, “A 40 GHz VCO with 9 to 15% tuning range in 0.13 um SOI CMOS,” in IEEE Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2002, pp. 186–189. [15] M. A. Do, R. Zhao, K. S. Yeo, and J.-G. Ma, “Fully integrated 10 GHz CMOS VCO,” Electron. Lett., vol. 37, pp. 1021–1023, Aug. 2001. [16] C. R. C. De Ranter and M. S. J. Steyaert, “A 0.25 m CMOS 17 GHz VCO,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2001, pp. 370–371. 466. [17] H. M. Wang, “A 50 GHz VCO in 0.25 m CMOS,” in IEEE Int. SolidState Circuits Conf. Dig. Tech. Papers, Feb. 2001, pp. 372–373. [18] A. H. Mostafa and M. N. El-Gamal, “A CMOS VCO architecture suitable for sub-1 volt high-frequency (8.7–10 GHz) RF applications,” in Proc. IEEE Int. Symp. Low Power Electronics and Design, Aug. 2001, pp. 247–250. [19] H. M. Wang, “A 9.8 GHz back-gate tuned VCO in 0.35 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 1999, pp. 406–407. [20] T. K. K. Tsang and M. N. El-Gamal, “A high figure of merit and areaefficient low-voltage (0.7–1 V) 12 GHz CMOS VCO,” in Proc. IEEE RF Integrated Circuits Symp., Jun. 2003, pp. 89–92. [21] M. Tiebout, “Physical scaling of integrated inductor layout and model and its application to WLAN VCO design at 11 GHz and 17 GHz,” in Proc. IEEE Int. Symp. on Circuits and Systems, vol. 1, May 2003, pp. I-637–I-640. [22] R.-C. Liu, H.-Y. Chang, C.-H. Wang, and H. Wang, “A 63 GHz VCO using a standard 0.25 m CMOS process,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2004, pp. 446–447.

REFERENCES [1] D. Baek, T. Song, E. Yoon, and S. Hong, “8-GHz CMOS quadrature VCO usingtransformer-based LC tank,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 446–448, Oct. 2003. [2] G. Ritzberger, J. Böck, and A. L. Acholtz, “45 GHz highly integrated phase-locked loop frequency synthesizer in SiGe bipolar technology,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, 2002, pp. 831–834. [3] A. Gopinath and J. B. Rankin, “Single-gate MESFET frequency doublers,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 6, pp. 869–875, Jun. 1982. [4] D.-W. Kang, D.-H. Baek, S.-H. Jeon, J.-W. Park, and S. Hong, “A miniaturized K-band balanced frequency doubler using InGaP HBT technology,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 2003, pp. 8–13. [5] T. Song, S. Ko, D.-H. Cho, H.-S. Oh, C. Chung, and E. Yoon, “A 5 GHz transformer-coupled CMOS VCO using bias-level shifting technique,” in Proc. IEEE RF Integrated Circuits Symp., Jun. 2004, pp. 127–130. [6] S. A. Maas, “FET frequency multipliers,” in Nonlinear Microwave Circuits. Norwood, MA: Artech House, 1988, ch. 10. [7] E. Hegazi, H. Sjöland, and A. A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 1921–1930, Dec. 2001. [8] A. Hajimiri and T. H. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [9] I. Bloom and Y. Nemirovsky, “1=f noise reduction of metal-oxide -semiconductor transistors by cycling from inversion to accumulation,” Appl. Phys. Lett., vol. 58, no. 15, pp. 1664–1666, Apr. 1991. [10] K. K. Hung, P. K. Ko, C. Hu, and Y. C. Cheng, “A physical-based MOSFET noise model for circuit simulators,” IEEE Trans. Electron Devices, vol. 37, no. 5, pp. 1323–1332, May 1990. [11] Y. Cheng and C. Hu, MOSFET Modeling & BSIM3 User’s Guide. Norwell, MA: Kluwer, 1999. [12] E. O’Ciardha, S. Lidholm, and B. Lyons, “Generic-device frequencymultiplier analysis—A unified approach,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1134–1141, Jul. 2000. [13] S. Ko, J.-G. Kim, T. Song, E. Yoon, and S. Hong, “20 GHz integrated CMOS frequency sources with a quadrature VCO using transformers,” in Proc. IEEE RF Integrated Circuits Symp., Jun. 2004, pp. 269–272.

Sangsoo Ko (S’02) received the B.S. and M.S. degrees in electrical engineering from Korea Advanced Institute of Science and Technology (KAIST), Daejeon, in 1998 and 2000, respectively, where he is currently working toward the Ph.D. degree. His research interests include high-frequency voltage-controlled oscillators, frequency synthesizers, and short-range radars.

Jeong-Geun Kim received the B.S. degree and the M.S. degree in electrical engineering from Korea Advanced Institute of Science and Technology, Daejeon, in 1999 and 2001, respectively, where he is currently working toward the Ph.D. degree in the area of millimeter-wave (mm-wave) Doppler radar system. His research interests include short-range radar systems, mm-wave high-power amplifiers, and micromachined antennas.

Taeksang Song received the B.S and M.S. degrees from Korea Advanced Institute of Science and Technology, Daejon, in 2000 and 2002, respectively, where he is currently working toward the Ph.D. degree. His research interests include low-cost and extremely low-power transceiver design for disposable wireless sensor networks.

2800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Euisik Yoon (S’84–M’90) received the B.S. and M.S. degrees in electronics engineering from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, in 1990. From 1990 to 1994, he was with the Fairchild Research Center of the National Semiconductor Corporation, Santa Clara, CA, where he was engaged in research on deep-submicrometer CMOS integration and advanced gate dielectrics. From 1994 to 1996, he was a Member of Technical Staff with Silicon Graphics, Inc., Mountain View, CA, where he was involved with the design of the MIPS microprocessor R4300i and the RCP 3-D graphic coprocessor. In 1996, he joined the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, where he is now an Associate Professor. He was a Visiting Faculty Member with Agilent Laboratory, Palo Alto, CA, during the academic year of 2000–2001. His present research interests are in MEMS, integrated microsystems, and VLSI circuit design. Dr. Yoon was the corecipient of the Student Paper Award at the IEEE International Microwave Symposium in 1999 and 2000, respectively, concerning the topics on MEMS inductors and RF MEMS switch work. He has served on various Technical Program Committees including the Microprocesses and Nanotechnology Conference, the International Sensor Conference, and the IEEE Antennas and Propagation ASIC Conference. Currently, he is serving on the IEEE ISSCC program committee and the Transducers technical program committee.

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, in 1989. In May 1989, he joined the faulty of the Department Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejon. He has held short visiting professorships at Stanford University and Samsung Microwave Semiconductor. His research interests are microwave integrated circuits and systems including power amplifiers for mobile communications, miniaturized radar, millimeter-wave frequency synthesizers, as well as novel semiconductor devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2801

TLM-Based Modeling and Design Exploiting Space Mapping John W. Bandler, Fellow, IEEE, Ahmed S. Mohamed, Student Member, IEEE, and Mohamed H. Bakr, Member, IEEE

Abstract—In this paper, we study the use of space-mapping (SM) techniques within the transmission-line matrix (TLM) method environment. Previous work on SM relies on an “idealized” coarse model in the design process of a computationally expensive fine model. For the first time, we examine the case when the coarse model is not capable of providing an ideal optimal response. We exploit a coarse-grid TLM solver with relaxed boundary conditions. Such a coarse model may be incapable of satisfying design specifications and traditional SM may fail. Our approach, which exploits implicit SM (ISM) and the novel output SM (OSM), overcomes this failure. Dielectric constant, an expedient preassigned parameter, is first calibrated to roughly align the coarse and fine TLM models. Our OSM scheme absorbs the remaining deviation between the “implicitly” mapped coarse-grid and fine-grid TLM responses. Because the TLM simulations are on a fixed grid, response interpolation is crucial. We also create a database system to avoid repeating simulations unnecessarily. Our optimization routine employs a trust region methodology. The TLM-based design of an inductive post, a single-resonator filter, and a six-section H-plane waveguide filter illustrate our approach. In a few iterations, our coarse-grid TLM surrogate, with approximate boundary conditions, achieves a good design of the fine-grid TLM model in spite of poor initial responses. Our results are verified with MEFiSTo simulations. Index Terms—Computer-aided design (CAD), electromagnetic (EM) optimization, EM simulation, filter design, space mapping (SM), transmission-line matrix (TLM) method.

I. INTRODUCTION

T

HE space-mapping (SM) technique [1], [2] calibrates an enhanced “coarse” (simplified, fast, or low-fidelity) model to permit acceptable, near optimal design of a computationally expensive “fine” (practical, accurate, or high-fidelity) model with a minimal number of fine-model function evaluations [3]. This CAD methodology embodies the learning process of a designer [3].

Manuscript received August 13, 2004; revised February 1, 2005. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada under Grant OGP0007239 and Grant, STPGP 269760, through the Micronet Network of Centres of Excellence and Bandler Corporation. The work of A.S. Mohamed is supported under the Ontario Graduate Scholarship (OGS) Program. J. W. Bandler is with Bandler Corporation, Dundas, ON, Canada L9H 5E7 and also with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1. A. S. Mohamed is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1. M. H. Bakr is with the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1. Digital Object Identifier 10.1109/TMTT.2005.854178

In previous implementations of SM technology, utilizing either an explicit input mapping [1], [2] or implicit [4] or output mappings [5], an “idealized” coarse model is assumed to be available. This coarse model, usually empirically based, provides a target optimal response with respect to (w.r.t.) the predefined design specifications while SM algorithms try to achieve a satisfactory “space-mapped” design . For the first time, we explore the SM methodology in the TLM [6] simulation environment. We design a CPU-intensive fine-grid TLM structure utilizing a coarse-grid TLM model with relaxed boundary conditions. Such a coarse model may not faithfully represent the fine-grid TLM model. Furthermore, it may not even satisfy the original design specifications. Hence, SM techniques such as the aggressive SM [2] will fail to reach a satisfactory solution. To overcome the aforementioned difficulty, we combine the implicit SM (ISM) [4] and output SM (OSM) [5] approaches. Parameter extraction (PE), equivalently called surrogate calibration, is responsible for constructing a surrogate of the fine model. As a preliminary PE step, the coarse model’s dielectric constant, a convenient preassigned parameter, is first calibrated. If the response deviation between the two TLM models is still large, an output SM scheme absorbs this deviation to make the updated surrogate represent the fine model. The subsequent surrogate optimization step is governed by a trust region strategy. The TLM simulator used in the design process is a Matlab [7] implementation. A set of design parameter values represents a point in the TLM simulation space. Because of the discrete nature of the TLM simulator, we employ an interpolation scheme to evaluate the responses, and possibly derivatives, at off-grid points [8], [9]. A database system is also created to avoid repeatedly invoking the simulator, to calculate the responses and derivatives, for a previously visited point. The database system is responsible for storage, retrieval, and management of all previously performed simulations [9]. Our proposed approach is illustrated through an inductive post, a single-resonator filter, and a six-section H-plane waveguide filter. We can achieve practical designs in a handful of iterations in spite of poor initial surrogate model responses. The results are verified using the commercial time-domain TLM simulator MEFiSTo [10]. In Section II, we review the basic concepts of TLM, implicit SM, output SM and trust region methodology. Our proposed approach is presented in Section III, explaining the surrogate calibration and surrogate optimization steps. We propose an algorithm in Section IV. Examples are illustrated in Section V, including the design of a six-section H-plane waveguide filter

0018-9480/$20.00 © 2005 IEEE

2802

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

with MEFiSTo verification. Conclusions and suggested future developments are drawn in Section VI.

Then, the optimized parameters are assigned to the fine model. This process repeats until the fine-model response is sufficiently close to the target response [4].

II. BASIC CONCEPTS D. Output Space Mapping (OSM)

A. Transmission-Line Matrix (TLM) Method The TLM method is a time and space discrete method for modeling electromagnetic (EM) phenomena [11]. A mesh of interconnected transmission lines model the propagation space [6]. The TLM method carries out a sequence of scattering and connection steps [11]. For the th nonmetalized node, the scattering relation is given by (1) where is the vector of incident impulses on the th node at is the vector of reflected impulses of the the th time step, th node at the th time step, and is the scattering matrix at the th node which is a function of the local dielectric constant . The reflected impulses become incident on neighboring nodes. For a nondispersive TLM boundary, a single time step is given by (2) where is the vector of incident impulses for all nodes at the th time step. The matrix is a block diagonal matrix whose th diagonal block is is the connection matrix and is the source excitation vector at the th time step. B. Design Problem Our design problem is given by (3) where is a vector of responses of the model, e.g., at selected frequency points. In a TLM-based enis a function of for all time steps . vironment, is the vector of fine-model design parameters and is a suitable objective function. For example, could be a minimax objective function with upper and lower is the optimal solution to be determined. specifications. C. Implicit Space Mapping (ISM) In the ISM approach, an auxiliary set of parameters is employed to calibrate the surrogate against the fine model. The surrogate can then be optimized to predict the next fine-model iterate [4]. In ISM, selected preassigned parameters denoted are extracted in an attempt to match the by coarse model to the fine model. Examples of preassigned parameters in a microwave structure are dielectric constant and substrate height [12]. With these parameters fixed in the fine model, the calibrated (implicitly mapped) coarse model denoted , at the th iteration, is optimized w.r.t. by as the design parameters (4)

Although the fine and coarse models usually share the same physical background, they are still two different models and a deviation between them in the response space (i.e., the range) always exists. This deviation cannot be compensated by only manipulating the parameters (i.e., the domain) through the regis originally proposed to fine ular SM. OSM tune the residual response deviation [5] between the fine model and its surrogate, in the final stages. In this case, the surrogate incorporates a faithful coarse model and could be given by the following composite function: (5) E. Trust Region (TR) Methods [13] TR strategies are employed to assure convergence of an optimization algorithm and to stabilize the iterative process [14]. The TR approach was first introduced in the context of SM with the aggressive SM technique in [15]. The aim of TR methods is to adjust the length of the step taken at each iteration, in an optimization routine, based on how well an approximate model predicts the objective function of the actual model. The approximate model is trusted to represent the objective function only within a region of specific radius around the current iteration. The local-model minimum inside the trust region is found by solving a TR subproblem. If the model minimum achieves sufficient actual reduction in the objective function, the TR size is increased. If insufficient reduction is achieved, the TR is reduced. Otherwise, the TR is kept unchanged. III. PROPOSED APPROACH In this study, we propose an approach to create a surrogate of the fine model that exploits an input implicit mapping (model domain) and encompasses the response deviation between the fine model and its surrogate (model range) through an output mapping. The proposed output SM scheme absorbs possible response misalignments through a response linear transformation (shift and scale). Fig. 1 describes a conceptual scheme for combining an input parameter mapping (implicit in our case) along with an output response mapping. At the th iteration, a surrogate of the fine model is given by

(6) is the preassigned parameter vector whose value where is the evaluation of the implicit mapping at . The scaling diand the shifting vector agonal matrix are the output mapping parameters. The preassigned parameters and the output mapping parameters are evaluated through a surrogate calibration, i.e., the parameter extraction process.

BANDLER et al.: TLM-BASED MODELING AND DESIGN EXPLOITING SPACE MAPPING

2803

B. Surrogate Optimization (Prediction) We optimize a suitable objective function of the surrogate (6) in effort to obtain a solution of (3). We utilize the TR methodology to find the step in the fine space at the th iteration [12], [14]

(10)

Fig. 1. ISM and OSM concepts. We calibrate the surrogate against the fine model utilizing the preassigned parameters x , e.g., dielectric constant, and the output response mapping parameters: the scaling matrix and the shifting vector .

where is the trust region size at the th iteration. The tentais accepted as a successful step in the fine-model tive step parameter space if there is a reduction of the fine model objective function, otherwise the step is rejected

A. Parameter Extraction (Surrogate Calibration) The PE optimization process is a key element in any SM algorithm. It is performed here to align the surrogate (6) with the fine model by calibrating the mapping(s) parameters. The deviation between the fine model and the surrogate reis given by sponses at the current fine-model point (7) At the th iteration, mapping parameters

is first extracted keeping the output fixed as follows:

(11) The TR radius is updated according to [14]. C. Stopping Criteria The algorithm stops when one of the following stopping criteria is satisfied. 1) A predefined maximum number of iterations is reached. 2) The step length taken by the algorithm is sufficiently small [18], e.g., , where is a userdefined small number. 3) The trust region radius reaches a minimum allowed , i.e., . value IV. ALGORITHM

(8) where a multipoint PE (MPE) scheme [16], [17] is employed. We calibrate the surrogate model against the fine model at a set of points with , where is the number of fine-model points utilized at the th PE iteration. At each PE iteration, we initially set . Then, some fine-model points of the previous successful iterates are included into the set and hence more information about the fine model could be utilized. Then, we calibrate the surrogate by manipulating at and to absorb the response deviation

(9) and are ideally and , respectively. The PE (9) is penalized such that and remain close to their ideal values. and are user-defined weighting factors. A suitable norm, denoted by , is utilized in (8) and (9), e.g., the norm.

Given . Comment. The initial trust region radius is and the nominal preassigned parameter value is Step 1) Initialize and . Step 2) Solve (4) to find the initial surrogate optimizer. Comment. The initial surrogate is the coarse model Step 3) Evaluate the fine model response . Step 4) Find surrogate parameters through PE (8) and (9). Step 5) Obtain by solving (10). Step 6) Evaluate . Step 7) Set according to (11). Step 8) Update according to the criterion in [14]. Step 9) If the stopping criterion is satisfied (see Section III-C), terminate. Step 10) If the TR step is successful, increment and go to Step 4), else go to Step 5). V. EXAMPLES A Matlab implementation of a two-dimensional (2-D) TLM simulator is utilized. We employ the dielectric constant as a ) for the whole region scalar preassigned parameter (i.e., in all of the coming examples.

2804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 3. Progression of the optimization iterates for the inductive post on the are in millimeters). fine modeling grid ( and

D

W

Fig. 2. Inductive post in a parallel-plate waveguide. (a) Three-dimensional plot. (b) Cross section with magnetic side walls.

A. Inductive Obstacle in a Parallel-Plate Waveguide Fig. 2 shows an inductive post centered in a parallel-plate and width waveguide with fixed dimensions. Thickness of the inductive obstacle are design parameters. We are studying the TEM mode propagation. Due to symmetry, only half of the structure is simulated. We use the fine model with a square cell mm, while the coarse model utilizes a square cell mm. We utilized 21 frequency points in the frequency range 2.5 GHz. The objective function is defined to 0.1 GHz and of a given match the real and imaginary parts of target response. An interpolation scheme is used [8] in optimizing the surrogate (calibration and prediction steps). The least-squares Levenberg–Marquardt algorithm available in Matlab [7] is utilized to solve both the PE problem and the TR subproblem in each iteration. The PE is designed to match the fine model with the surrogate at the current point in both (8) and (9), i.e., . and are set to zero. The algorithm converges in seven iterations. The progression of the optimization iterates on the fine modeling grid is shown in Fig. 3. The target, fine-model, and surrogate responses at the initial and the final iterations for are shown in Fig. 4. Fig. 5 illustrates the reduction of the fine model and the corresponding surrogate objective functions along iterations. The optimization results are summarized in Table I.

Fig. 4. Optimal target response (—), the fine-model response (), and the j) at (a) the initial design surrogate response (- - -) for the inductive post (j and (b) the final design.

S

Our proposed approach, without the database system, takes 34 min versus 68 min for direct optimization. Utilizing the database system reduces the execution time to 4 min.

BANDLER et al.: TLM-BASED MODELING AND DESIGN EXPLOITING SPACE MAPPING

2805

Fig. 5. Reduction of the objective function (U ) of the fine model (—) and the surrogate (- - -) for the inductive post. TABLE I OPTIMIZATION RESULTS FOR THE INDUCTIVE POST

Fig. 6. Statistical analysis for the real and imaginary parts of S of the inductive post with 2% relative tolerances (a) using the fine model and (b) using the surrogate at the final iteration of the optimization. One hundred outcomes are used.

A statistical analysis of the surrogate at the final design is carried out with 100 samples. The relative tolerance used is 2%. The results show good agreement between the fine model (75 min for 100 outcomes) and its surrogate (7 min for 100 outfor both the fine comes). The real and imaginary parts of model and its surrogate at the final design are shown in Fig. 6. B. Single-Resonator Filter A single-resonator filter is shown in Fig. 7. The design parameters are the resonator length and the septum width . The rectangular waveguide width and length are fixed as shown in with a cutoff frequency Fig. 7. The propagating mode is of 2.5 GHz. We use the fine model with a square cell mm, while the coarse model utilizes a square cell mm. The frequency range is 3.0 GHz 5.0 GHz with steps of 0.1 GHz. The fine model employs a Johns matrix boundary [19]–[21] as an absorbing boundary condition while the coarse model utilizes a single impulse reflection coefficient calculated at the

Fig. 7.

Topology of the single-resonator filter.

center frequency (4.0 GHz). Hence, we do not need to calculate the Johns matrix for the coarse model each time we change

2806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 9. Reduction of the objective function (U ) of the fine model (—) and the surrogate (- - -) for the single-resonator filter.

Fig. 8. Surrogate response (- -  - -) and the corresponding fine model response (—  —) at (a) the initial design and (b) the final design (using linear interpolation) for the single-resonator filter.

. This introduces another source of inaccuracy in the coarse model. A minimax objective function is used in the design process with upper and lower design specifications for

GHz

GHz

for

GHz

GHz

for

GHz

GHz

Fig. 10. Progression of the optimization iterates for the single-resonator filter on the fine modeling grid (d and W are in millimeters). TABLE II OPTIMIZATION RESULTS FOR THE SINGLE-RESONATOR FILTER

(12)

The Matlab [7] least-squares Levenberg–Marquardt algorithm solves the PE problem. The TR subproblem (10) is solved by the minimax routine described in [22]. An interpolation scheme with database system is used [8]. The surrogate is calibrated to match the fine model at the last two points in (8) and the current point in (9). The weighting factors are set to and . The algorithm converges in five iterations to an optimal fine model response although the coarse model initially exhibits a very poor response [see Fig. 8(a)]. Fig. 8(b) depicts the fine-grid TLM response along with its surrogate response at the final design. The reduction of the objective function of the fine model and the surrogate versus iteration and the progres-

sion of the optimization iterates are shown in Figs. 9 and 10, respectively. The optimal design reached by the algorithm is given by 32.99 mm and mm (see Table II for the optimization summary). Our proposed approach, without the database system, takes 88 min versus 172 min for direct optimization. Utilizing the database system reduces the execution time to 15 min.

BANDLER et al.: TLM-BASED MODELING AND DESIGN EXPLOITING SPACE MAPPING

2807

Fig. 12. Six-section H-plane waveguide filter. (a) The 3-D view. (b) One half of the 2-D cross section. (c) The equivalent empirical circuit model.

Fig. 11. Final design reached by the algorithm (—  —) versus the simulation results using MEFiSTo 2-D with the rubber cell feature (—) for the single-resonator filter (a) jS j and (b) jS j.

We utilize the time-domain TLM simulator MEFiSTo [10] to verify our results. We employ the rubber cell feature [10] in MEFiSTo to examine our interpolation scheme. Using the TLM conformal (rubber) cell [23], the dimensions of the underlying structure, which are not located at multiple integers of the mesh size, will not be shifted to the closest cell boundary. Rather, a change in the size and shape of the TLM boundary cell, due to an irregular boundary position, is translated into a change in its input impedance at the cell interface with a regular computational mesh [23]. Fig. 11 shows a good agreement between the interpolated results of the final design obtained from our algorithm and the MEFiSTo simulation utilizing the rubber cell. C. Six-Section H-Plane Waveguide Filter We consider the six-section H-plane waveguide filter [24], [25] [see the 3-D view and 2-D cross section in Fig. 12(a) and (b), respectively]. A waveguide with a width of 1.372 in (3.485 cm) is used. The propagation mode is with a cutoff frequency of 4.3 GHz. The six-waveguide sections are separated by seven H-plane septa, which have a finite thickness of 0.0245 in (0.6223 mm). The design parameters are the

three waveguide-section lengths and and the septa widths , and . A minimax objective function is employed with upper and lower design specifications given by for for for

GHz

GHz GHz

GHz

(13)

We use the fine model with a square cell mm. The number of TLM cells in the and directions are and , respectively. A Johns matrix boundary [19]–[21] is used as a dispersive absorbing boundary conditime steps. We utilize 23 points in the tion with 10.0 GHz. We consider the frequency range 5.0 GHz filter design using two different coarse models: empirical coarse model and coarse-grid TLM model. In both cases, we use the least-squares Levenberg–Marquardt algorithm in Matlab [7] for the PE. A linear interpolation scheme with a database system is utilized for the surrogate optimization using the minimax routine given in [22]. The PE is designed to match the fine model with its surrogate utilizing the most recent three points in (8) and the current point in (9). We set the weighting factors to and . Case 1: Empirical Coarse Model: A coarse model with lumped inductances and dispersive transmission-line sections is utilized. We simplify formulas due to Marcuvitz [26] for the inductive susceptances corresponding to the H-plane septa.

2808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE III INITIAL AND FINAL DESIGNS FOR THE SIX-SECTION H-PLANE WAVEGUIDE FILTER DESIGNED USING THE EMPIRICAL COARSE MODEL

Fig. 14. Reduction of the objective function (U ) of the fine model (—) and the surrogate (- - -) for the six-section H-plane waveguide filter designed using the empirical coarse model.

Fig. 15. Final design reached by the algorithm (——) compared with MEFiSTo 2-D simulation with the rubber cell feature (—) for the six-section H-plane waveguide filter designed using the empirical coarse model.

Fig. 13. Surrogate response (- -  - -) and the corresponding fine model response (—  —) at (a) the initial design and (b) the final design (using linear interpolation) for the six-section H-plane waveguide filter designed using the empirical coarse model.

They are connected to the transmission-line sections through circuit theory [27]. The model is implemented and simulated in the Matlab [7] environment. Fig. 12(c) shows the empirical circuit model. The algorithm converges to an optimal solution in ten iterations. The initial and final designs are shown in Table III. The

. The initial and final responses for the final value of fine model and its surrogate are illustrated in Fig. 13. Fig. 14 depicts the reduction of objective function of the fine model and its surrogate. The final design response using our algorithm is compared with MEFiSTo in Fig. 15. Case 2: Coarse-Grid TLM Model: We utilize a coarse-grid TLM model with a square cell mm. The and number of TLM cells in the and directions are , respectively. The number of time steps is time steps. A single impulse reflection coefficient calculated at the center frequency (7.5 GHz) is utilized. We have three sources of inaccuracy of the coarse-grid TLM model, namely, the coarser grid, the inaccurate absorbing boundary conditions and the reduced number of time steps. This reduces the computation time of the coarse model versus the fine model. We apply our algorithm. Despite the poor starting surrogate response [see Fig. 16(a)], the algorithm reaches an optimal solution in 8 iterations. The initial and final designs are shown in . The initial and final Table IV. The final value of responses for the fine model and its surrogate are illustrated in

BANDLER et al.: TLM-BASED MODELING AND DESIGN EXPLOITING SPACE MAPPING

2809

Fig. 17. Reduction of the objective function (U ) of the fine model (—) and the surrogate (- - -) for the six-section H-plane waveguide filter designed using the coarse-grid TLM model.

Fig. 16. Surrogate response (- -  - -) and the corresponding fine model response (—  —) at (a) the initial design and (b) the final design (using linear interpolation) for the six-section H-plane waveguide filter designed using the coarse-grid TLM model. Fig. 18. Final design reached by the algorithm (——) compared with MEFiSTo 2-D simulation with the rubber cell feature (—) for the six-section H-plane waveguide filter designed using the coarse-grid TLM model. TABLE IV INITIAL AND FINAL DESIGNS FOR THE SIX-SECTION H-PLANE WAVEGUIDE FILTER DESIGNED USING THE COARSE-GRID TLM MODEL TABLE V OUR APPROACH WITH/WITHOUT DATABASE SYSTEM VERSUS DIRECT OPTIMIZATION FOR THE SIX-SECTION H-PLANE WAVEGUIDE FILTER DESIGNED USING COARSE-GRID TLM MODEL

Fig. 16. The reduction of the objective function of the fine model and its surrogate is shown in Fig. 17. The final design response obtained using our algorithm is compared with MEFiSTo simulation in Fig. 18. It shows good agreement.

Using the proposed approach, the optimization time is reduced by 66% w.r.t. direct optimization, as shown in Table V. The dynamically updated database system, implemented in the algorithm, reduces the optimization time even more, as reported in Table V. The run time for the PE process, surrogate optimization, and fine-model simulation of our proposed approach are 15, 4, and 58 min, respectively.

2810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

VI. CONCLUSION For the first time, we investigate the SM approach to modeling and design when the coarse model does not faithfully represent the fine model. In this study, a coarse-grid TLM model with relaxed boundary conditions is utilized as a coarse model. Such a model may provide a response that deviates significantly from the original design specifications and, hence, previous SM implementations may fail to reach a satisfactory solution. We propose a technique exploiting implicit SM and output SM. The dielectric constant, a convenient preassigned parameter, is first calibrated for a rough (preprocessing) alignment between the coarse and fine TLM models. Output SM absorbs the remaining response deviation between the TLM fine-grid model and the implicitly mapped TLM coarse-grid model (the surrogate). To accommodate the discrete nature of our EM simulator, we designed the algorithm to have interpolation and dynamically updated database capabilities, which is key to efficient design automation. Our approach is illustrated through the TLM-based design of an inductive post, a single-resonator filter, and a six-section H-plane waveguide filter. Our algorithm converges to a good design for the fine-grid TLM model in spite of poor initial behavior of the coarse-grid TLM surrogate. We consider our results to be promising. Utilizing, as preassigned parameters, different dielectric constants for different regions of the underlying microwave structure is addressed here but not implemented. Incorporating Jacobians in the PE process to improve the construction of the surrogate, e.g., exploiting adjoint variable methods, needs future investigation.

ACKNOWLEDGMENT The authors would like to thank Dr. W. J. R. Hoefer, University of Victoria, Victoria, BC, Canada, for useful discussions and making the Faustus MEFiSTo software available. They would also like to thank Dr. K. Madsen, Technical University of Denmark, Lyngby, Denmark, for continued collaboration. They also acknowledge discussions and technical input from S. A. Dakroury, formerly with McMaster University, and thank Dr. S. Koziel of McMaster University for his insightful comments on our manuscript.

REFERENCES [1] J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny, and R. H. Hemmers, “Space mapping technique for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2536–2544, Dec. 1994. [2] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2874–2882, Dec. 1995. [3] J. W. Bandler, Q. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004.

[4] J. W. Bandler, Q. S. Cheng, N. K. Nikolova, and M. A. Ismail, “Implicit space mapping optimization exploiting preassigned parameters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 378–385, Jan. 2004. [5] J. W. Bandler, Q. S. Cheng, D. Gebre-Mariam, K. Madsen, F. Pedersen, and J. Søndergaard, “EM-based surrogate modeling and design exploiting implicit, frequency and output space mappings,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1003–1006. [6] W. J. R. Hoefer, “The transmission-line matrix method—Theory and applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 882–893, Oct. 1985. [7] Matlab™, 2002. Version 6.5. [8] J. W. Bandler, R. M. Biernacki, S. H. Chen, L. W. Hendrick, and D. Omeragic, “Electromagnetic optimization of 3-D structures,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 770–779, May 1997. [9] P. A. Grobelny, “Integrated numerical modeling techniques for nominal and statistical circuit design,” Ph.D. dissertation, Dept. Elect. Comput. Eng., McMaster Univ., Hamilton, ON, Canada, 1995. [10] MEFiSTo-3D Pro, 2003. Version 3.0. [11] M. H. Bakr, P. P. M. So, and W. J. R. Hoefer, “The generation of optimal microwave topologies using time-domain field synthesis,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2537–2544, Nov. 2002. [12] J. W. Bandler, M. A. Ismail, and J. E. Rayas-Sánchez, “Expanded space mapping EM-based design framework exploiting preassigned parameters,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 12, pp. 1833–1838, Dec. 2002. [13] A. R. Conn, N. I. M. Gould, and P. L. Toint, Trust-Region Methods. Philadelphia, PA: SIAM and MPS, 2000. [14] N. M. Alexandrov, J. E. Dennis, Jr., R. M. Lewis, and V. Torczon, “A trust-region framework for managing the use of approximation models in optimization,” Struct. Optim., vol. 15, pp. 16–23, 1998. [15] M. H. Bakr, J. W. Bandler, R. M. Biernacki, S. H. Chen, and K. Madsen, “A trust region aggressive space mapping algorithm for EM optimization,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2412–2425, Dec. 1998. [16] J. W. Bandler, R. M. Biernacki, and S. H. Chen, “Fully automated space mapping optimization of 3D structures,” in IEEE MTT-S Int. Microwave Symp. Dig., San Francisco, CA, Jun. 1996, pp. 753–756. [17] J. W. Bandler, R. M. Biernacki, S. H. Chen, and D. Omeragic, “Space mapping optimization of waveguide filters using finite element and mode-matching electromagnetic simulators,” Int. J. RF Microwave Computer-Aided Eng., vol. 9, no. 1, pp. 54–70, Jan. 1999. [18] J. Søndergaard, “Optimization using surrogate models—by the space mapping technique,” Ph.D. dissertation, Dept. Inform. Math. Modeling, Tech. Univ. Denmark, Lyngby, Denmark, 2003. [19] P. B. Johns and K. Akhtarzad, “The use of time domain diakoptics in time discrete models of fields,” Int. J. Num. Methods Eng., vol. 17, pp. 1–14, 1981. , “Time domain approximations in the solution of fields by time [20] domain diakoptics,” Int. J. Num. Methods Eng., vol. 18, pp. 1361–1373, 1982. [21] C. Eswarappa, G. I. Costache, and W. J. R. Hoefer, “Transmission line matrix modeling of dispersive wide-band absorbing boundaries with time-domain diakoptics for S -parameter extraction,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 4, pp. 379–386, Apr. 1990. [22] K. Madsen, H. B. Nielsen, and J. Søndergaard, “Robust subroutines for non-linear optimization,” Denmark Tech. Univ., Lyngby, Denmark, Tech. Rep. IMM-REP-2002-02, , 2002. [23] P. P. M. So and W. J. R. Hoefer, “Locally conformal cell for two-dimensional TLM,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 977–980. [24] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures, 1st ed. New York: McGraw-Hill, 1964. [25] M. H. Bakr, J. W. Bandler, N. Georgieva, and K. Madsen, “A hybrid aggressive space mapping algorithm for EM optimization,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2440–2449, Dec. 1999. [26] N. Marcuvitz, Waveguide Handbook, 1st ed. New York: McGraw-Hill, 1951, p. 221. [27] M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

BANDLER et al.: TLM-BASED MODELING AND DESIGN EXPLOITING SPACE MAPPING

John W. Bandler (S’66–M’66–SM’74–F’78) was born in Jerusalem on November 9, 1941. He received the B.Sc. (Eng.), Ph.D., and D.Sc. (Eng.) degrees from the University of London, London, U.K., in 1963, 1967, and 1976, respectively. In 1966, he joined Mullard Research Laboratories, Redhill, Surrey, U.K. From 1967 to 1969, he was a Post-Doctorate Fellow and Sessional Lecturer with the University of Manitoba, Winnipeg, MB, Canada. In 1969, he joined McMaster University, Hamilton, ON, Canada, where he has served as Chairman of the Department of Electrical Engineering and Dean of the Faculty of Engineering. He is currently Professor Emeritus in Electrical and Computer Engineering and directs research in the Simulation Optimization Systems Research Laboratory. He was President of Optimization Systems Associates, Inc. (OSA), Dundas, ON, Canada, which he founded in 1983, until November 20, 1997, the date of acquisition of OSA by the Hewlett-Packard Company (HP). OSA implemented a first-generation yield-driven microwave CAD capability for Raytheon in 1985, followed by further innovations in linear and nonlinear microwave CAD technology for the Raytheon/Texas Instruments Joint Venture MIMIC Program. OSA introduced the computer-aided engineering (CAE) systems RoMPE in 1988, HarPE in 1989, OSA90 and OSA90/hope in 1991, Empipe in 1992, and Empipe3D and EmpipeExpress in 1996. OSA created empath in 1996, marketed by Sonnet Software, Inc. He is currently President of Bandler Corporation, Dundas, ON, Canada, which he founded in 1997. He has authored or coauthored over 370 papers from 1965 to 2005. He contributed to Modern Filter Theory and Design (New York: Wiley-Interscience, 1973) and Analog Methods for Computer-aided Analysis and Diagnosis (New York: Marcel Dekker, 1988). Four of his papers have been reprinted in Computer-Aided Filter Design (New York: IEEE Press, 1973), one in each of Microwave Integrated Circuits (Norwood, MA: Artech House, 1975), Low-Noise Microwave Transistors and Amplifiers (New York: IEEE Press, 1981), Microwave Integrated Circuits, 2nd ed.(Norwood, MA: Artech House, 1985), Statistical Design of Integrated Circuits (New York: IEEE Press, 1987), and Analog Fault Diagnosis (New York: IEEE Press, 1987). He joined the Editorial Boards of the International Journal of Numerical Modeling (1987), the International Journal of Microwave and Millimeterwave Computer-Aided Engineering (1989), and Optimization Eng. in 1998. He was Guest Editor of the International Journal of Microwave and Millimeter-Wave Computer-Aided Engineering Special Issue on Optimization-Oriented Microwave CAD (1997). He was guest co-editor of the Optimization and Engineering Special Issue on Surrogate Modeling and Space Mapping for Engineering Optimization (2001). Dr. Bandler is a Fellow of the Canadian Academy of Engineering, the Royal Society of Canada, the Institution of Electrical Engineers (U.K.), and the Engineering Institute of Canada. He is a member of the Association of Professional Engineers of the Province of Ontario (Canada) and a member of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He was an Associate Editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (T-MTT) from 1969 to 1974 and has continued serving as a member of the Editorial Board. He was Guest Editor of the T-MTT Special Issue on Computer-Oriented Microwave Practices (1974) and Guest Coeditor of the IEEE T-MTT Special Issue on Process-Oriented Microwave CAD and Modeling (1992). He was Guest Editor of the IEEE T-MTT Special Issue on Automated Circuit Design Using Electromagnetic Simulators (1997). He was Guest Coeditor of the IEEE T-MTT Special Issue on Electromagnetics-Based Optimization of Microwave Components and Circuits (2004). He has served as chair of the MTT-1 Technical Committee on Computer-Aided Design. He was the recipient of the 1994 Automatic Radio Frequency Techniques Group (ARFTG) Automated Measurements Career Award and the 2004 Microwave Application Award presented by the IEEE MTT-S.

2811

Ahmed S. Mohamed (S’00) was born in Cairo, Egypt, in 1973. He received the B.Sc. degree with distinction (honors) in electronics and communications engineering and the M.S. degree in engineering mathematics from Cairo University, Cairo, Egypt, in 1995 and 2000, respectively, and the Ph.D. degree from McMaster University, Hamilton, ON, Canada, in 2005. His master’s thesis topic was about time series forecasting using mixtures of neural networks and conventional models. In September 1995, he joined the Department of Engineering Mathematics and Physics, Faculty of Engineering, Cairo University, as a Teaching and Research Assistant. In September 2000, he joined the Department of Electrical and Computer Engineering, McMaster University. His current research is carried out in the Simulation Optimization Systems Research Laboratory. He is interested in electromagnetic optimization methods, microwave computer-aided design, neural network applications, and modeling of microwave circuits. Mr. Mohamed was a recipient of an Ontario Graduate Scholarship (OGS) for two consecutive academic years 2003–2004 and 2004–2005.

Mohamed H. Bakr (S’98–M’00) received the B.Sc. degree in electronics and communications engineering with distinction (honors) and the M.S. degree in engineering mathematics from Cairo University, Cairo, Egypt, in 1992 and 1996, respectively, and the Ph.D. degree from McMaster University, Hamilton, ON, Canada, in 2000. In 1997, he was a student intern with Optimization Systems Associates, Inc. (OSA), Dundas, ON, Canada. From 1998 to 2000, he worked as a Research Assistant with the Simulation Optimization Systems (SOS) Research Laboratory, McMaster University. In November 2000, he joined the Computational Electromagnetics Research Laboratory (CERL), University of Victoria, Victoria, BC, Canada as an NSERC Post Doctoral Fellow. His research areas of interest include optimization methods, computer-aided design and modeling of microwave circuits, neural network applications, smart analysis of microwave circuits, and efficient optimization using time-/frequency-domain methods. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, McMaster University. Dr. Bakr was a recipient of the Premier’s Research Excellence Award (PREA) from the Province of Ontario, Canada, in 2003.

2812

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Improved Coupled-Microstrip Filter Design Using Effective Even-Mode and Odd-Mode Characteristic Impedances Hong-Ming Lee, Student Member, IEEE, and Chih-Ming Tsai, Member, IEEE

Abstract—The transmission zeros of two coupled-microstrip circuits are studied in this research. Estimates for the frequencies of transmission zeros are given. A new concept of effective even- and odd-mode characteristic impedances is introduced. Useful design equations are given for improving the passband responses that are inherently distorted by different mode velocities. Finally, two filters with different topologies are designed for their zeros to be at the frequencies of the spurious harmonics and, thus, yield wide out-of-band rejections. Index Terms—Coupled transmission lines, distributed parameter filters, microwave circuits.

I. INTRODUCTION

P

ARALLEL coupled-line filters are widely used in microwave circuits. Conventional design uses several quarter-wavelength coupled-line sections and the design procedures had been well established [1]. However, the frequencies of the transmission zeros created by these coupled lines are fixed at the multiplies of the fundamental frequency and, therefore, cannot be tuned. Filter design using nonquarter-wavelength coupled-stripline sections was also proposed [2], [3]. The transmission zeros can be tuned to desired frequencies and, therefore, are useful in achieving a steeper skirt or a wider stopband. However, in that research, only stripline filters were studied. It had been shown that two types of parallel coupled-stripline circuits, as shown in Fig. 1, can create transmission zeros when , respectively. However, their electrical lengths equal and they do not follow such rules when the circuit is realized in an inhomogeneous medium using microstrips. In order to estimate the frequencies of transmission zeros, impedance matrices are used to derive the design equations in this paper. For coupled-stripline filter designs, the circuits with desired passband responses can be exactly synthesized. The values , odd-mode impedance , of even-mode impedance and electrical length for each coupled-line section could be well determined. However, if the same design is realized by microstrips, the values of electrical length are different for even and odd modes. Usually, the average values are used and

Manuscript received August 24, 2004. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 93-2213-E-006075. The authors are with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University, Taiwan 70101, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854177

Fig. 1.

(a) Parallel and (b) antiparallel coupled-line circuits.

this approximation degrades the performance of filters. The passband bandwidth is usually decreased and the reflection increased. In order to study and reduce these effects, the coupled-microstrip filter is equivalent to a coupled-stripline filter with new effective parameters, as shown in Fig. 2(a). It can then be specifically compared with the original coupled-stripline filter. It is found that these parameters of the two filters are different, especially when nonquarter-wavelength coupled lines are used. Therefore, the design equations in [2] and [3] are not applicable to coupled-microstrip filter designs for the purpose of transmission zero control. In fact, to improve the passband responses of coupled- microstrip filters, these effective parameters should be forced to equal those of the original coupled-stripline filters, as shown and , for a couin Fig. 2(b). The new parameters, as pled-microstrip filter, can then be derived from these effective parameters. This proposed new design procedures compensate the effects of velocity difference and, therefore, can achieve an undistorted passband response. Two filter examples are designed to demonstrate the problems and the proposed solutions, with their second and third harmonics suppressed by the transmission zeros to yield wide stopband rejections. II. TRANSMISSION ZERO CONDITIONS Fig. 1 shows the two types of coupled-line circuits. In Fig. 1(a), the two diagonal ports are opened, whereas in Fig. 1(b), two ports on the same side are opened. They were called parallel and antiparallel coupled-line circuits in [3], respectively. They both can generate transmission zeros; however, under different conditions. The antiparallel coupled-line section is a special case of loaded coupled lines studied in [4] with its loads opened. The impedance parameters of parallel and antiparallel coupled-line circuits can be found as follows [5]. For parallel coupled-line circuits,

0018-9480/$20.00 © 2005 IEEE

(1)

LEE AND TSAI: IMPROVED COUPLED-MICROSTRIP FILTER DESIGN USING EFFECTIVE EVEN- AND ODD-MODE CHARACTERISTIC IMPEDANCES

2813

Fig. 2. (a) Concept of effective stripline parameters of coupled-microstrip-line filters and (b) proposed new design procedures.

(2) and for antiparallel coupled-line circuits,

Fig. 3. Approximate equivalent circuit for parallel and antiparallel coupled-line sections.

(3) (4) where and are the electrical lengths defined at the central frequency of the filter . The input impedances of the two-port network under even and odd excitations can then be obtained from their T-type equivalent circuits, and they are found to be and . The condition for a transmission zero is [4], which means or both and are infinite. The last condition is possible when the electrical lengths are for coupled striplines. However, it could never be possible for coupled-microstrip circuits , because is not equal to . For example, when and has a finite value for both it is found that the parallel and antiparallel coupled lines. Also, it can be found is finite and for . For couthat pled microstrips, the zero condition can only be achieved when , i.e.,

for the parallel coupled lines can be found at the frequency when . III. FILTER DESIGN PROBLEMS For the purpose of filter design, the two types of coupled-stripline circuits with electrical length are approximately equivalent to an impedance inverter having a 90 or 90 phase shift, and on both of its sides, there is a transmission-line and electrical length section with characteristic impedance , as shown in Fig. 3. From the studies in [2] and [3], the characteristic impedances of the nonquarter-wavelength coupled lines were found as

(7)

(8)

(5) for parallel coupled lines and

for parallel coupled-microstrip circuits and (6) for antiparallel coupled-microstrip circuits, where is the ratio of the zero frequency to the central frequency. It is then clear that the zero conditions for circuits in an inhomogeneous medium will not only depend on the length of coupled lines, as they do for circuits in homogeneous media, but also on the evenand odd-mode impedances and velocities. In other words, the linewidths and gapwidth of coupled microstrips are also important factors in the analysis of the frequencies of transmission zeros. For antiparallel coupled lines, it was found in [4] that the lowest transmission zero occur at the frequency when . Similarly, the lowest transmission zero

(9)

(10)

for antiparallel coupled lines. The electrical lengths of the coupled lines in each section are usually designed shorter than . It should be noted that (7)–(10) were derived based on the assumption that the inverters have a 90 phase shift. However, for the antiparin order to maintain the relation of 90 allel coupled lines with electrical lengths longer than

2814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 4. Equivalent circuit of a coupled-line filter.

inverters should be chosen in their equivalent circuits and all the positive and negative signs in (9) and (10) should be interchanged. In Cohn’s design procedure [1], the parallel coupled-line filter is equivalent to several impedance inverters separated by transand mission-line sections with characteristic impedances of electrical lengths of , as shown in Fig. 4. The transmissionline section with electrical lengths of can then be approximately equivalent to a simple lumped parallel resonator with and , which has a resonant frequency at . Therefore, an original direct-coupled-resonator filter is derived [6]. The value of inverter impedance is then determined from the specifications by the classical filter synthesis as

Fig. 5. Circuit configurations of the filter design examples. (a) Filter A. (b) Filter B.

(11) to

(12)

is the relative bandwidth, and is the element value where of the low-pass prototype filter. As examples, two third-order Chebyshev filters, with central frequency at 2.45 GHz, 5% bandwidth, and 0.1-dB ripple, were designed using substrates with a relative dielectric constant of were found 3 and a thickness of 0.51 mm. The values of to be 181.2 for the first and fourth sections, and 692.6 for the second and third sections. The first example, shown in Fig. 5(a), is denoted filter A and uses the parallel coupled lines for all the sections. The second example, denoted filter B, uses parallel and antiparallel coupled lines for the first/fourth and second/third sections, respectively, as shown in Fig. 5(b). Equations (7)–(10) were used for these filter designs. The lengths of all coupled-line sections were not limited to a quarterwavelength. They were selected with the helps of (5) and (6) for the transmission zeros to be at the frequencies of the second and third spurious passbands. The connecting lines with characbetween each coupled-line secteristic impedance tion should be added to ensure that the electrical length of the transmission line in the equivalent circuit, as shown in Fig. 4, is between impedance inverters. In filter A, only parallel coupled-line sections were used. The length of a coupled-line seccombined with the length of a coupled-line tion with zero at are longer than and, therefore, they section with zero at cannot be adjacent to each other. Thus, filter A was configured as

TABLE I CIRCUIT PARAMETERS OF FILTER A

TABLE II CIRCUIT PARAMETERS OF FILTER B

an asymmetric filter. Alternatively, both parallel and antiparallel coupled lines were used in filter B. The total lengths of the two and were less than coupled-line sections with zeros at and, therefore, the filter could be symmetrically configured. Their circuit parameters were summarized in Tables I and II. These parameters could be exactly realized using striplines. However, when microstrips are used, the velocities are different in even and odd modes. The parameters of the electrical lengths in Tables I and II could only be approximated, usually by the average values of the two modes. Therefore, the designs are no longer ideal. The simulation results are compared in Fig. 6. It is clear that the bandwidths of the microstrip filters become narrower, and the return losses are also distorted. Filter B has much more

LEE AND TSAI: IMPROVED COUPLED-MICROSTRIP FILTER DESIGN USING EFFECTIVE EVEN- AND ODD-MODE CHARACTERISTIC IMPEDANCES

2815

Fig. 7. Passband S of filters A and B modified by the method in [7] compared to the coupled-stripline filter response.

(14) The effective electrical length is free to be selected between and . In order to avoid any singularity in (13) and (14), only when (15)

Fig. 6. Comparisons between the passband responses of the filters realized using microstrip lines and striplines. (a) Filter A. (b) Filter B.

be set to equal . To fulfill this requirement, the should is proposed as general expression for (16)

distortion than filter A. Apparently, the performances of the filters are degraded by the different even- and odd-mode velocities. IV. EFFECTIVE

AND

The effect of different mode velocities on quarter-wavelength coupled-microstrip filters had been studied [7]. An equivalent relative permittivity was defined, and minor correction of line lengths was proposed to improve the return loss in the passband. However, it is not effective for nonquarter-wavelength responses of filcoupled-microstrip filters. As examples, the ters A and B modified by the method in [7] are shown in Fig. 7. It was found that there is no significant improvement and they are almost the same as those shown in Fig. 6. In order to study and overcome the degradation of the filter responses, a new concept of effective parameters is proposed. The impedance parameters and at the central frequency of the parallel coupled microstrips are forced to equal those of their stripline equivaand . After solving the simultalents with neous equations, the effective parameters can be derived as

This expression is equivalent to the definition of an equivalent relative permittivity in [7]. Using (13), (14), and (16), coupled-microstrip circuits could be converted into their stripline equivalents and compared to the original filter designs, as shown in Fig. 2(a). The definition of the effective electrical lengths is associated with the characteristic impedances instead of those that are originally defined by the average electrical lengths. However, the slight variations of the electrical lengths are not the cause of the distorted filter passband responses such as the decreased bandwidths. Actually, the distortion is caused by the deviations of the characteristic impedances due to the different mode velocities. The effective and will be close to characteristic impedances and , respectively, only as approaches . That means the distortions would be minor only for filters based on quarter-wavelength coupled lines. Similarly, the effective parameters of the antiparallel coupled microstrips can be found as (17) (18)

(13)

could not be used for the filter design since infinite values of the characteristic impedances will be obtained from

2816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE III EFFECTIVE PARAMETERS OF THE FILTERS A AND B

TABLE IV EFFECTIVE PARAMETERS OF THE MODIFIED FILTERS A AND B

Fig. 8. Passband responses of the modified microstrip filters and their stripline counterparts. (a) Filter A. (b) Filter B.

V. DESIGN EQUATIONS FOR THE RIGHT (9) and (10). Thus, the effective electrical length of the antiparallel coupled lines does not have the restriction as that of the parallel coupled lines, and can be simply defined to be the average of the even- and odd-mode electrical lengths, i.e.,

(19) The effective parameters of the previous microstrip filter exand , amples are summarized in Table III. From the effective impedance inverter and characteristic impedance and for the equivalent circuit in Fig. 3 can be obtained by solving (7)–(10). The corresponding bandwidth can then be calculated by (11) and (12). Since the corresponding bandwidths are quite different from the original filter design, the performances of the filters are degraded. In filter A, the corresponding bandwidths of the first and the last coupled lines, , are larger than which have the electrical lengths longer than 5%, whereas those of the others are smaller than 5%. In filter B, however, all the coupled lines have their corresponding bandwidths smaller than 5% and, thus, it ends up with more distortion. It may also conclude that the coupled lines in a microstrip filter design may not have the required couplings. The coupled lines shorter then a quarter-wavelength are under coupled and those longer than a quarter-wavelength are over coupled.

AND

Equations (13), (14), and (16) are used to derive the effective parameters of coupled microstrips. Once the circuits are designed, these parameters could be derived and used to evaluate the deviations, due to the different mode velocities, from the ideal filter designs. On the other hand, one may try to find the right parameters of microstrip circuits to yield the correct effective stripline equivalents so the performance of the filter could be close to ideal, as shown in Fig. 2(b). Instead of doing a lot of trial and error, design procedures are developed as follows. Firstly, and , the ratio of and is given the required determined by transmission-line design tools, although it actuand . This is valid because the ratio is ally depends on not sensitive to the changes of characteristic impedances. With and , the physical lengths of the this ratio, parallel-coupled lines are then chosen to satisfy the equation (20) The design equations for (13) and (14) as

and

can now be rewritten from

(21)

LEE AND TSAI: IMPROVED COUPLED-MICROSTRIP FILTER DESIGN USING EFFECTIVE EVEN- AND ODD-MODE CHARACTERISTIC IMPEDANCES

Fig. 9. (a) Filter A. Measured results of: (b) passband and (c) out-of-band responses.

(22) Similarly, for antiparallel coupled lines, the physical lengths are chosen by satisfying (23) The design equations for

and

can be easily derived as

2817

Fig. 10. (a) Filter B. Measured results of: (b) passband and (c) out-of-band responses.

As examples, the filters in Section III are modified by the design equations to make their effective parameters closer to the intended design, and they are summarized in Table IV. The frequency shifts of the transmission zeros due to the modifications of characteristic impedances are small. The antiparallel couto pled lines have the largest frequency shift, which is from ; however, they are still capable of suppressing the spurious harmonic. The passband responses of the modified filters are shown in Fig. 8, where it can be seen that the bandwidths and return losses have been recovered and, thus, the effects of different mode velocities are eliminated.

(24) VI. FILTER DESIGNS AND MEASUREMENTS (25) In the final stage of the design procedure, the transmission zero frequency is checked as to whether it is shifted due to the modifications of characteristic impedances. However, the frequency shifts are usually minor and can be neglected.

The modified filters A and B in Section V were fabricated, and the photographs and measurement results are presented in Figs. 9 and 10, respectively. The connecting lines of filter B between each coupled-line section were bent to make the circuit more compact. Figs. 9(b) and 10(b) show the electromagnetic

2818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

simulation and measurement results of the passband responses. The losses of the conductor and substrate had also been taken into account. The measured results were in good agreement with those of simulations. The desired bandwidths were achieved and the reflections were low and without distortion. Note that the small coupling between the coupled lines of the first and fourth sections of filter A causes the cross-coupled effect and, thus, an additional transmission zero is created at 2.2 GHz. Therefore, the shape factor of filter A is smaller than that of filter B. Figs. 9(c) and 10(c) give the measured results of the out-ofband transmission of the filters. In Fig. 9(c), the response of filter A is compared to that of a conventional filter using all quarter-wavelength parallel coupled microstrips. The measured transmission zero frequencies of the designed filter were found at 4.9, 7.67, 7.33, and 5.04 GHz, which are due to the first to fourth coupled-line sections, respectively. Since the transmission zeros were intentionally designed at the frequencies where the spurious harmonics occur, the transmission of the spurious harmonics was reduced. In comparison with filter A, the transmission zeros of the coupled lines of filter B were all designed at the frequencies of the second and third harmonic, and they were measured at 4.9 and 7.29 GHz. Therefore, the suppression of the harmonics is better than that of filter A, and a very wide stopband rejection below 35 dB was obtained.

REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 233–231, Apr. 1958. [2] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [3] M. Matsuo, H. Yabuki, and M. Makimoto, “The design of a half-wavelength resonator BPF with attenuation poles at desired frequencies,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, 2000, pp. 1181–1184. [4] C.-M. Tsai, S.-Y. Lee, and H.-M. Lee, “Transmission-line filters with capacitively loaded coupled lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-51, no. 5, pp. 1517–1524, May 2003. [5] G. Zysman and A. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [6] S. B. Cohn, “Direct-coupled-resonator filters,” Proc. IRE, vol. 45, no. 2, pp. 187–196, Feb. 1957. [7] D. Kajfez and S. Govind, “Effect of difference in odd- and even-mode wavelengths on a parallel-coupled bandpass filter,” Electron. Lett., vol. 11, pp. 117–118, Mar. 1975.

Hong-Ming Lee (S’03) was born in Nantou, Taiwan, R.O.C. He received the B.S. degree in electronic engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree at National Cheng Kung University. His research interests include microwave passive components and measurements.

VII. CONCLUSION Two types of coupled microstrips have been analyzed in this paper. The transmission zero conditions have been derived. This paper has also demonstrated the problems of coupled-microstrip filter design due to the inherent different mode velocities. It has been shown that the problems cannot be solved by simply changing the coupled-line lengths. A new concept of effective parameters is proposed to study the effects such as increased reflection and reduced bandwidth. Design equations have also been given to rectify the circuit parameters for improving the passband responses. Two filters with their second and third harmonics suppressed by the transmission zeros due to the coupled microstrips have been designed. With the help of the effective parameters, the filters have successfully achieved good passband responses and wide out-of-band rejections.

Chih-Ming Tsai (S’92–M’94) received the B.S. degree in electrical engineering from the National Tsing Hua University, Taiwan, R.O.C., in 1987, the M.S. degree in electrical engineering from the Polytechnic University, Brooklyn, NY, in 1991, and the Ph.D. degree in electrical engineering from the University of Colorado at Boulder, in 1993. From 1987 to 1989, he was a Member of the Technical Staff with Microelectronic Technology Inc., Taiwan, R.O.C., where he was involved with the design of digital microwave radios. In 1994, he joined the Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, R.O.C., where he is currently an Associate Professor. His research interests include microwave passive components, high-speed digital design, and measurements.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2819

A Resonant Switch for LNA Protection in Watt-Level CMOS Transceivers William B. Kuhn, Senior Member, IEEE, Mohammad M. Mojarradi, Member, IEEE, and Alina Moussessian

Abstract—An integrated resonant switch designed to protect low-noise amplifier (LNA) circuits in CMOS transceivers is reported. The design implements the receive-path portion of a transmit/receive switch protecting 3-V-process transistors from 5 W (22-V peak) transmit signals while simultaneously helping to achieve a good LNA noise figure on receive and low power loss on transmit. Since the approach is to combine an LNA’s matching network and switch functions, the design has no traditional insertion loss on receive. The effective loss to the transmitted signal is 6) and less than 0.5 dB using moderate quality inductors ( 0.1 dB using = 12 inductors achievable in most RF-aware CMOS silicon-on-insulator foundries at UHF through -band frequencies. Index Terms—CMOS transceivers, low-noise amplifier (LNA), transmit/receive (T/R) switch.

Fig. 1. Typical GaAs T/R switch (after [2]).

The goal is to eliminate the off-chip switch/circulator components traditionally used while maintaining overall system-level performance.

I. INTRODUCTION

R

F transceiver implementations have historically relied on a mixed integrated-circuit (IC) approach, with low-noise amplifier (LNA) and power-amplifier (PA) functions in GaAs, up/down-converters in bipolar/BiCMOS, and digital signal processing (DSP) functions in CMOS processes. However, significant strides have been made in implementing full-CMOS designs including LNA and PA circuits on the same die. Recent research on PA design has shown that multiwatt outputs are feasible in standard CMOS with good efficiency [1]. As higher powers are reached, it becomes critical to protect the sensitive gate input of the transceiver’s LNA from the high voltages associated with these multiwatt outputs. At a power of only 2 W found in typical time-divsion multiple-access (TDMA) phones, peak voltages on a 50- antenna reach 14 V. Such voltages will destroy the LNA input transistors if they are not protected in some way. Even for lower power applications, some form of switching is needed to prevent transmit power from being absorbed in the receiver input path. This paper describes a solution developed during the design of an -band phased-array radar employing a 5-W single-chip silicon-on-insulator (SOI) CMOS transceiver at each antenna.

Manuscript received August 30, 2004; revised February 15, 2005. This work was supported by the Jet Propulsion Laboratory, California Institute of Technology, under a Contract with the National Aeronautics and Space Administration. W. B. Kuhn is with the Department of Electrical and Computer Engineering, Kansas State University, Manhattan KS 66506 USA (e-mail: [email protected]). M. M. Mojarradi and A. Moussessian are with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109-8099 USA. Digital Object Identifier 10.1109/TMTT.2005.854176

II. BACKGROUND The classic approach to transmit/receive (T/R) switch design, typically implemented in GaAs processes, involves reflective architectures such as that shown in Fig. 1 [2]. is If this switch is used between the antenna and LNA, is set to 0 V on transmit, set to a negative voltage and , and turning off the depletion-mode GaAs MESFETs . then presents a high impedance (limited turning on by capacitive parasitics) to the transmit signal. shorts any provides further isolation feedthrough to ground while if needed. On receive, the control voltages are reversed and transfer the signal to the LNA, limited by series resistance in the switches, which degrades the noise figure (NF) to some degree. Reported insertion loss for this design is 1.7 dB, although it is possible to reduce this number through use of larger field-effect transistor (FET) devices and newer processes. Unfortunately, as the FETs are increased in size, parasitic capacitance becomes more pronounced—a problem that has been addressed through various resonant-circuit techniques. One such technique involves resonating the drain–source parasitic of FETs such as in Fig. 1 with an capacitances on-chip inductor [3]. An equally important problem, with or without this isolato tion-improving technique, is the exposure of switch FET the full voltage at the antenna terminal during transmit mode. With suitable GaAs MESFETs, it is feasible to build switches such as these to handle watt-level signal swings, but in CMOS, breakdown voltages are in the 4-V or below range and these designs become difficult to implement. Recently reported low-loss designs have 1-dB compression points limited to 20–30 dBm

0018-9480/$20.00 © 2005 IEEE

2820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 2. Monolithic-microwave integrated-circuit (MMIC) resonant switch employing low-voltage shunt transistors (after [6] and [7]).

Fig. 4. CMOS LNA topologies: (a) with and (b) without inductive source degeneration.

Fig. 3. Receive path design of Talwalkar et al. [8] employing shunt switching for LNA protection.

[4].1 A better approach for high power operation is to employ resonant circuit techniques [5]. Implementations in GaAs have been studied by Tokumitsu et al. [6], [7]. As shown in Fig. 2, the LNA is protected by the high impedance of a parallel-tank circuit during transmit. Unlike the previous designs, the switching transistors are on during this mode and, hence, have only low voltages across their drain–source. Reported performance with these techniques is a receive insertion loss of 2 dB and transmitter-to-receiver isolation of 30–40 dB while supporting transmit power levels on the order of 30 dBm or above. More recently, Talwalkar et al. [8] have shown a T/R switch employing resonant circuit techniques in CMOS with 1.6-dB insertion loss to the receive path, and 1.6-dB insertion loss to the transmit path while tolerating signal levels of close to 1 W. Their receive path design employs a pi structure, shown in Fig. 3, with to protect the LNA on receive. With on, a shunt switch is shorted to ground and form a resonant circuit to create a high impedance (reflective load) to the transmit signal. is turned Thus, the PA output is routed to the antenna. When off to place the switch in receive mode, the pi network acts as -matches back-to-back and simply passes the two 50 : 25signal to the LNA with a moderate loss due to the inductor. While the authors do not explicitly acknowledge it, this shuntswitch technique, with suitable modifications and rethinking, has the potential to achieve the goal of this paper—combining the switch function with a matching network function to decrease loss on both transmit and receive. III. COMBINING MATCHING AND SWITCHING FUNCTIONS All the designs in Section II present an insertion loss on receive. Since the switch resides between the antenna and LNA, 1Commercial

device datasheet. [Online]. Available: http://www.peregrine-semi.com/pdf/pe4259ds.pdf

with 50- terminations assumed on each side, a 1–2-dB insertion loss will degrade the LNA’s own NF by 1–2 dB, leading to overall NF values of 3–5 dB or higher for fully integrated designs without the benefit of high- off-chip inductors. The solution, as previously stated, is to combine the switching and impedance-matching functions. This technique is especially appropriate for low-interference environments such as the radar transceiver circuits in which the design is being employed. Other potential applications include active RF identification (RFID) tags and low-power wireless sensor networks. Unlike cellular applications where 50- terminations are typically employed to satisfy preselect filter impedance requirements, such systems do not demand a 50- environment past the antenna port.2 Two typical LNA designs that achieve good NF at low-tomoderate power consumption are shown in Fig. 4. Both designs improve the NF by using series resonances to provide voltage step-up from the antenna to the LNA transconductor input. In Fig. 4(a), this is achieved while simultaneously providing a resistive input impedance at the operating frequency [9]. An analysis of this design shows that the input impedance is (to first order) [9] (1) where is the FET’s input capacitance, is its transconductance, and is its current gain-bandwidth product. At the from to drain cursame time, the net transconductance and rent is, by virtue of series resonance between [9], (2) where is the resonant/operating frequency and is the terminal source resistance seen to the left-hand side of the (typically 50 ). Note that very large effective transconductances are possible if . This feature, which results from the series-resonant-circuit voltage step-up, is directly responsible for the low NFs possible with this architecture. With much larger than the in the FET, the source noise the net 2The other argument often made for 50- termination is for systems where the antenna is remote from the T/R module—a constraint which is not present in the target applications.

KUHN et al.: RESONANT SWITCH FOR LNA PROTECTION IN WATT-LEVEL CMOS TRANSCEIVERS

2821

contribution to total output noise current in the drain is dominant. An analysis with losses in included shows that the noise factor is given by (3) where represents losses in the inductor in the form of an is the product of the FET’s equivalent series resistance and channel excess noise factor and its zero drain–voltage conduc[9]. Note that excellent NFs are possible provided the tance and that the series operating frequency is sufficiently below resistance of the input inductor is sufficiently below the antenna can be placed off-chip to source resistance . If necessary, achieve the best possible noise performance, and to allow absorption of bond-wire parasitics if a low-inductance package is not available. In Fig. 4(b), the voltage step-up is provided without resistive impedance formation. This design presents a short circuit (ideally) at the amplifier input, but still produces good gain and NF due to the series resonance. The performance can be found from set to zero and with replaced with (1)–(3) with and replaced by (4) Clearly should be minimized for good NF, producing a high- resonance and a high impedance seen looking back through the -match toward the source. The practical limitation comes from parasitic capacitances, as well as the maximum can reach before in (3) becomes too large. value, which The design of Fig. 4(b) is permissible in applications such as radar and satellite receivers, which mount the LNA immediately at the antenna and which, by virtue of antenna pointing selectivity and half-duplex operation, do not require additional preselect/duplex filtering beyond that provided by the antenna and matching network. This is viable as well in applications where a suitably designed integrated LNA provides some inherent preselection itself [10], [11]. It is also possible to combine the two approaches, placing a source inductor into the Fig. 4(b) circuit and absorbing the residual capacitive component of the input impedance into the . This latter approach is used in a transmatching network ceiver circuit example discussed in Sections VII and VIII. IV. PROPOSED SWITCH DESIGN The proposed receive switch design for either of these circuits is shown in Fig. 5. For simplicity, the LNA topology of Fig. 4(b) is assumed. form During receive, both switches are open and an -type impedance step-up network to increase the antenna voltage seen by the LNA and, hence, reduce NF as previously is in parallel with elaborated in (2) and (3). Note that FET both and the input capacitance of the cascode LNA so that is their parasitic capacitances can be absorbed as needed. If can placed off-chip to maximize its and minimize its NF, also absorb pad capacitance parasitics.

Fig. 5. Proposed switch connected to representative LNA circuit (optional source inductor not shown).

On transmit, both switches are closed and form a parallel-resonant circuit to minimize loading on the transmitter be the reactance of at resfeeding the antenna. Letting be its quality factor, the transmit-mode input onance, and impedance is simply (5) and This value is much greater than 50 since for good NF. For example, assuming a moderate impedance step-up between 4 and 16 in the matching network, component reactances will range from 100 to 200 and the loading on the transmitter will be 500–2 k with a moderate (5–10) inductor realization. This large resistance relative to a 50- antenna impedance will not significantly change the load resistance seen by the transmitter so that little load pulling will result. Moreover, the power absorbed by the network relative to the be the antransmitter output power will be small. Letting be its impedance alone, tenna voltage during transmit, and this ratio is (6) Evaluating (6) for the case above results in an absorption of 9%–2.4% of the transmit power, translating to an effective loss of only 0.4–0.1 dB respectively. forming a reflective termination as seen In addition to form an attenuator to decrease by the transmit signal, the voltage seen by the LNA. At the same time, the relatively limits ac currents to reasonable levels so high reactance of that metal width in the inductor and associated circuits are kept to manageable dimensions. Comparing the design of Fig. 5 with that of Fig. 3, the new technique integrates an -type step-up matching network with the switching function to obtain good overall NF rather than acting as a standalone switch with associated additional losses. The only significant negative effects are the noise contributed by the matching inductor itself, which is needed in any case. Morein the -match will typically have a higher over, the inductor than the separate-switch pi topology. Hence, the reactance inductor currents in transmit mode are less, allowing higher transmit power to be tolerated. In addition, power loss in ) is less for transmit mode (inversely proportional to times a given inductor . Finally, the design offers increased degrees

2822

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Approximate noise model of L-match. Fig. 7. Die photograph of prototype switch.

of freedom in achieving the resonance between is not a significant factor on receive. value of

since the

V. PROTOTYPE IMPLEMENTATION To validate the approach, a representative prototype switch was designed and fabricated in a 0.35- m CMOS SOI process inductors. The prototype matches the with moderate schematic of Fig. 5 minus the LNA and was designed for a pF, nominal operating frequency of 1.2 GHz using pF, and nH (two 8.2-nH spirals in is smaller than , series, each with a measured of 6). as discussed earlier, to absorb parasitic drain–source capac, which has ,a value of itance of approximately 0.2 pF, and a designed value of 5 . Both are slightly smaller than the calculated resonating value due to compensation for capacitive parasitics from the spiral inductors. With these component parameters, the expected of the LC and the tank in transmit mode is impedance in parallel with the antenna is 500 —corresponding to 10% power absorption or 0.5 dB loss. The predicted attenuation of the transmit antenna voltage to the LNA input is 0.04, allowing signals in excess of 5 W to be tolerated without exceeding 1-V peak at the LNA. At the same time, the moderkeeps ac current density within ately high 125 reactance of a typical 3-mA/ m top-metal ac electromigration limit for the 60- m inductor trace width used. In receive mode, the series-resonant tank formed by is when off), resulting 21 (assuming negligible loss in , an impedance step-up in a loaded of from 50 to 300 , and a voltage step-up ratio of 2 from the open-circuit antenna voltage (4 relative to the 50- loaded antenna voltage). As previously discussed, this voltage amplification is critical to obtaining good NF in an attached LNA intended for low power consumption. However, one must also consider the losses within the inductor itself and its effect on the NF, as shown in Fig. 6. With the moderate inductors used, the source noise is increased by 71/50, which translates to an NF hit of 1.5 dB. While this value is significant, it should be noted that it is due to the integrated LNA input impedance match rather than the switch and would be increased further by the approximate 2-dB insertion if the earlier switch designs were used. While it is true that a pi network such as that used in Fig. 3 can also perform impedance transformations, the requirement to present a specific resonant frequency on transmit constrains this. In addition, the pi match will necessarily have higher losses due to its operation as two back-to-back -matches. The circuit of Fig. 5 is intentionally designed to combine matching with switching using

Fig. 8. S 11 values of Fig. 7 circuit at input (antenna port) in transmit and receive mode with output (LNA port) open (calculated from measured two-port S -parameters).

a lower-loss -network and can implement the required resonance frequency on transmit over a wide range of impedance transformations. It should also be noted that higher inductors ) possible with thicker metal and/or higher fre(e.g., quency operation could decrease the NF hit to 0.8 dB or less in the new architecture—providing the possibility of a combined switch/LNA fully integrated in CMOS with very low overall NF. VI. MEASURED RESULTS A fabricated prototype is shown in Fig. 7 undergoing two-port network analyzer measurements with ground–signal–ground (at the antenna port end with (GSG) probes. Measured LNA port open) is shown in Fig. 8 for the case of both receive and transmit modes. In both cases, the resonant frequency was found to be 1.1 GHz, indicating the design target of 1.2 GHz was missed by approximately 10% and minor adjustments are needed to achieve design centering in the next revision. In receive mode, the series-resonant input impedance at the (suggesting actual resonant frequency of 1.1 GHz is 23.7 some small additional losses from the off-state switches) and, in transmit mode, the parallel-resonant impedance is 521 . Both of these are close to the predicted values, validating that NF and PA efficiency hits of 10% and 2 dB are obtainable, even with inductors. Transmission values derived from measurements in receive and transmit modes are shown in Fig. 9 and are also close to predicted values. As in the reflection measurement case, these are plotted for the case where the LNA port is modeled as a capac. During reitive input impedance whose is absorbed into ceive, the matching network provides a boost of 1.7 relative to

KUHN et al.: RESONANT SWITCH FOR LNA PROTECTION IN WATT-LEVEL CMOS TRANSCEIVERS

Fig. 9. Voltage gain from antenna port to LNA port in transmit and receive with LNA port open (calculated from measured two-port S -parameters).

Fig. 10. Simplified schematic of switch/matching-network/balun circuit.

differential

LNA

Fig. 11.

2823

Die photograph of LNA.

incorporating

the received open-circuit antenna voltage at 1.1 GHz (3.4 relative to a 50- loaded value). In transmit mode, the RF voltage on the antenna is reduced by 0.044, which corresponds to reduction of a 5-W (22-V peak) signal to an easily tolerated 1-V peak at the LNA port. VII. APPLICATION CIRCUIT EXAMPLES The switch concept described is currently being designed into two systems—an -band phased-array radar with a separate T/R module at each antenna, and a very low power UHF transceiver IC. In the case of the radar, low power is required due to the multiplication of the LNA power by thousands of array elements. A simplified schematic of the LNA together with the switch is shown in Fig. 10. In this circuit, the switch/matching network also doubles as a balun to convert the signal to differential form on receive. Hence, the implementation is more elaborate than that of Fig. 5. A die photograph of the circuit is shown in Fig. 11, and and on transmit and receive are shown the measured in Fig. 12. Note that the overall behavior matches the previously discussed behavior, except that the transmit-mode input impedance is only 200 and the transmit signal is reduced by when only 0.1 (as seen by the 20-dB step in measured switched from the receive to transmit mode). This is due to a at design decision to implement a balun function and place the center of the two inductors. In the next revision, the circuit of Fig. 5 will be used directly and the LNA input stage will

Fig. 12. Measured: (a) S 11 and (b) S 21 from 10 MHz to 2 GHz on transmit and receive. Vertical scale is 10 dB/div in S 21 plot.

perform the balun operation by ac terminating the opposite input so that a higher and attenuation will be present during transmit. Simulation indicates that the performance of the basic switch in Figs. 8 and 9 can be reached with this modification.

2824

Fig. 13.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Single-ended UHF LNA using integrated switch/matching-network.

Measured NF of the amplifier was approximately 3.5 dB at 8-mA current draw (excluding 50- output buffers). While slightly above target, this result includes the fully integrated switch function and is adequate for the intended application. A lower NF LNA design incorporating the switch technology is shown in Fig. 13. This LNA is designed for use in a UHF microtransceiver using silicon-on-sapphire (SOS). It operates at 430 MHz while drawing less than 1 mA from a 3-V supply. Simulated power gain is 17 dB and the simulated NF is 2.7 dB inductors. In transmit mode, the simulated inputusing port impedance is 1200 , absorbing only 4% (0.2 dB) from the transmit signal power. Note that p-channel FETs are used due to their lower (nearly ideal) measured gamma factor in the target process [11]. Note also that the inductor values are large due to operation at UHF, as well as the need to achieve good NF with only 1-mA current consumption. Such inductors are possible with up to ten in SOS by taking advantage of the insulating substrate, 3-5- m metal, and large physical dimensions (600 m for the input inductor) [11]. VIII. TRANSMITTER SWITCH CONSIDERATIONS To implement a fully integrated transceiver, a transmit-side switch is required in addition to the receive switch discussed in this paper. One alternative is to use a pass transistor and substrate LC-tuned substrate bias [8] and accept the 1.5 dB of insertion loss. Another is to employ the concept of integrating matching networks with the switching function, as done with the LNA. To achieve moderate-to-high power in a CMOS PA, either a matching network is required to transform the 50- antenna load to a lower value, and/or some form of transformer combining is required. An example class-D cascode 150-mW PA using the former technique alone is shown in Fig. 14. This 400-MHz PA is designed as a companion to the LNA is on, switching in capacitance of Fig. 13. On transmit, (together with transistor parasitics). Since to resonate with is on, the voltage across it is limited to very low values, providing low loss, good linearity, and no breakdown concerns. Simulated efficiency of the PA under this condition is approxis turned off imately 40%. When placed in receive mode, achieve a total of approximately 16 nH (excluding and parasitic effects) to resonate with at the 430-MHz receive frequency. The simulated impedance seen looking back into the PA from the antenna port is shown in Fig. 15.

Fig. 14. Simplified schematic of 400-MHz PA.

Fig. 15.

Simulated S 22 of PA in receive mode using

Q = 12 inductors.

At the receive frequency, this impedance (using inductors) is 350 , which implies a small amount of attenuation to the receive signal and a small amount of additional noise. Extending the circuit of Fig. 13 to include these effects shows a total 3.5-dB NF. Comparing this to the 2.7-dB value previously found with the LNA/switch alone implies a modest 0.8-dB additional degradation when the transmitter is connected to the antenna. In a total transceiver link budget, this NF hit must be traded against the potentially higher transmit efficiency hit of a more traditional switch design. For example, in the radar application envisioned, NF and PA efficiency can be traded directly and this PA switch technique becomes competitive. IX. CONCLUSION Significant strides are being made in integrating moderate to high-power PA designs into low-voltage CMOS processes. To allow such designs to be integrated on the same die as the LNA and other RF circuits, the LNA must be isolated, as well as protected from the large RF voltage swings present at the antenna port. Traditional reflective switches are unsuitable since deep submicrometer CMOS processes have breakdown voltages of 4 V or less. The solution is to use shunt switches, which are in the on-state during transmit, together with resonant circuits to combat parasitic capacitance limitations. In this paper, these techniques are employed while simultaneously integrating matching networks with the T/R switch function. The resulting LNA-protection circuit enables full integration while achieving

KUHN et al.: RESONANT SWITCH FOR LNA PROTECTION IN WATT-LEVEL CMOS TRANSCEIVERS

good performance (0.5-dB effective transmitter power loss and approximately 2.5–3.5-dB total NF) with only moderate quality – ) inductors at UHF through -band. Designs im( plemented with better inductors (e.g., to ), which are possible at higher frequencies of operation in SOI processes with very high-resistivity substrates, could potentially improve these values to 0.2 and 2 dB, respectively.

ACKNOWLEDGMENT The authors would also like to thank K. Sandquist, now with Sandia National Laboratories, Albuquerque, NM, for his work on the radar LNA design and layout.

2825

William B. Kuhn (S’78–M’79–SM’98) received the B.S. degree in electrical engineering and Ph.D. degree from the Virginia Polytechnique Institute (Virginia Tech) and State University, Blacksburg, in 1979 and 1996, respectively, and the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1982. From 1979 to 1981, he was with the Ford Aerospace and Communications Corporation, Palo Alto, CA, where he designed radio receiver equipment including frequency synthesizers and bit synchronizers. From 1983 to 1992, he was with the Georgia Tech. Research Institute, Atlanta, where he was primarily involved with radar signal analysis and mixed-signal circuit simulator development. In 1996, he joined Kansas State University, Manhattan, as an Assistant Professor, and in 2000, become an Associate Professor. He currently teaches courses in communications theory, radio and microwave circuit/system design, and very large scale integration (VLSI). His research is primarily targeted at low-power radio electronics in CMOS, BiCMOS, and SOI technologies. Dr. Kuhn was the recipient of the 1993 Bradley Fellowship presented by the Virginia Tech and State University and a 1999 Faculty Early Career Development (CAREER) Award presented by the National Science Foundation (NSF). He was also the recipient of the 2001 Hollis Award for Excellence in Undergraduate Teaching presented by Kansas State University, the 2002 and 2003 Eta Kappa Nu Distinguished Faculty Award, and the 2004 Paslay Professorship in Electrical and Computer Engineering.

REFERENCES [1] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [2] J. A. Torres and J. C. Freire, “Monolithic transistors SPST switch for L-band,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 51–55, Jan. 2002. [3] K. Kawakyu, Y. Ikeda, M. Nagaoka, K. Ishida, A. Kameyama, T. Nitta, M. Yoshimura, Y. Kitaura, and N. Uchitomi, “A novel resonant-type GaAs SPDT switch IC with low distortion characteristics for 1.9 GHz personal handy-phone system,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1996, pp. 647–650. [4] F.-J. Huang and K. K. O, “Single-pole double-throw CMOS switches for 900-MHz and 2.4-GHz applications on p-silicon substrates,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 35–41, Jan. 2004. [5] M. E. Hines, “Fundamental limitation on RF switching and phase shifting using semiconductor diodes,” Proc. IEEE, vol. 52, no. 6, pp. 697–708, Jun. 1964. [6] T. Tokumitsu, I. Toyoda, and M. Aikawa, “Low voltage, high power T/R switch MMIC using LC resonators,” in IEEE Microwave and MillimeterWave Monolithic Circuits Symp., Jun. 1993, pp. 27–30. [7] T. Tokumitsu, I. Toyoda, and M. Aikawa, “A low-voltage, high-power T/R-switch MMIC using LC resonators,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 997–1003, May 1995. [8] N. A. Talwalkar, C. P. Yue, H. Gan, and S. S. Wong, “Integrated CMOS transmit-receive switch using LC-tuned substrate bias for 2.4-GHz and 5.2-GHz applications,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 863–871, Jun. 2004. [9] D. K. Shaefferm and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [10] W. B. Kuhn, “Fully integrated bandpass filters for wireless transceivers—Problems and promises,” in IEEE Midwest Circuits and Systems Symp., Aug. 2002, pp. II-69–II-72. [11] W. B. Kuhn, D. Nobbe, D. Kelly, and A. W. Orsborn, “Dynamic range performance of on-chip bandpass filters,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 10, pp. 685–694, Oct. 2004.

Mohammad M. Mojarradi (M’92) received the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1986. Prior to joining the Jet Propulsion Laboratory, Pasadena, CA, he was an Associate Professor with Washington State University, and the Manager of the Mixed-Voltage/Specialty IC Group, Xerox Microelectronics Center, El Segundo, CA. He is a specialist in integrated mixed-signal/mixed-voltage electronic sensors, micromachined interface circuits, and mixed-mode IC design. He possesses over 20 years of combined industrial and academic experience in his field. His current research focuses on developing highly efficient integrated mixed-signal electronics for sensors, actuators, and power management and distribution (PMAD) systems for avionics SOC for deep space using the SOI CMOS process.

Alina Moussessian received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, in 1997. While with the California Institute of Technology, she was involved with microwave and millimeter-wave power combining, beam steering, computer-aided design, and microwave circuits. Upon graduation, she joined the Radar Science and Engineering Section, Jet Propulsion Laboratory (JPL), California Institute of Technology, where she was involved with the Shuttle Radar Topography Mission (SRTM) radar testing and the development of a testbed airborne radar sounder for the Europa Orbiter Radar Sounder. From 2000 to 2001, she worked in industry, during which time she developed optical telecommunication components. Since returning to the JPL in 2002, she has been involved in technology development projects for very large aperture phased arrays. She is currently involved with membrane radar systems for future National Aeronautics and Space Administration (NASA) missions and advanced components technology for membrane-based phased arrays. She is currently the supervisor of the Radar Technology and Hardware Implementation Group, JPL.

2826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Guaranteed Passive Direct Lumped-Element Modeling of Transmission Lines Se-Ho You, Student Member, IEEE, and Edward F. Kuester, Fellow, IEEE

Abstract—A novel approach to the reduced-order modeling of the frequency-dependent skin-effect ( – ) parameters of a transmission-line interconnect is proposed. A lumped-element circuit model for a short length of the lines is obtained directly from the finite-element method matrix equations. Only the most “important” eigenvalues and eigenvectors of the finite-element matrix are used, based on the contribution they make to the line parameters. The resulting reduced-order equivalent circuit is always passive and stable without the need for special modifications. The model can thus be immediately applied to problems where the transmission line is connected to nonlinear circuits. The model should find practical use in the design of flexible interconnect circuits as well as in other areas of high-speed digital electronics. Index Terms—Eddy currents, finite-element methods (FEMs), reduced-order system, transient analysis, transmission lines.

I. INTRODUCTION

T

HE micro-interconnect flex circuit has been widely used for integrated circuit (IC) packages, inkjet printers, hard–disk drives (HDDs), liquid crystal displays (LCDs), medical devices, and other emerging applications requiring flexible electrical connections [1]. Since printed circuit conductors or flex-on-suspension technology that employs a microflex circuit have replaced twisted pairs of wires for the electrical connections, a designer has considerable freedom to optimize desired electrical characteristics of an interconnect [2]–[5]. Most high-speed device-level simulations cannot achieve the required accuracy without including interconnect models [6]. The interconnect must be treated as a lossy transmission line due to the high data rates at which it is used. A convenient method of modeling such lines is in terms of a lumped-element equivalent circuit [7]. Other methods exist, notably based on the Fourier or Laplace transform, but these perform much more slowly in time-domain SPICE simulations than lumped-element models do. This is especially important because most electronic circuits have significant nonlinear portions which are most efficiently modeled in the time domain. Although a full-wave three-dimensional (3-D) simulation of an interconnect (including discontinuities and nonuniformities of the line) might be desirable, such modeling is much more time-consuming than the analysis of a uniform transmission line, which requires only a two-dimensional (2-D) analysis. Although some accuracy is lost when this simulation is made, a good approximation to an actual nonuniform interconnect can be achieved by the so-called divide-and-conquer method [8], in Manuscript received September 9, 2004. The authors are with the Department of Electrical and Computer Engineering, University of Colorado, Boulder, CO 80309 USA. Digital Object Identifier 10.1109/TMTT.2005.854175

which the nonuniform line is replaced by a cascade of short uniform sections. The 2-D analysis usually involves the quasi-TEM approximation [9], [38], [10], [11], wherein the per-unit-length (p-u-l) , and can be calculated separately: line parameters and from an equation involving the transverse magnetic fields (the eddy-current equation [12]) and and from an equation involving the transverse electric fields, completely decoupled from the eddy-current equation. For typical interconnect problems, the quasi-TEM assumption will be accurate at frequencies up to 10–20 GHz [10], which is more than adequate for current practical applications. The finite-element method (FEM) [13] has often been used to solve the eddy-current problem numerically. When this is done one frequency at a time over a wide band of frequencies (as is usually the case), it requires relatively lengthy computation. The problem becomes worse when the frequency is high enough that a fine mesh is necessary for taking into account skin and proximity effects. There have been many efforts to replace these accurate but computationally intensive methods by faster and simpler methods that are nevertheless sufficiently accurate for the intended application. Most recent are the so-called model-order reduction methods which replace the exact interconnect with a simpler system which possesses some of the same moments (chosen appropriately) as the original. The asymptotic waveform evaluation method [14] is a seminal work in this area, having inspired much subsequent research (see [15]–[17] and references therein; [17] also reviews approaches besides those based on asymptotic waveform evaluation and FEM). In spite of all of this work, we found none that quite fulfilled all of our desired goals: 1) a reasonably simple reduced-order model; 2) a simple lumped-circuit model directly compatible with SPICE and needing no transform-domain intermediate processing; 3) the reduced-order model is passive and stable automatically, without the need for any postprocessing to achieve theses properties; and 4) the topology of the lumped-element equivalent circuit follows directly from the mathematical analysis and does not need to be assumed a priori. The authors [18] published a method that extracts a lumpedelement interconnect model from the FEM solution without any intermediate steps. However, the technique can generate a circuit containing negative pairs of – elements. In this paper, we show a novel improved method that gives guaranteed passivity by ensuring that all and elements are positive. After a review of the FEM formulation of the eddy-current problem, we recall Silvester’s modal theory of the skin effect and apply it to the FEM solution of our problem. A reduced-order model

0018-9480/$20.00 © 2005 IEEE

YOU AND KUESTER: GUARANTEED PASSIVE DIRECT LUMPED-ELEMENT MODELING OF TRANSMISSION LINES

2827

and in (1) are assembled in the where the matrices usual way for node-based FEM. The real symmetric matrix and the real valued excitation column vector are defined by (2) (3) and vector Fig. 1. Cross section and mesh for microstrip. Conductivity for the trace is = 5:74 2 10 [ 1m ]) and for ground is that of that of copper ( = 1:39 2 10 ). The substrate dielectric constant is that stainless steel ( m, that for the of polyimide ( = 3:1). The trace area is 150 2 20 2 10 m, and the substrate area is 400 2 20 2 10 m. ground is 440 2 20 2 10

is then proposed which uses only the most “important” of the skin-effect modes, based on the size of their contribution to the p-u-l resistance and inductance. We finally present results comparing the dependence of and on frequency for the new reduced-order model to that obtained from “exact” FEM and give some examples of time-domain simulation in SPICE using our new model.

is assembled as follows: if otherwise

(4) (5)

is the total current, is the permewhere is the domain of the th conductor, is the ability of air, total number of elements in the th conductor, and finally is a zero-filled column vector. and resistance are obtained The p-u-l inductance from well-known expressions for stored magnetic energy and power dissipation; when

II. INDUCTANCE AND RESISTANCE VIA FEM This section presents a review of the FEM formulation of the eddy-current problem, and its use in finding p-u-l and of a uniform transmission line. We will obtain in a more compact and convenient form than in our previous work [18]. There are a number of formulations of the eddy-current problem for use with FEM [13], [19]–[23]. For our work, it was found most convenient to use the integro-differential formulation of Konrad [19] in which the vector potential is the only unknown, and total conductor currents may be specified directly. Recently, Alfonzetti et al. [22] have shown that the structure of the full matrix obtained in [19] can be simplified somewhat, leading to reduced storage requirements for the FEM matrices on the computer. This formulation allows us easily to enforce the condition of zero net current flow in all conductors, because the total current in each conductor may be chosen to be independent of frequency. A single transmission line consists of -directed homogeneous straight trace and ground conductors with rectanand finite conductivities gular-shaped cross-section areas ( denotes the trace and the ground). In accordance with the coordinate system shown in Fig. 1, the magnetic vector potential has only one component which we will call where is the Laplace transform varidoes depend on and , we will only show able. Although this explicitly when necessary for clarity. In FEM, is approximated by piecewise-linear element functions with values at . the FE mesh nodes given by entries in the column vector becomes The global formulation [19], [22] for (1)

(6) (7) where the total current density is the sum of an unknown complex uniform source current and the nonuniform eddy current. In other words (8) where (9) Here, the superscript denotes complex conjugate, and is the matrix or vector transpose. When is equal to and this is the proper generalization of complex conjugation when is complex. Therefore, let the definitions of the square of the current and of the total current density be [24] (10)

(11) Note that Konrad’s formulation greatly simplifies the left-hand sides of (6) and (7). Note also that eddy currents in both conductors have to be accounted for and incorporated as shown in (7) [25]; the ground is not assumed to be perfect.

2828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Assuming linear triangular-shaped functions, the inductance can be written in terms of the vector potential and FE matrix [13], [18], [26] as (12) The resistance is obtained by substituting the first and second terms in (11) into (7) and summing up the results. We then have Fig. 2. Modal equivalent circuit for the eddy-current equation.

(13) where the complex scalar

is defined by (14)

and the following property of

is used: (15)

The sum of integrals of the last term in (11) becomes (16) (17) The final result is more compact than what the authors reported in [18] (18) where a new matrix is defined by . Finally, the p-u-l dc resistance by

couplings between circuit elements in the modal representation, since the modal currents are entirely independent of each other throughout the length of the conductor [27], [28]. This approach usually has not worked well at high frequencies because, in its usual form, a large number of modes is required when the skin effect is strong. On the other hand, a somewhat different equivalent circuit involving coupled inductances is proposed in [28] as a numerical technique to aid in obtaining the uncoupled modal equivalent circuit. This approach has also been used for the interconnect problem by Vu Dinh et al. [30] and Pasha et al. [31]. It is important to distinguish between these two equivalent circuits, especially because the presence of mutual inductance will significantly complicate SPICE analysis of the circuit. Moreover, the authors of [31] have used PRIMA [32] to achieve model order reduction, and there is no known way to extract a passive lumped-equivalent circuit in this method for the general case. We propose a new approach combining Silvester’s approach and FEM to generate circuits that model transmission lines well even at high frequencies. This approach chooses a subset of the eigenvalues and eigenvectors based on a quantity called the importance that judges which terms most significantly contribute to the impedance. Finally, it will be shown that this approach always generates positive – pairs of elements, and thus passivity is guaranteed. A. Vector Potential , and correspond to Since the matrices nodes both on conductors and in the dielectric, it is convenient to isolate only the conductive nodes [33] for eigenvalue and eigenvector computation. Let (1) be partitioned in the following way:

with is defined (20) (19)

III. MODAL APPROACH WITH EXACT POLES AND EIGENMODES Silvester et al. [27]–[29] gave a method for a circuit representation of the eddy-current problem that is in principle exact for any form of terminal excitations of conductors (see also [26]). In the solution of the eddy-current equation, the vector potential is written as a superposition of eigenfunctions. After substituting this expansion into the eddy-current equation, an equais obtained. tion for the expansion coefficients in terms of As shown in Fig. 2, it is important to note that there are no

where is a column vector of potentials at conductor is a vector of potentials at dielectric nodes, while nodes. This matrix partition process can be achieved by either picking out conductive nodes after assembling the matrices or node renumbering (as in the Cuthill–McKee algorithm [34]) such that all nodes on conductors come together before assembling any matrices. The connection matrices between conductor and dielectric nodes are rectangular and related . Then, the lower block in (20) whose by coefficients are independent of reads (21)

YOU AND KUESTER: GUARANTEED PASSIVE DIRECT LUMPED-ELEMENT MODELING OF TRANSMISSION LINES

where by definition, since no excitations are applied to nodes associated with dielectric materials. The reduced equation for the conductor node potentials now reads (22)

where (33) and the scalar

where the reduced matrices are defined by

(34) (23) (24)

Similar to the definition in (2), the

Multiplying (33), we also have

on the left-hand side of (27) and applying

is defined by

(35) (25)

Finally, the vector potential from (26) is given by

Following Silvester’s approach, let the vector potential be

(36) (26)

where is an eigenvector and is the number of conand eigenvectors ductor nodes. With negative real poles for , the eigenvalue problem corresponding to (22) can be expressed as (27) , we estabBefore attempting to find coefficients for the lish orthogonality conditions which turn out to be very useful. Consider an eigenvector that satisfies . Multiplying on the left-hand side of (27) and transposing the expression, we have (28) Thus, except when is satisfied

is equal to

, an orthogonality condition

for

for

Equation (36) is already in the partial fraction expansion form that was obtained after several steps in [18]. In the spirit of other moment matching techniques that are exact at dc, the dc term is explicitly extracted from (36), so that (37) where the vector potential at dc is obtained from (36) as (38)

B. Inductance and Resistance In this section, p-u-l inductance and resistance will be written after substituting the vector potential derived in (37) into the inductance and resistance equations. Replacing the vector potential on dielectric nodes using (21), the inductance in (12) becomes

(29)

In a similar fashion, another orthogonality condition with respect to matrix is obtained

, we substitute To determine the (22) and use (27) to obtain

2829

(39) and the resistance in (18) becomes (40)

(30) from (26) into

After orthogonality conditions are applied, the inductance becomes (41)

(31) where Multiplying on the left-hand side of (31) and using (29), is expressed as the (32)

(42)

2830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

By a similar method, the resistance becomes

(43) If the impedance is just obtained by combining p-u-l resistance and inductance, it would appear that we have a p-u-l impedance containing terms that grow as and when . However, using (38) and a little algebra, we find that (44) (45)

Fig. 3. Importance F for 1111 poles. The poles in boxes represent the 15 poles with highest importance.

Thus, the p-u-l impedance can be put in very compact form as (46) where (47) The form of (46) shows that increases no faster than as , as should be expected. The in (46) from the eigenmode approach has a form that naturally leads to Foster’s equivalent circuit. This approach always gives positive circuit elements because the coefficient is guaranteed to be positive. Upon close examination of (46), the authors propose a reduced-order model based on a pole-selection technique using what we call an importance criterion shown in Figs. 3 and 4 rather than the traditional pole selection strategy of taking them based on how close they are to the origin. Careful observation of and pole at high frequency (44) shows that the coefficient contribute to the magnitude of the overall impedance in the following way: (48) On the other hand, (43) shows that the coefficient and pole at high frequency contribute differently to the overall resistance

Fig. 4. Importance F for 1111 poles. This figure clearly shows F to select poles at lower frequency.

tends

The importance criteria in (51) are more oriented to select poles at lower frequency when applied to approximate impedance because

(49) From (48), the importance of the pole for

(52)

is defined by (50)

Then, poles selected from (50) approximate impedance and inductance at higher frequency well. The importance of a pole for the resistance is given by for

(51)

We use (50) because accurate impedance approximation is more important in extracting Spice-circuit models than is accurate resistance (which can lead to rather poor inductance approximation). The impedance near dc is already ensured to be accurate by way of taking out the dc solution in (37). Thus, the number of poles and eigenvalues affects mainly the accuracy of impedance at high frequency.

YOU AND KUESTER: GUARANTEED PASSIVE DIRECT LUMPED-ELEMENT MODELING OF TRANSMISSION LINES

TABLE I DIFFERENT SETS OF REDUCED-ORDER POLES (q = 20) FOR DIFFERENT NUMBERS OF NODES. POLES ARE ORDERED ACCORDING TO THEIR F

2831

TABLE II CONTRIBUTIONS TO THE CPU TIME (n = 1111)

Now we choose a reduced order such that is much less than . We choose the first poles with the largest values of . Thus the reduced order p-u-l impedance is given by (53) where

Fig. 5. Reconstructed inductance from approximated impedance with eigenmode approach.

1

(54) We argued in [18] that when poles are selected by a model-order reduction scheme it is not necessary to use fine mesh layouts. We are not clear at this moment whether the same is true when poles are selected by the Importance criterion. Table I shows the 20 for different numbers of nodes. It is to be poles with largest noted that the lowest order poles are fairly consistent, although their importance values can change with the number of FEM nodes. There needs to be further research to find what is the proper way to mesh the layouts. Perhaps a method analogous to frequency hopping should be used to find the best sets of poles. IV. RESULTS In this section, to verify the formulation presented in this paper and to compare to the results in [18], the same example transmission line shown in Fig. 1 is studied. All the computations are performed with Matlab [35] on a Pentium 4 (2.8-GHz CPU and 768 MB RAM) computer. Table II reports all of the significant contributions to the CPU time in seconds. There is a tradeoff of a longer computational time for giving guaranteed positive circuit element values. Calculation of both eigenvalues and eigenvectors takes 2.5 times longer than that of eigenvalues 1Note that L = Z =jp j is the high-frequency limit of the reduced-order model inductance. It would be zero if q = n . This is because, at sufficiently high frequency, FEM does not faithfully reproduce the strong skin-effect behavior. However, use of a sufficiently small mesh will give sufficient accuracy for frequencies of practical interest.

Fig. 6. Reconstructed resistance from approximated impedance with eigenmode approach.

only. The method could be considerably improved if only the most important eigenelements could be computed. For frequency-domain simulation, Figs. 5 and 6 show recon) and resistance structed inductance (i.e., (i.e., ). They are compared with the FEM solution of the eddy current equation over several frequencies. Two things are noticeable. First, the convergence is slower than the technique in [18]. Second, the resistance is approximated better at higher frequency.

2832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 7. Ansoft’s Spicelink [36] setup for time domain simulation. Source and termination resistances are 50 and 100 respectively. The entire transmission line is 10 mm. The shunt capacitance is 260 pF/m.

TABLE III LIST OF THE VALUES OF THE POLES, RESISTANCE, AND INDUCTANCE FOR l = 5 mm. L = 0:64 nH AND R = 0:44

Results for a transmission line in the time domain are shown and compared with a commercial program (Ansoft’s Spicelink [36]). For time-domain simulation, a 10-mm-long transmission line is terminated with 50 at the source end and 100 at the load end as shown in Fig. 7. Inductance and resistance values are for Pspice simulation performed with 15 elements tabulated in Table III. Notice that circuit element values are all positive. Moreover, these values are more uniform than those from [18]. Voltage and current at the source end are shown in Figs. 8 and 9 respectively, for the case shown in Fig. 7, modeling the interconnect as a series lumped impedance and a shunt capacitance where mm. It is seen that the methods proposed in this paper and in [18] agree well with the Spicelink program. For a case when the rise time is ps), the division of the transmission line into small ( mm each is seen to exonly two cascaded sections of hibit ringing artifacts in Figs. 8 and 9. These occur because the lumped equivalent circuit for each section fails adequately to reproduce the high-frequency response of the actual transmission line in a frequency range where the spectrum of the pulse is sigto 1 mm and nificant. We remedy this problem by reducing using ten sections to model the transmission line. As shown in Fig. 10, this eliminates the ringing and overshoot quite effectively and compares well with a Spicelink simulation.

Fig. 8. Voltages at the source end for rise time T = 50 ps and T = 200 ps. Since the complete transmission line is 10 mm, there are two 5-mm segments = 5 mm) for the moment matching [18] eigenmode simulation. (l

Fig. 9. Current at source end for rise time T

= 50 ps and

T

= 200 ps.

V. ERROR ANALYSIS In this section, the authors provide error analysis in the frequency domain of the proposed approach. The effect of poles elimination on the time-domain response is ongoing research. We define an error in impedance generated by the proposed approach with (50) as follows: (55) where “ex” denotes the exact FEM solution and “app” denotes , we can find the the reduced-order approximation. With error bound for resistance and inductance. Thus

(56)

YOU AND KUESTER: GUARANTEED PASSIVE DIRECT LUMPED-ELEMENT MODELING OF TRANSMISSION LINES

Fig. 10. Voltage and current for 5 mm (l = 5 mm) and 1 mm (l as well as Spicelink results for very fast rise time (T = 50 ps).

2833

= 1 mm) Fig. 11.

Error bounds on R and L (parameters as shown in Figs. 6 and 5).

Finally, the upper error bounds for resistance and inductance are given, respectively, by (63)

(57) and

(64)

(58)

Plots of these error bounds are shown in Fig. 11. Note that the error bounds introduced here increase as frequency increases and are not too useful above 1 GHz.

(59) where has been used. Instead of evaluating the summations in (57) and (59) directly, we can express them in terms of matrix products. From (31) and (32), we can find the following in untruncated form: (60) Then it is easy to see that the sum of all importances expressed as

can be

VI. CONCLUSION As a modeling tool for a segment of transmission line, a lumped-circuit extraction method is proposed. The eigenmode method uses a subset of the poles and eigenvectors to approximate the line parameters. Even though it takes additional time to calculate both eigenvalues and eigenvectors, this approach ensures that there are no negative elements. Consequently, passivity is guaranteed. Further work is ongoing to extend this procedure to multiconductor transmission lines. Initial work in this direction is reported in [37]. The error analysis presented here is relatively coarse; much improvement should be possible by tighter estimates of the remainder terms. ACKNOWLEDGMENT

(61) In a similar way, we can express the sum of the importances as (62)

The authors wish to thank Dr. A. Balakrishnan at Maxtor corporation and Prof. Y. Eo at Hanyang University in Korea for helpful discussions. The authors are grateful to B. B. White and B. Brim of Ansoft Corporation for providing the Spicelink program. The authors also wish to thank Prof. J. R. Shewchuk from the University of California at Berkeley for his mesh program (triangle.exe) and Prof. R. Zane at the University of Colorado for providing us with a Pspice license.

2834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

REFERENCES [1] Z. Ke, “Value added technology development on microinterconnect flex circuit,” in Proc. Int. Conf. Electronic Packaging Technology, Singapore, Singapore, Oct. 2003, pp. 230–234. [2] H. W. Johnson and M. Graham, Eds., High-Speed Digital Design: A Handbook of Black Magic. Englewood Cliffs, NJ: Prentice-Hall, 1993. [3] A. Balakrishnan and C. M. Carpenter, “Analysis and design of headpreamplifier connections in read-write channels for magnetic rigid-disk drives,” IEEE Trans. Magn., vol. 34, no. 1, pp. 24–29, Jan. 1998. [4] S. Yu, B. Liu, Q. Chen, J. R. White, K. Zhang, and Y. Lee, “Dynamic characteristics of a flex suspension assembly,” in Proc. IEEE Int. Magnetics Conf. (INTERMAG), Singapore, Apr.–May 2002, p. BS13. [5] K. B. Klassen, J. T. Contreas, and J. C. L. van Peppen, “Read/write electronics front-end systems for hard disk drives,” IEEE Trans. Magn., vol. 40, no. 1, pp. 263–268, Jan. 2004. [6] E. Chiprout, “Interconnect and substrate modeling and analysis: An overview,” IEEE J. Solid-State Circuits, vol. 33, no. 9, pp. 1445–1452, Sep. 1998. [7] A. R. Djordjevic´ , T. K. Sarkar, and R. F. Harrington, “Time-domain response of multiconductor transmission lines,” Proc. IEEE, vol. 75, no. 6, pp. 743–764, Jun. 1987. [8] C. W. Kong and H. V. Yee. 2001. Design and characterization of flexible circuit for disk drive application. presented at Ansoft RIDE THE WAVE Technical Seminar. [Online]. Available: www.ansoft.com/thewave/Design_and_Characterization_of_Flexible_Circuit.pdf [9] C. Snow, “Alternating current distribution in cylindrical conductors,” in Sci. Papers Bur. Stds, vol. 20, Jul. 1925, pp. 277–338. [10] E. Grotelüschen, L. Dutta, and S. Zaage, “Full-wave analysis and analytical formulas for the line parameters of transmission lines on semiconductor substrates,” Integr. VLSI J., vol. 16, pp. 33–58, 1993. [11] F. Mesa and R. Marqués, “Integral representaion of spatial green’s function and spectral domain analysis of leaky covered strip-like lines,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 828–837, Apr. 1995. [12] R. L. Stoll, The Analysis of Eddy Currents. Oxford, U.K.: Clarendon Press, 1974. [13] P. P. Silvester and R. L. Ferrari, Finite Elements for Electrical Engineers, 3rd ed. San Diego, CA: Cambridge, 1996. [14] L. T. Pillage and R. A. Rohrer, “Asymptotic waveform evaluation for timing analysis,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., vol. 9, pp. 352–366, Apr. 1990. [15] P. Feldmann and R. W. Freund, “Efficient linear circuit analysis by Padé approximation via the lanczos process,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., vol. 14, no. 5, pp. 639–649, May 1995. [16] R. Achar and M. Nakhla, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [17] A. Ruehli and A. Cangellaris, “Progress in the methodologies for the electrical modeling of interconnects and electronic packages,” Proc. IEEE, vol. 89, no. 5, pp. 740–771, May 2001. [18] S.-H. You and E. F. Kuester, “Fast and efficient interconnect modeling using finite element method and model order reduction,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2004, pp. 1377–1380. [19] A. Konrad, “The numerical solution of steady-state skin effect problems—An integrodifferential approach,” IEEE Trans. Magn., vol. MAG-17, no. 1, pp. 1148–1152, Jan. 1981. [20] J. Weiss and Z. Cendes, “A one-step finite element method for multiconductor skin effect problems,” IEEE Trans. Power App. Syst., vol. PAS-101, pp. 3796–3800, Oct. 1982. [21] J. Jin, The Finite Element Method in Electromagnetics. New York: Wiley, 1993. [22] S. Alfonzetti, G. Borzì, and N. Salerno, “A fast solving strategy for twodimensional skin effect problems,” IEEE Trans. Magn., vol. 39, no. 3, pp. 1119–1122, May 2003. [23] F. Bertazzi, G. Ghione, and M. Goano, “Efficient quasitem frequencydependent analysis of lossy multiconductor lines through a fast reducedorder fem model,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 2029–2035, Sep. 2003. [24] M. Celik and A. C. Cangellaris, “Efficient transient simulation of lossy packaging interconnects using moment-matching techniques,” IEEE Trans. Comp. Packag., Manufact. Technol. B, vol. 19, no. 1, pp. 64–73, Feb. 1996. [25] L. P. Vakanas, A. C. Cangellaris, and J. L. Prince, “Frequency-dependent [L] and [R] matrices for lossy microstrip lines,” Trans. Soc. Comput. Simulation, vol. 8, no. 4, pp. 281–318, Dec. 1991.

[26] S. Jan, “Fast transient simulation of eddy current problems,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Carnegie Mellon Univ., Pittsburgh, PA, Dec. 1995. [27] P. Silvester, “Eddy-current modes in linear solid-iron bars,” Proc. Inst. Elect. Eng., vol. 112, pp. 1589–1594, Aug. 1965. [28] , “Modal network theory of skin effect in flat conductors,” Proc. IEEE, vol. 54, no. 9, pp. 1147–1151, Sep. 1966. [29] P. Silvester, A. Konrad, J. Coulomb, and J. Sabonnadiere, “Modal network representation of slot-embedded conductors,” Electr. Machines Electromechan.: An Int. Quarterly, vol. 1, pp. 107–122, 1977. [30] T. Vu Dinh, B. Cabon, and J. Chilo, “New skin-effect equivalent circuit,” Electron. Lett., vol. 26, no. 19, pp. 1582–1584, Sep. 1990. [31] S. Pasha, A. C. Cangellaris, and J. L. Prince, “An all-purpose dispersive multiconductor interconnect model compatible with PRIMA,” IEEE Trans. Adv. Packag., vol. 24, no. 2, pp. 126–131, May 2001. [32] A. Odabasioglu, M. Celik, and L. Pileggi, “PRIMA: Passive reducedorder interconnect macromodeling algorithm,” IEEE Trans. ComputerAided Design Integr. Circuits Syst., vol. 17, no. 8, pp. 645–654, Aug. 1998. [33] Q. Su, V. Balakrishnan, and C.-K. Koh, “Efficient approximate balanced truncation of general large-scale RLC systems via Krylov methods,” in Proc. Design Automation Conf., Jan. 2002, pp. 311–316. [34] E. Cuthill and J. Mckee, “Reducing the bandwidth of sparse symmetric matrices,” in Proc. Assoc. Computing Machinery (ACM) 24th Nat. Conf., New York, 1969, pp. 157–172. [35] Matlab [Online]. Available: www.mathworks.com [36] Maxwell Spicelink [Online]. Available: www.ansoft.com [37] S.-H. You and E. F. Kuester, “Guaranteed passive coupled-microstrip interconnect modeling using finite element method,” in IEEE MTT-S Int. Microwave Symp. Dig., 2005, pp. TH-1–TH-4. [38] Proc. Int. Mathematical Congress, vol. 2, J. C. Fields, Ed., Toronto, ON, Canada, 11–16, 1924, pp. 157–218.

Se-Ho You (S’05) received the B.S. degree in electronics engineering from Sung Kyun Kwan University, Suwon, Korea, and the M.S degree from Case Western Reserve University, Cleveland, OH. He is currently working toward the Ph.D. degree in electrical engineering at the University of Colorado, Boulder. His thesis work was on the application of the evanescent microwave probe (EMP). During 2000–2002, he worked for Maxtor, Longmont, CO, as a Research Assistant/Intern. His responsibilities included writing Matlab programs, measurement on the test coupons using TDR and VNA and extraction of time-domain circuit model. During the summer 2003, he was with Seagate Technology, Longmont, CO. His responsibilities included interconnect modeling and time-domain analysis with S -parameters.

Edward F. Kuester (S’73–M’73–SM’95–F’98) was born in St. Louis, MO, on June 21, 1950. He received the B.S. degree from Michigan State University, East Lansing, in 1971, and the M.S. and Ph.D. degrees from the University of Colorado, Boulder, in 1974 and 1976, respectively, all in electrical engineering. Since 1976, he has been with the Department of Electrical and Computer Engineering, University of Colorado, Boulder, where he is currently a Professor. In 1979, he was a Summer Faculty Fellow at the Jet Propulsion Laboratory, Pasadena, CA. In 1981–1982, he was a Visiting Professor at the Technische Hogeschool, Delft, The Netherlands. In 1992–1993, he was professeur invité at the École Polytechnique Fédérale de Lausanne, Switzerland. In 2002, he was a Visiting Scientist at the National Institute of Standards and Technology, Boulder, CO. His research interests include the modeling of electromagnetic phenomena of guiding and radiating structures, applied mathematics, and applied physics. Dr. Kuester is a member of the Society for Industrial and Applied Mathematics and Commissions B and D of the International Union of Radio Science.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2835

A 540–640-GHz High-Efficiency Four-Anode Frequency Tripler Alain Maestrini, Member, IEEE, John S. Ward, John J. Gill, Hamid S. Javadi, Erich Schlecht, Member, IEEE, Charlotte Tripon-Canseliet, Goutam Chattopadhyay, Senior Member, IEEE, and Imran Mehdi, Senior Member, IEEE

Abstract—We report on the design and performance of a broad-band, high-power 540–640-GHz fix-tuned balanced frequency tripler chip that utilizes four planar Schottky anodes. The suspended strip-line circuit is fabricated with a 12- m-thick support frame and is mounted in a split waveguide block. The chip is supported by thick beam leads that are also used to provide precise RF grounding. At room temperature, the tripler delivers 0.9–1.8 mW across the band with an estimated efficiency of 4.5%–9%. When cooled to 120 K, the tripler provides 2.0–4.2 mW across the band with an estimated efficiency of 8%–12%. Index Terms—Balanced tripler, frequency multiplier, frequency tripler, local oscillator, planar diode, Schottky diode, sextupler, submillimeter wavelengths, varactor.

I. INTRODUCTION

S

OURCES for submillimeter wavelengths have been the subject of intense research for several decades [1]. Backward-wave oscillators (BWOs), also known as “O-Carcinotrons,” introduced in the late 1950s [2], are versatile since they are sweepable, can produce several milliwatts above 300 GHz, and can operate above 1 THz. Unfortunately, they are difficult to build, bulky, and require high-voltage power supplies and external cooling. Also, their lifetimes may be only a few hundred hours if they are used at their full potential. Miniaturized klystrons, using nano-tubes, and micromachining techniques are being investigated to try to push tube technology into the terahertz regime. Whether these efforts will yield successful submillimeter-wave oscillators remains to be seen [3]. For applications that require power levels in the range of a few microwatts, sideband generators can provide the desired continuous frequency coverage by beating a submillimeter-wave laser and a continuous millimeter source [4]. When quantum cascade laser (QCL) technology [5] reaches maturity, QCLs could be used to create low-power all-solid-state terahertz tunable sources.

Manuscript received September 17, 2004; revised March 10, 2005. This work was supported by the Jet Propulsion Laboratory, California Institute of Technology, under a contract with the National Aeronautics and Space Administration. A. Maestrini was with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 USA. He is now with the Laboratoire des Instruments et Systèmes d’Ile de France, Université Pierre et Marie Curie, 75252 Paris Cedex 5, France, and also with Laboratoire d’Etude du Rayonnement et de la Matière an Astrophysique, Observatoire de Paris, 75014 Paris, France (e-mail: [email protected]). J. S. Ward, J. J. Gill, H. S. Javadi, E. Schlecht, G. Chattophadhyay, and I. Mehdi are with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 USA. C. Tripon-Canseliet is with the Laboratoire des Instruments et Systèmes d’Ile de France, Université Pierre et Marie Curie, 75252 Paris Cedex 5, France. Digital Object Identifier 10.1109/TMTT.2005.854174

Technological advances in submillimeter-wave sources have been mainly driven by the build-up of ground-based and spaceborne heterodyne receivers for astrophysics and planetary science. The Heterodyne Instrument for the Far Infrared (HIFI) of the Herschel Space Observatory [6] and, more recently, the Atacama Large Millimeter Array (ALMA) [7], are two instruments that have focused research and development in this field. Both use sensitive heterodyne receivers incorporating superconductor insulator superconductor (SIS) mixers [8], [9] or hot electron bolometer (HEB) mixers [10] that require low local oscillator (LO) power. Photo mixing in nonlinear crystals of two phase-locked laser beams is an interesting solution to provide low-power LO sources [11] and can potentially be distributed in-phase to several mixers [12]. For SIS mixers, another interesting possibility is to use flux flow oscillators [13] to provide on-chip LO sources. However, to date, the most common way to build frequency-tunable sources at submillimeter wavelengths is by frequency multiplication. This solution is used for all of the LOs for HIFI and ALMA. Within the semiconductor frequency multiplier field, several competing technologies have been demonstrated. Heterostructure barrier varactors (HBV), first introduced in [14], produce only odd harmonics of an incident signal due to their internal symmetry. Thus, they are attractive devices to design high-order odd harmonic multipliers such as triplers [15], [16] or quintuplers that can reach conversion efficiencies up to 5% at 210 GHz [17]. Another technique to build devices that exhibit internal symmetries was recently explored in [18]. It gave a state-ofthe-art conversion efficiency of 22% for a 230-GHz planar diode tripler. Nevertheless, for millimeter- and submillimeter-wave frequency multipliers, Schottky planar varactors are still providing the best performance in terms of efficiency, output power, and instantaneous bandwidth. Planar Schottky diodes were introduced over a decade ago [19], [20] and now have been successfully demonstrated well into the terahertz range [21]–[26], replacing whisker-contacted Schottky diodes [27]–[29]. Balanced doublers, proposed and demonstrated in [30]–[33], have become the standard topology for frequency multiplication due to their good performance. Significant progress has been made since that time both in device fabrication technology and design methodology. The devices have become MMIC-like and consequently have been able to work well at submillimeter wavelengths. One device fabrication technology consists of transferring the epilayer on quartz (or some other application-optimized substrate) to decrease the losses and dispersion or, on high thermal conductivity substrates, to address heat dissipation issues [34]. An alternative approach is to decrease dielectric loading by removing most of the substrate from the chip [35], [36] or by using GaAs membrane technology

0018-9480/$20.00 © 2005 IEEE

2836

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[21]–[26]. The introduction of beam leads to facilitate chip handling and placement and provide more precise RF and dc grounding brought significant further improvement to this technology [37]. Planar Schottky balanced frequency triplers were introduced at millimeter wavelengths in [38] and at submillimeter wavelengths in [39]. They share the same technology as the doublers but their performance has been somewhat overshadowed by the success of balanced doublers. Recently, they have been demonstrated to work at terahertz frequencies with record output power and bandwidth [22], [24], [26]. The purpose of this study was to determine if Schottky balanced triplers could compete with balanced doublers in terms of bandwidth, flatness, power handling, and output power below 1 THz. The ultimate goal was to design a tripler in the 540–640-GHz band to be used as a driver for LO chains to the 1650–1910-GHz band, where a number of spectral lines of astrophysical interest lie, such as the ionized carbon fine structure line at 1900.5 GHz. The multiplier was originally designed to deliver 2.5 mW from an input power low enough to never put the diodes at risk. Power handling was therefore a very important issue. These specifications had to be met at 120 K, which is the expected operating temperature of the LO subsystem on HIFI.

Fig. 1. Block diagram of the 600-GHz balanced tripler. Additional waveguide sections of different impedances and lengths (not shown) are used for the input and output matching. HZ and LZ stand, respectively, for high and low ~ ~ ~ ;E and E stand, respectively, for the electric field impedance. E at the output frequency and both at the at the fundamental frequency input and output frequency.

f

&3f

3f

II. DESIGN TOPOLOGY An efficient topology for submillimeter-wave balanced triplers has been demonstrated in [39]. The present design, however, adopted a configuration used in [38] at millimeter wavelengths and more recently at terahertz frequencies [21], [22], [26] that has the advantage of allowing four or more anodes per chip, dramatically increasing power handling capabilities and consequently the output power. The tripler is a split-block waveguide design that features four Schottky planar varactor diodes, monolithically fabricated on a GaAs-based substrate and connected in series at dc (see Fig. 1). The anodes are about 2 m 3 m, the mesas are about 100 m , and the doping of the epilayer is cm . The chip is inserted between the input and the output waveguides in a channel of 80 m 160 m cross section and approximately 600 m long. An -plane probe located in the input waveguide couples the signal at the fundamental frequency to a suspended microstrip line that can propagate only a true TEM mode (as explained later in this section, no dielectric is present). This line has several sections of low and high impedance used to match the diodes at the input and output frequency and to prevent the third harmonic from leaking into the input waveguide. The third harmonic produced by the diodes is coupled to the output waveguide by a second -plane probe. Inside the chip channel, the circuit is quasi-symmetrical (small asymmetries are introduced by the physical structure of the Schottky diodes). The fundamental excites the diodes on one side of the symmetry line 180 out of phase with respect to the diodes on the opposite side. As a result, the even harmonics are generated on a TE mode while the odds harmonics are generated on a TEM mode. Consequently, to balance the circuit, it is necessary to cut off the parasitic TE mode at the second harmonic by adequately dimensioning both the cross section of the chip channel and the width of the suspended microstrip line. The second harmonic is then trapped in a virtual loop, i.e.,

the line of diodes. More detail about this topology can be found in [40]. The circuit features additional matching elements in the input and output waveguides, made with a succession of waveguide sections of different heights and lengths. The output waveguide is dimensioned to cut off any residual leakage at the second harmonic. The second harmonic at the high end of the design band is at 426.7 GHz. The output waveguide was dimensioned to cut off any signal below 500 GHz. This will allow for fabrication margins and yet not affect the signal at 540 GHz, which is the low end of the design band. Thanks to this topology, the bias scheme is very simple. It consists of an on-chip capacitor at one end of the series of diodes near a narrow aperture in the wall of the chip channel. Extensive simulations were done to confirm that the bias circuit has almost no impact at RF frequencies. The chip was fabricated using Jet Propulsion Laboratory (JPL) substrateless technology [25], [37], which consists of removing the substrate in the center part of the circuit and leaving only a frame that supports the diodes at one end. In this design, three out of four sides of the supporting frame are outside the chip channel. To fit in the waveguide block, this frame requires that two slots be machined parallel to the channel. The chip incorporates suspended stripline circuitry held by a 12- m thick frame and suspended above the bottom half of the channel by thick gold beam leads spaced around the substrate. Two of these beam leads provide the required dc and RF connections for the diodes when clamped between the two halves of the split block. Figs. 2 and 3 show the structure of the multiplier, while Fig. 4 shows details of the diode area. III. DESIGN OPTIMIZATION This section will present a practical methodology that was used to design a wide-band, fix-tuned, high-efficiency fre-

MAESTRINI et al.: 540–640-GHz HIGH-EFFICIENCY FOUR-ANODE FREQUENCY TRIPLER

Fig. 2. Three-dimensional view of the bottom part of the waveguide block with the 600-GHz tripler chip and the dc capacitor. The top part of the waveguide block (not shown) is symmetrical. The complete input matching waveguide circuit (cut off in the figures) consists of four reduced-height rectangular waveguide sections and four standard-height rectangular waveguide sections.

2837

Fig. 4. Detail of the 600-GHz tripler chip showing the four anodes, the on-chip capacitor, the output E-probe and part of the on-chip matching circuit. The dashed line rectangle defines the diode cell used for the first step of optimization. P1 and P2 define the localization of the HFSS wave ports used in the simulations (see Section III).

process, it is important to make sure that coupling balance between the anodes is preserved and realistic circuit losses are accounted for. Contrary to the balanced doublers proposed in [30], both the input and the output signals propagate with the same mode in the region immediately around the diodes. Therefore, a filter is required and it can be optimized more easily using the nonlinear simulations. Nonlinear Modeling of the Schottky Diode: Abundant literature is available about the modeling of Schottky diodes at millimeter and submillimeter wavelengths working at room temperature [42]–[47] and at cryogenic temperatures [48]. For this design, we use a simplified electrical model, consisting of a nonlinear junction capacitance in parallel with a nonlinear conand in series with a resistance . ductance 1) The junction capacitance is classically modeled as follows [49]: for

Fig. 3. Photograph of the bottom part of the waveguide block with a 600-GHz tripler chip and the dc capacitor installed.

quency tripler at 600 GHz. While a number of concepts defined in [33] for balanced doublers are utilized for this optimization, a number of significant points must be addressed for the current balanced tripler design. Design Methodology: Usually, the first step in the design of a frequency multiplier is to determine the characteristics of the diodes along with the operating conditions that best suit the application. In this case, it consists of optimizing the doping level, the anode dimensions, and the bias voltage for a given input power. Once these parameters are fixed and the optimum embedding impedances of the diodes are determined, a linear circuit can be synthesized [41]. For the design of the 540–640-GHz tripler, the chip topology as well as the diode characteristics are iteratively modified until a suitable compromise is achieved between the efficiency and bandwidth. Commercially available harmonic balance software codes are used to carry out the optimization. During this design

(1)

where (2) is defined by a linear extrapolaFor tion of (1) from , to avoid the singularity of (1) at is the bias voltage, is the built-in potential, is the semiconductor electric permittivity, is the junction area, is the thickness of the depletion layer, is the charge of the electron, and is the doping of the semiconductor epilayer. For the GaAs Schottky diodes fabricated at JPL, is approximately 0.85 V at room temperature. As the anodes get smaller and smaller, a correction term should be added to to take into account the edge effects. This term has two components: a first-order term that is not modulated and a second-order term that is modulated [44]. The correction terms given in [44] apply to circular anodes and are a function of the anode radius. As

2838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I PHYSICAL AND ELECTRICAL DIODE PARAMETERS OF THE JPL 600-GHz BALANCED TRIPLER. THE VALUES OF THE SERIES RESISTANCE R AND THE REVERSE SATURATION CURRENT I USED IN THE SIMULATIONS WERE OBTAINED AFTER A FIT OF THE EXPERIMENTAL DATA

tially compensate for the frequency shift, one would have to use devices with smaller anodes. give an indication of the DC measurements of quality of the diodes, but the measured values are usually too low to be used in the RF simulations. On the other hand, calculations of the series resistance have to take into account the particular topology of the planar diode, where skin effects play a major role. In addition, any physical model of the Schottky barrier has to be properly implemented in a circuit simulator, unless only linear impedances of the diodes are used. Our approach relies on the empirical rule introduced in [51] that consists in fixing the product . This value is derived empirically. For submillimeter-wave multipliers working at room temperature and for a doping of 1.10 cm is set to fF

the multipliers fabricated at JPL use rectangular anodes, we modified the correction term to be a function of their length and width. We only kept the first-order correction term that corresponds to a linear capacitance in parallel to the nonlinear plate capacitance of the junction; the other term is negligible for anodes of approximately 2 m 3 m each and a doping of cm . We found that the first-order correction term represents about 15% of the plate capacitance of the junction at . 2) The nonlinear conductance is derived from the classic equations of thermionic emission in Schottky contacts [49]. Breakdown effects are not directly included in the simulations. However, time-domain simulations are performed to check that the voltage across the diodes never enters breakdown to minimize the risk of damaging the diodes [50]. For the same reasons, the dc current through the diodes is kept below about 2 mA [50]. As no velocity saturation effects [42]–[44] are taken into account, the peak current is not limited during the simulations. The value of the reverse saturation current influences significantly the predicted performance, especially when the input power is high enough to create a direct current through the diodes. Its value depends strongly on the actual temperature of the junction, which is difficult both to measure and to predict. Therefore, some uncertainty is introduced by this parameter into the model. As shown in Table I, at an ambient temperature of 295 K, the measured value of the saturation current at dc is lower than the value used in the simulations to fit the RF measurements. This may be caused by heating of the diode by dissipated RF power. of the planar diode affects the 3) The series resistance efficiency of the multiplier. Significantly underestimating the value of affects the optimization of the design itself: the optimized junction capacitances would be too big and the bias voltage too far in the reverse regime. With respect to the predictions, the actual multiplier performance would be degraded and shifted down in frequency. To par-

(3)

per diode comFor our tripler, this rule gives per diode. pared to the dc measured value of As shown in the next section, this value appears to be slightly too high to simulate the actual behavior of our 600-GHz tripler at room temperature: we find that the . At value of the series resistance should be an ambient temperature of 120 K, the series resistance was set to in the simulations to fit the experimental used in the simulations data. Note that, in both cases, is higher than the measured dc value. Three-Dimensional Modeling of the Diodes: The electromagnetic field around the diode is calculated with Ansoft HFSS1 and is measured with a virtual probe placed at the location of the Schottky contact. This probe is defined as an internal wave-port in HFSS. The anode itself defines the inner conductor; the outer conductor is defined by the edges of a small rectangle that lies on the top face of the mesa around the anode (thus, the probe has no length). The gap between the edges of the anode and this rectangle has to be very narrow to avoid underestimating the parasitic capacitance. The definition of the port and the meshing around the diode are critical to get accurate results. The 3-D geometrical structure of the diode must also be drawn accurately. Details such as the passivation layers greatly contribute to the parasitic capacitances and must be included in any accurate 3-D representation of the diode. Input Power Issue: Multiplier designs are always optimized for a given input power. In this particular case, the design was optimized for 50-mW input, based on data of several available 200-GHz drivers [25], [35]. The choice of a low doping level should make our four-diode tripler able to safely handle up to about 70 mW of input power. However, for the measurements presented in this paper, the input power was about 22–27 mW when operating the multiplier at room temperature and about 30–40 mW when operating the multiplier at a temperature of 120 K. Modeling of the Diode Cell: For triplers, the second-harmonic idler plays an essential role in the transfer of energy from the fundamental to the third harmonic [52]. Therefore, the diodes need to be properly matched at the idler frequency. Indeed, their embedding impedances should be as close as pos1High

Frequency Structure Simulator, Ansoft Corporation, Pittsburgh, PA.

MAESTRINI et al.: 540–640-GHz HIGH-EFFICIENCY FOUR-ANODE FREQUENCY TRIPLER

sible to pure reactances. To greatly facilitate the synthesis of such impedances, the circuit has to be balanced. This requires that the diode cell satisfy the conditions mentioned in Section II. In addition, the capacitance of each diode needs to be compensated by adjusting the length and the width of the fingers, the size of the mesas, and the dimensions of the cross section of the chip channel (see Fig. 4). Diodes with small junction capacitance require longer fingers (implying wider channels) or higher channels than diodes with large junction capacitances. An initial diode cell is drawn based on the chip topology. Its -parameters are first calculated with Ansoft HFSS and then used in harmonic-balance simulations to determine which junction capacitance and bias voltage give the maximum output power. It is important to take into account the ohmic and dielectric losses of the circuit in all the simulations. The balance between the diodes is monitored for all the relevant frequencies. We used Agilent ADS2 suite for these calculations. The diode cell alone cannot be an efficient tripler; thus, harmonic-dependant complex impedances are connected to the ports of the ADS simulation bench that correspond to the HFSS wave-ports P1 and P2 (see Fig. 4). These ports excite only the TEM mode of the suspended microstrip line. The complex impedances are optimized for the center of the band. The output power is calculated at either port P1 or port P2. To have some idea of the instantaneous bandwidth, the frequency is swept across the band. Then, the 3-D stucture is modified according to these results and the rules mentioned earlier in this paragraph. Many iterations are often required to converge to a satisfactory solution. Input and Output Matching Circuits: Once the diode cell and the size of the anodes are fixed, the different sections of the suspended-microstrip line and the input and output E-probes are optimized to maximize the conversion efficiency and the input coupling. The design is driven by the necessity to minimize the number of on-chip matching elements in order to reduce both the chip dimensions and the losses. At this stage of the design, most of the multiplier is already in place and a fine-tuning of the diode cell and anode size is performed. Upon completion of this step, the chip topology is fixed. To extend the bandwidth, we add to the input waveguide a succession of sections of high and low impedance (see Fig. 2). As they have no impact on the output match, it is possible to use only linear simulations. To broaden the output match, the same method is applied to the output waveguide. IV. SIMULATIONS AND MEASUREMENTS The balance between the diodes at the input frequency was investigated in detail to avoid the risk of overdriving a diode. Fig. 5 shows simulations of the input coupling balance for a flat input power of 35 mW. All of the simulations include waveguide losses. The diodes situated symmetrically to the suspended microstrip line (diodes 1 and 4 and diodes 2 and 3) receive the same amount of power. The imbalance between these two pairs is about 10%. The average input coupling is about 75%–80% from 540 to 600 GHz, but it degrades above 600 GHz to 50% at 640 GHz. Unfortunately, at the current stage of the technology, no direct measurements can be done to verify these values. The simulated output power of the 600-GHz tripler at 2Advanced

Design System, Agilent Technology, Palo Alto, CA.

2839

Fig. 5. Simulated input coupling per diode of the 600-GHz balanced triplers = at 120 K with a flat input power of 35 mW and the bias voltage fixed to V 9 V. An input coupling of 100% corresponds to 25% of the total input power coupled to that diode. Due to the losses of the circuit, the maximum coupling is approximately 85%.

0

the ambient temperatures of 295 K and 120 K are plotted in Figs. 6 and 7 along with measured data. The simulations were performed using measured values of the input power at 200 GHz, and the diode parameters are taken from Table I. For the experiments, a commercial synthesizer with sextupler head was used to drive a MMIC-based -band power amplifier [53], [54]. Although this amplifier can provide more than 200 mW from 89 to 106 GHz, the -band power was kept constant at 100 mW for measurements at room temperature or 150 mW for measurements at 120 K, due to the reliability concerns explained earlier. The output power from the first-stage 200-GHz doubler was measured with an Erickson calorimeter [55] that provides a broad-band match. For room-temperature measurements, a calibrated WR10–WR5 waveguide transition was used; for cryogenic measurements at 120 K, the Erickson power meter was insulated with a WR10 stainless steel waveguide and a vacuum window, whose losses were also calibrated. The power produced by the 600-GHz tripler was measured at room temperature with the same power meter by attaching an external WR1.7–WR10 waveguide transition directly to the tripler output flange. A separate calibration of the transmission of this transition gave a loss of 0.6 dB. At 120 K, the measurements were performed with a Thomas Keating3 power meter by attaching a Picket–Potter horn [56] directly to the tripler output flange. A more detailed description of the test setup can be found in [25]. A loss of 0.4 dB was measured for the horn and an additional loss of 0.2 dB was estimated for the spill-over and the mirror. A separate calibration of the transmission of the cryostat window gave a loss of 0.3 dB at 600 GHz. No detailed investigation of the tripler’s noise properties or output spectrum was carried out. However, similar multipliers have been used to successfully pump sensitive mixers without any degradation in performance as long as the power amplifiers are saturated [57], [58]. The second harmonic is expected to be attenuated by more than 50 dB due to the length of the output waveguide (in cutoff mode.) Simulations show the fourth harmonic to be down by more than 20 dB with respect to the third harmonic. 3Thomas Keating Ltd, Station Mills, Billingshurst, U.K. [Online]. Available: http://www.terahertz.co.uk

2840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 6. Measured estimated efficiency (top curves with filled markers) and measured output power (bottom curves with open markers) of two 600-GHz balanced triplers (SN3 & SN8) at an ambient temperature of 295 K. The top plain curve with small open circles and the bottom plain curve correspond, respectively, to the simulated efficiency and the simulated output power. From 552 to 645 GHz, the input power is in the range 22–25 mW.

Fig. 7. Measured estimated efficiency (top curves with filled markers) and measured output power (bottom curves with open markers) of two 600-GHz balanced triplers (SN3 & SN8) at an ambient temperature of 120 K. The top plain curve with small open circles and the bottom plain curve correspond, respectively, to the simulated efficiency and the simulated output power. From 555 to 645 GHz, the input power is in the range 30–44 mW.

The measured results of two multipliers are shown at room temperature in Fig. 6 and at 120 K in Fig. 7. The bias was optimized for each frequency point, ranging from 12 to 1 V total across four diodes in series at dc (the bias voltage of the 200 GHz was also optimized for each frequency point.) The measured dc currents were in the range 0.1–0.47 mA at room temperature, and 0.25–1.5 mA at 120 K. Since there was no isolator between the doubler and tripler, the efficiency was estimated by dividing

the chain output power by the separately measured output power of the 200-GHz driver chain. At room temperature, the input power was 5–21 mW from 525 to 549 GHz and 22–25 mW from 552 to 645 GHz. The measured output power was 0.9–1.8 mW in the band 540–640 GHz. The output power exhibits an increased level of standing waves in the upper part of the band that possibly corresponds to interactions between the multipliers. Actually, in this part of

MAESTRINI et al.: 540–640-GHz HIGH-EFFICIENCY FOUR-ANODE FREQUENCY TRIPLER

the band, the expected input matching of the 600-GHz tripler is only in the order of 6 dB. At 120 K, the input power was 5–29 mW from 531 to 552 GHz and 30–44 mW from 555 to 648 GHz. The measured performance shows record output power for a solid state source of 2.0–4.2 mW in the band 540–640 GHz. The standing wave decreased due to improved input coupling in the upper part of the band. With respect to the simulations, the actual band is shifted by about 1% down in frequency. Note also that the two different triplers have very similar performance. V. CONCLUSION In recent years, tremendous progress in the modeling and fabrication of frequency multipliers at submillimeter wavelengths has been made, thanks to the introduction of MMIC-like circuits and the use of precision simulation tools like Ansoft HFSS and Agilent ADS. These advances enabled the design and fabrication of a 540–640-GHz fix-tuned balanced tripler that exhibits, to the best of the authors’ knowledge, state-of-the-art performance in terms of efficiency, bandwidth, flatness, and powerhandling capability. This multiplier with its driver forms a broad-band 600-GHz sextupler chain. At room temperature, with 100 mW of pump power at 100 GHz, its electronically tunable bandwidth reaches 17% and its peak flange-to-flange conversion efficiency is 1.8%. At 120 K with an input power of 150 mW, this chain exhibits the same bandwidth and has a peak flange-to-flange conversion efficiency of 2.7%. The performance of this tripler makes it suitable to use as a driver for even higher frequency multipliers. It has been successfully used to pump the 1.7–1.9-THz balanced tripler presented in [26]. Updated results will be reported later and will show a major improvement in the output power from this solid-state LO chain as compared with [26]. It is believed that the topology of this frequency tripler is suitable for lower frequency circuits, since it offers the possibility to add more diodes on the chip and therefore increase input power-handling capability. This circuit topology can in principle be scaled to higher operating frequencies and will ultimately be limited by the minimum feature size possible for the lithographic technology that is used. ACKNOWLEDGMENT The authors are grateful for the support from and helpful technical discussions with Dr. P. Siegel and Dr. J. Pearson and for the superb fabrication of the 600-GHz waveguide blocks by J. Crosby and the JPL Space Instruments Shop. REFERENCES [1] J. C. Wiltse, “History of millimeter and submillimeter waves,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 9, pp. 1118–1127, Sep. 1984. [2] G. Convert, T. Yeou, and B. Pasty, “Millimeter-wave O-carcinotron,” in Proc. Symp. Millimeter Waves, vol. IX, NY, Mar. 31–Apr. 2 1959, pp. 313–339. [3] P. H. Siegel, A. Fung, H. Manohara, J. Xu, and B. Chang, “Nanoklystron: A monolithic tube approach to THz power generation,” in Proc. 12th Int. Symp. Space Terahertz Technology, San Diego, CA, Feb. 2001, pp. 81–90.

2841

[4] D. S. Kurtz, J. L. Hesler, T. W. Crowe, and R. M. Weikle II, “Submillimeter-wave sideband generation using varactor Schottky diodes,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2610–2617, Nov. 2002. [5] B. S. Williams, S. Kumar, H. Callebaut, Q. Hu, and J. L. Reno, “Terahertz quantum-cascade laser at  100m using metal waveguide for mode confinement,” Appl. Phys. Lett., vol. 83, no. 11, pp. 2124–2126, Sep. 15, 2003. [6] G. L. Pilbratt, “The Herschel mission, scientific objectives, and this meeting,” in Proc. Eur. Space Agency Symp., Dec. 2000, ESA paper SP-460, pp. 13–20. [7] R. L. Brown, “Technical specification of the millimeter array,” in Proc. SPIE, vol. 3357, Advanced Technology MMW, Radio, and Terahertz Telescopes, Mar. 1998, pp. 231–237. [8] P. L. Richards, T. M. Shen, R. E. Harris, and F. L. Lloyd, “Quasiparticle heterodyne mixing in SIS tunnel junctions,” Appl. Phys. Lett., vol. 34, no. 5, pp. 345–347, Mar. 1979. [9] G. J. Dolan, T. G. Phillips, and D. P. Woody, “Low-noise 115 GHz mixing in superconducting oxide-barrier tunnel junctions,” Appl. Phys. Lett., vol. 34, no. 5, pp. 347–349, Mar. 1979. [10] E. M. Gershenzon, G. N. Gol’tsman, I. G. Gogidze, Yu. P. Gousev, A. I. Elant’ev, B. S. Karasik, and A. D. Semenov, “Millimeter and submillimeter range mixer based on electron heating of superconducting films in the resistive state,” Sverkhprovodimost (KIAE), pp. 2143–2160, 1990. [Superconductivity, vol. 3 no. 10, pp. 1582-1597, 1990]. [11] S. Verghese, E. K. Duerr, K. A. McIntosh, S. M. Duffy, S. D. Calawa, C.-Y. E. Tong, R. Kimberk, and R. Blundell, “A photomixer local oscillator for a 630 GHz heterodyne receiver,” IEEE Microw. Guided Wave Lett., vol. 9, no. 6, pp. 245–247, Jun. 1999. [12] M. Ishiguro, Y. Sekimoto, A. Ueda, S. Iguchi, T. Noguchi, J. M. Payne, L. R. D’Addario, and W. Shillue. A hybrid option for the first LO’s using direct photonic LO driver. presented at ALMA Memo 435. [Online]. Available: www.alma.nrao.edu [13] V. P. Koshelets, S. V. Shitov, L. V. Filippenko, A. M. Baryshev, W. Luinge, H. Golstein, H. van de Stadt, J.-R. Gao, and T. de Graauw, “An integrated 500 GHz receiver with superconducting local oscillator,” IEEE Trans. Appl. Supercond., vol. 7, no. 2, pp. 3589–3592, Jun. 1997. [14] A. Rydberg, H. Grönqvist, and E. Kollberg, “Milllimeter—And submillimeter-wave multipliers using quantum barrier-varactor (QBV) diodes,” IEEE Electron Device Lett., vol. 11, no. 9, pp. 373–375, Sep. 1990. [15] X. Mélique, A. Maestrini, P. Mounaix, M. Favreau, G. Beaudin, G. Goutoule, T. Närhi, and D. Lippens, “Fabrication and performance of InP-based heterostructure barrier varactors in a 250 GHz waveguide tripler,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1000–1006, Jun. 2000. [16] M. Saglam, B. Schumann, K. Duwe, C. Domoto, A. Megej, M. Rodríguez-Gironés, J. Müller, R. Judaschke, and H. L. Hartnagel, “High-performance 450-GHz GaAs-based heterostructure barrier varactor tripler,” IEEE Electron Device Lett., vol. 24, no. 3, pp. 138–140, Mar. 2003. [17] Q. Xiao, Y. Duan, J. L. Hesler, T. W. Crowe, and R. M. Weikle II, “A 5 mW and 5% efficiency 210 GHz InP-based heterostructure barrier varactor quintupler,” IEEE Microw. Compon. Lett., vol. 14, no. 4, pp. 159–161, Apr. 2004. [18] M. Krach, J. Freyer, and M. Claassen, “An integrated ASV frequency tripler for millimeter-wave applications,” in Proc. 33rd Eur. Microwave Conf., vol. 3, Oct. 2003, pp. 1279–1281. [19] W. L. Bishop, K. McKinney, R. J. Mattauch, T. W. Crowe, and G. Green, “A novel Whiskerless Schottky diode for millimeter and submillimeter wave application,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 87, Jun. 1987, pp. 607–610. [20] J. W. Archer, R. A. Batchelor, and C. J. Smith, “Low-parasitic, planar Schottky diodes for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 1, pp. 15–22, Jan. 1990. [21] J. Bruston, A. Maestrini, D. Pukala, S. Martin, B. Nakamura, and I. Mehdi, “A 1.2 THz planar tripler using GaAs membrane based chips,” in Proc. 12th Int. Symp. Space Terahertz Technology, San Diego, CA, Feb. 2001, pp. 310–319. [22] A. Maestrini, J. Bruston, D. Pukala, S. Martin, and I. Mehdi, “Performance of a 1.2 THz frequency tripler using a GaAs frameless membrane monolithic circuit,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Phoenix, AZ, May 2001, pp. 1657–1660. [23] N. R. Erickson, G. Narayanan, R. Grosslein, G. Chattopadhyay, A. Maestrini, E. Schlecht, I. Mehdi, and S. Martin, “1500 GHz tunable source using cascaded planar frequency doublers,” in Proc. 13th Int. Symp. Space Terahertz Technology, Cambridge, MA, Mar. 2002, pp. 177–186.



2842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[24] F. Maiwald, E. Schlecht, A. Maestrini, G. Chattopadhyay, J. C. Pearson, D. Pukala, and I. Mehdi, “Terahertz frequency multiplier chains based on planar Schottky diodes,” in Proc. SPIE, vol. 4855, Aug. 2002, pp. 447–458. [25] G. Chattopadhyay, E. Schlecht, J. Ward, J. Gill, H. Javadi, F. Maiwald, and I. Mehdi, “An all solid-state broadband frequency multiplier chain at 1500 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1538–1547, May 2004. [26] A. Maestrini, J. Ward, J. Gill, H. Javadi, E. Schlecht, G. Chattopadhyay, F. Maiwald, N. R. Erickson, and I. Mehdi, “A 1.7 to 1.9 THz local oscillator source,” IEEE Microw. Compon. Lett., vol. 14, no. 6, pp. 253–255, Jun. 2004. [27] A. V. Räisänen, “Frequency multipliers for millimeter and submillimeter wavelengths,” Proc. IEEE, vol. 8, no. 11, pp. 1842–1852, Nov. 1992. [28] T. Crowe and R. Zimmermann, “Progress toward solid state local oscillators at 1 THz,” IEEE Microw. Guided Wave Lett., vol. 6, no. 5, pp. 207–208, May 1996. [29] P. Zimmerman, “Multipliers for terahertz local oscillators,” in Proc. SPIE, vol. 3357, Advanced Technology MMW, Radio, and Terahertz Telescopes, Mar. 1998, pp. 152–158. [30] N. R. Erickson, “High efficiency submillimeter frequency multipliers,” in IEEE MTT-S Int. Microwave Symp. Dig., 1990, pp. 1301–1304. [31] N. R. Erickson and B. J. Rizzi, “A high power doubler for 174 GHz using a planar diode array,” in Proc. 4th Int. Symp. Space Terahertz Technology, 1993, pp. 287–295. [32] B. J. Rizzi, T. Crowe, and N. R Erickson, “A high-power millimeter-wave frequency doubler using a planar diode array,” IEEE Microw. Guided Wave Lett., vol. 3, no. 6, pp. 188–190, Jun. 1993. [33] J. Tuovinen and N. R. Erickson, “Analysis of a 170 GHz frequency doubler with an array of planar diodes,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 962–968, Apr. 1995. [34] D. Porterfield, J. Hesler, T. Crowe, W. Bishop, and D. Woolard, “Integrated terahertz transmit/receive modules,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 7–9, 2003, pp. 1319–1322. [35] E. Schlecht, G. Chattopadhyay, A. Maestrini, A. Fung, S. Martin, D. Pukala, J. Bruston, and I. Mehdi, “200, 400 and 800 GHz schottky diode ‘substrateless’ multipliers: Design and results,” in Proc. IEEE MTT-S Int., Phoenix, AZ, May 2001, pp. 1649–1652. [36] G. Chattopadhyay, E. Schlecht, J. Gill, S. Martin, A. Maestrini, D. Pukala, F. Maiwald, and I. Mehdi, “A broadband 800 GHz Schottky balanced doubler,” IEEE Microw. Compon. Lett., vol. 12, no. 4, pp. 117–118, Apr. 2002. [37] S. Martin, B. Nakamura, A. Fung, P. Smith, J. Bruston, A. Maestrini, F. Maiwald, P. Siegel, E. Schlecht, and I. Mehdi, “Fabrication of 200 GHz to 2700 GHz multiplier devices using GaAs and metal membranes,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Phoenix, AZ, May 2001, pp. 1641–1644. [38] R. F. Bradley, “The application of planar monolithic technology to Schottky varactor millimeter-wave frequency multipliers,” Ph.D. dissertation, Sch. Eng. Appl. Science, Univ. of Virginia, Charlottesville, May 1992. [39] N. R. Erickson, R. P. Smith, S. C. Martin, B. Nakamura, and I. Mehdi, “High efficiency MMIC frequency triplers for millimeter and submillimeter wavelengths,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Boston, MA, Jun. 2000, pp. 1003–1006. [40] S. A. Maas, Non-Linear Microwave Circuits. Norwood, MA: Artech House, 1988. [41] M. Faber, J. Chramiec, and M. Adamski, Microwave and Millimeter-Wave Diode Frequency Multipliers. Norwood, MA: Artech House, 1995. [42] E. L. Kollberg, T. J. Tolmunen, M. A. Frerking, and J. R. East, “Current saturation in submillimeter wave varactors,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 5, pp. 831–838, May 1992. [43] T. W. Crowe, W. C. B. Peatman, R. Zimmermann, and R. Zimmermann, “Consideration of velocity saturation in the design of GaAs varactor diodes,” IEEE Microw. Guided Wave Lett., vol. 3, no. 6, pp. 161–163, Jun. 1993. [44] J. T. Louhi and A. V. Räisänen, “On the modeling and optimization of Schottky varactor frequency multipliers at submillimeter wavelengths,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 922–926, Apr. 1995. , “Dynamic shape of the depletion layer of a submillimeter-wave [45] Schottky varactor,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2159–2165, Dec. 1996. [46] J. Grajal, V. Krozer, E. Gonzalez, F. Maldonado, and J. Gismero, “Modeling and design aspects of millimeter-wave and submillimeter-wave schottky diode varactor frequency multipliers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 700–712, Apr. 2000.

[47] E. Schlecht, G. Chattopadhyay, A. Maestrini, D. Pukala, J. Gill, and I. Mehdi, “Harmonic balance optimization of terahertz Schottky diode multipliers using an advanced device model,” in Proc. 13th Int. Symp. Space Terahertz Technology, Cambridge, MA, Mar. 2002, pp. 187–196. [48] J. T. Louhi, A. V. Räisänen, and N. R. Erickson, “Cooled Schottky varactor frequency multipliers at submillimeter wavelengths,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 4, pp. 565–571, Apr. 1993. [49] G. Massobrio and P. Antognetti, Semiconductor Device Modeling with SPICE, 2nd ed. New York: McGraw-Hill, 1993. [50] F. Maiwald, E. Schlecht, J. Ward, R. Lin, R. Leon, J. Pearson, and I. Mehdi, “Design and operational considerations for robust planar GaAs varactors: A reliability study,” in Proc. 14th Int. Symp. Space Terahertz Technology, Tucson, AZ, Apr. 2003, pp. 488–491. [51] N. R. Erickson, “Diode frequency multipliers for terahertz local-oscillator applications,” in Proc. SPIE, vol. 3357, Advanced Technology MMW, Radio, and Terahertz Telescopes, Mar. 1998, pp. 75–84. [52] P. Penfield and R. P. Rafuse, Varactor Applications, Harmonic Multipliers. Cambridge, MA: MIT Press, 1962, ch. 8. [53] L. A. Samoska, T. C. Gaier, A. Peralta, S. Weinreb, J. Bruston, I. Mehdi, Y. Chen, H. H. Liao, M. Nishimoto, R. Lai, H. Wang, and Y. C. Leong, “MMIC power amplifiers as local oscillator drivers for FIRST,” in Proc. SPIE, vol. 4013, UV, Optical, and IR Space Telescopes and Instruments, Aug. 2000, pp. 275–284. [54] H. Wang, L. A. Samoska, T. C. Gaier, A. Peralta, H.-H. Liao, Y. C. Leong, S. Weinreb, Y. C. Chen, M. Nishimoto, and R. Lai, “Power-amplifier modules covering 70–113 GHz using MMICs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 9–16, Jan. 2001. [55] N. R. Erickson, “A fast and sensitive submillimeter waveguide power sensor,” in Proc. 10th Int. Symp. Space Terahertz Technology, Charlottesville, VA, 1999, pp. 501–507. [56] H. M. Pickett, J. C. Hardy, and J. Farhoomand, “Characterization of a dual mode horn for submillimeter wavelengths,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 8, pp. 936–938, Aug. 1984. [57] C.-Y. E. Tong, D. Meledin, D. Loudkov, R. Blundell, N. Erickson, J. Kawamura, I. Mehdi, and G. Gol’tsman, “A 1.5 THz hot-electron bolometer mixer operated by a planar diode based local oscillator,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Philadelphia, PA, Jun. 2003, pp. 751–754. [58] G. Chattopadhyay, F. Maiwald, E. Schlecht, R. J. Dengler, J. C. Pearson, and I. Mehdi, “Spurious signal response of broadband solid-state frequency multipliers at millimeter and submillimeter wavelengths,” Int. J. Infrared Millim. Waves, vol. 24, no. 9, pp. 1485–1498, Sep. 2003.

Alain Maestrini (M’05) received the M.S. degree in telecommunications and electrical engineering from the ENST de Bretagne, Bretagne, France, in 1993, and the Ph.D. degree in electronics jointly from the Université de Bretagne Occidentale and the Observatoire de Paris, Paris, France, in 1999. From 1993 to 1995, he was an Engineer in the Receiver Group of the IRAM 30 m Telescope, Grenada, Spain. In 1999, he joined the Submillimeter-Wave Advanced Technology Group, Jet Propulsion Laboratory, California Institute of Technology, Pasadena, to work on solid-sate terahertz local oscillator development for the heterodyne instrument of the Herschel Space Observatory. He returned to the Observatoire de Paris in 2002 and joined in 2003 the Laboratoire des Instruments et Syst˘emes d’Ile de France, Université Pierre et Marie Curie, Paris, as an Assistant Professor in electronics and microwaves. His current research interests are in the design of integrated millimeter- and submillimeter-wave electronics for radio astronomy and planetary science. He is an associate of LERMA, Observatoire de Paris, and a technical advisor for the Jet Propulsion Laboratory.

MAESTRINI et al.: 540–640-GHz HIGH-EFFICIENCY FOUR-ANODE FREQUENCY TRIPLER

John S. Ward received the Ph.D. degree in physics from the California Institute of Technology (Caltech), Pasadena, in 2002. His doctoral research included the development of a 600–700-GHz SIS receiver that he used to study molecular gas in astronomical sources, as well as the development of software tools for designing and optimizing submillimeter-wave heterodyne receivers. He is currently a Member of the Engineering Staff, Jet Propulsion Laboratory, Caltech, leading a team developing local oscillators up to 1.9 THz for the heterodyne instrument on the Herschel Space Observatory.

John J. Gill received the B.S. and M.S. degrees in mechanical engineering and the Ph.D. degree in microelectromechanical systems (MEMS) from the University of California, Los Angeles, in 1997 and 2001, respectively. From 1997 to 1998, he was with the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, where he was involved in developing quantum-well infrared photodetectors. Currently, he is with JPL working on developing microwave devices and microsensors. His research interests include design, fabrication, and characterization of microactuators and microsensors using silicon, smart materials, and III-V materials for MEMS and microelectronics applications.

Hamid S. Javadi received the Ph.D. degree in physics from the University of California, Los Angeles (UCLA), in 1985. While at UCLA, he worked on the electrodynamic response of spin density wave in charge transfer inorganic salts. He is currently a Member of the Technical Staff with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, where he has worked on diverse areas of high0temperature superconductors, microwave characterization of materials, microwave measurement techniques, electric surge arrest materials, free-flyer miniature spacecrafts, communication systems, millimeter-wave receivers and local oscillators, and photomixers.

Erich Schlecht (M’87) received the B.A. degree in astronomy and physics and the M.S. degree in engineering physics from the University of Virginia, Charlottesville, in 1981 and 1987, respectively, and the Ph.D. degree in electrical and computer engineering from The Johns Hopkins University, Baltimore, MD, in 1999. From 1984 to 1990, he was a Senior Engineer with the National Radio Astronomy Observatory, Charlottesville, VA, where he worked on the design and construction of down converter, intermediate frequency, and control electronics for the Very Long Baseline Array project. From 1991 to 1995, he was with Martin Marietta Laboratories, Baltimore, MD, specializing in frequency multipliers for 94-GHz transmitters and 60-GHz quasi-optical pHEMT amplifier arrays. From 1996 to 1998, he was a Research Assistant with the University of Maryland, College Park, under contract to the Army Research Laboratory engaged in wide-band planar antenna design and unit cell design for high-power quasi-optical power amplifiers. In November 1998, he joined the engineering staff at the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, as a member of the Submillimeter-Wave Advanced Technology (SWAT) Group. He is currently working on circuit design and Schottky diode modeling for submillimeter and terahertz LO frequency multipliers and mixers. Dr. Schlecht is a member of the IEEE Microwave Theory and Techniques and IEEE Antennas and Propagation Societies.

2843

Charlotte Tripon-Canseliet received the M.S.E.E. degree in fundamental physics from the Université de Rennes, Rennes, France, in 1999, and the Ph.D. degree in optoelectronics from the Université Pierre et Marie Curie, Paris, France, in 2003. She is currently with the Laboratoire des Instruments et Syst˘emes d’Ile de France, Université Pierre et Marie Curie, as a Teaching and Research Assistant with the Microelectronics, Instrumentation, Microwave and Electromagnetism Group. Her research activities concern the design of microwave photonics devices for defense applications and integrated millimeter- and submillimeterwave frequency multipliers for radio astronomy.

Goutam Chattopadhyay (S’93–M’99–SM’01) received the B.E. degree in electronics and telecommunication engineering from the Bengal Engineering College, Calcutta University, Calcutta, India, in 1987, the M.S. degree in electrical engineering from the University of Virginia, Charlottesville, in 1994, and the Ph.D. degree in electrical engineering from the California Institute of Technology (Caltech), Pasadena, in 1999. His doctoral dissertation described the development of low-noise dual-polarized and balanced receivers at submillimeter wavelengths. From 1987 until 1992, he was a Design Engineer with the Tata Institute of Fundamental Research (TIFR), Pune, India, where he designed local oscillator systems for the Giant Meterwave Radio Telescope (GMRT) project. He joined the University of Virginia for his Master’s work in January 1993. In September 1994 he joined the Ph.D. program in electrical engineering at Caltech. Currently, he is a Senior Member of the Technical Staff at the Jet Propulsion Laboratory, Caltech. His research interests include microwave, millimeter-, and submillimeter-wave heterodyne and direct detector receivers, frequency sources and mixers in the terahertz region, antennas, SIS mixer technology, and direct detector bolometer instruments. Dr. Chattopadhyay is a member of the IEEE Microwave Theory and Techniques Society (MTT-S) and Eta Kappa Nu. Among various awards and honors, he was the recipient of the Best Undergraduate Gold Medal from the University of Calcutta in 1987, the Jawaharlal Nehru Fellowship Award from the Government of India in 1992, the IEEE MTT-S Graduate Fellowship Award in 1997, and the Award of Excellence from the Jet Propulsion Laboratory in 2001 and 2003.

Imran Mehdi (S’85–M’91–SM’05) received the three-year Certificate in Letters and Science from Calvin College, Grand Rapids, MI, in 1983, and the B.S.E.E., M.S.E.E., and Ph.D.(E.E.) degrees from the University of Michigan, Ann Arbor, in 1984, 1985, and 1990, respectively. His dissertation dealt with the use of resonant tunneling devices for high-frequency applications under the supervision of Dr. G. Haddad and Dr. J. East. In 1990, he joined Dr. P. Siegel’s group at the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, where his responsibilities included the design and fabrication of low-parasitic planar Schottky diodes for mixers in the terahertz (THz) range. This technology was developed for NASA’s earth remote-sensing applications and is being utilized for the Microwave Limb Sounder on the Aura spacecraft. Since 1999, he has led the effort of developing broad-band solid-state sources from 200 to 2500 GHz for the Heterodyne Instrument on the Herschel Space Observatory, a cornerstone European Space Agency mission. Currently, he is a Principal Member of Engineering Staff at JPL and is responsible for developing THz technology for future NASA missions. His interests include millimeter- and submillimeter-wave devices, high-frequency instrumentation, and heterodyne receiver systems.

2844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Stopband-Enhanced and Size-Miniaturized Low-Pass Filters Using High-Impedance Property of Offset Finite-Ground Microstrip Line Sheng Sun, Student Member, IEEE, and Lei Zhu, Senior Member, IEEE

Abstract—The uniform finite-ground microstrip line (FGMSL) and its constituted circuit elements are thoroughly characterized via self-calibrated method of moments for innovative design of a stopband-enhanced and size-miniaturized low-pass filter (LPF). Firstly, an offset FGMSL is modeled and extracted to quantitatively exhibit its capacity in achieving higher characteristic impedance compared to the infinite-ground microstrip line (MSL) counterpart. Secondly, the finite-extended FGMSL section with equally widened strip/ground or offset narrow strip/ground conductors is studied in terms of an equivalent T- or -network, thereby constructing the modified MSL shunt capacitive or series inductive element. By making effective use of enlarged series inductance of an offset FGMSL with shorter electrical length, two novel LPF blocks are designed on a basis of cascaded circuit networks. Predicted -parameters show widened and deepened stopband beyond the low passband. Furthermore, it is confirmed by measurement. Index Terms—Enhanced stopband, finite-ground microstrip line (FGML), high characteristic impedance, low-pass filter (LPF), method of moments (MoM), short-open calibration (SOC).

I. INTRODUCTION

C

ONVENTIONAL transmission-line low-pass filters (LPFs), such as stepped-impedance, open-stub, and semilumped element filters, have been widely used in RF and microwave applications [1]. Due to the frequency-distributed behavior of finite-extended transmission lines, these filters always suffer from poor stopband performance beyond the cutoff frequency [1], [2]. The strong surface-to-surface coupling between the narrow strip and wide ground in the conventional microstrip line (MSL) particularly obstructs one from raising the concerned characteristic impedance sufficiently. For this reason, the MSL is formed with narrowed strip width and extended line length to realize the equivalent high series inductance as usually used in the LPF design. In this way, the first harmonic passband is shifted downward, constructing a narrow stopband. To overcome these problems, various approaches have been developed thus far such as raising the characteristic impedance effectively by forming a backside aperture underneath the strip conductor [3] and utilizing a defected ground structure [4]. In addition, a compact elliptic-function LPF with wide stopband [5] is constructed using the microstrip Manuscript received September 12, 2004; revised December 14, 2004. The authors are with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854173

Fig. 1. Geometry of the proposed FGMSL LPF with the upper strip conductor, middle substrate layer, and lower ground plane.

stepped-impedance hairpin resonator. Moreover, a wide stopband can be achieved by combining the microstrip open stubs and coupled slots on the ground plane [6]. On the other hand, the finite-ground microstrip line (FGMSL) has found a variety of potential applications in the design of hybrid and multilayered microwave integrated circuits because of its distinct features, i.e., high characteristic impedance [7], low transmission loss [8], and good electromagnetic isolation [9]. In contrast to the conventional MSL, the finite-width ground plane of the FGMSL provides us with an additional degree of freedom in practical design. This was exhibited in this study through the design of an FGMSL LPF, as shown in Fig. 1. The FGMSL with offset strip and ground in horizon has more advantageous capacity in raising the characteristic impedance effectively than the approaches in [3] and [4], as inquired in building up a harmonic-suppressed LPF. Following up with our previous work in [10], a two-port FGMSL circuit block with generalized configuration is considered and characterized by using a self-calibrated method of moments (MoM) [11], [12]. Characteristic impedances of the offset FGMSL are numerically extracted to quantitatively demonstrate that its quantity rises up to a great extent as the offset distance between the upper strip and lower ground with narrow widths gradually increases. Next, the two finite-length FGMSL with high and low impedances are built up and serve as equivalent quasi-lumped series-inductive and shunt-capacitive elements, respectively. Their equivalent network parameters are numerically derived to provide us an efficient capability in

0018-9480/$20.00 © 2005 IEEE

SUN AND ZHU: STOPBAND-ENHANCED AND SIZE-MINIATURIZED LPFs USING HIGH-IMPEDANCE PROPERTY OF OFFSET FGMSL

2845

Fig. 3. Cross-sectional view of the two distinctive MSLs. (a) Conventional MSL. (b) Offset FGMSL.

Fig. 2. Full-wave modeling and numerical extraction of a generalized two-port FGMSL circuit or discontinuity in the self-calibrated MoM platform. (a) Physical schematic. (b) Equivalent cascaded topology.

designing the whole LPF in the format of a cascaded network topology. Finally, the FGMSL LPF block with enhanced performance is designed optimally. The predicted -parameters are verified using a commercial simulator and measurement taken from the fabricated LPFs. II. MODELING OF TWO-PORT FGMSL Let us start with a brief description on the modeling of a generalized two-port FGMSL structure using the self-calibrated MoM that is originated in [11]–[13] to deal with the infinite-ground MSL discontinuities. It is then recently applied in [10] for extraction of an FGMSL open-end fringing capacitance. Fig. 2 shows the physical layout and equivalent-circuit topology for modeling and deembedding of such a two-port FGMSL circuit or discontinuity block by executing the short-open calibration (SOC) procedure in the source-type MoM platform. In order to formulate a determinant MoM, two impressed and backed by a vertical delta-gap voltage sources electric wall are introduced at the two ends or terminals on the left- and right-hand-sides of the FGMSL feed lines. The two should be readily selected far away from source ports and the FGMSL circuit at the center such that only the dominant and with the mode can reach the two reference planes disappearance of all other modes. By doing so, the current densities over the upper and lower strip/ground conductors can be derived through the numerical solution of an electric-field integral equation (EFIE) according to the well-know Galerkin’s method. As a result, the two-port network parameters at the two and can be initially obtained in terms of the source ports and , and the impressed voltages solved currents and [11], [12]. Next, the overall FGMSL layout is classified into three distinct sections, i.e., the two feed lines and the core FGMSL circuit at the center, as illustrated in Fig. 2(a). Fig. 2(b) indicates the relevant equivalent cascaded-network topology. The two error and represent the overall dynamic effects from boxes the source ports to the reference planes of the two feed lines, including the effects of the nonideal impressed sources at the ports. Each of them can be separately characterized with the use

of a pair of FGMSL short and open standard elements, which are formed and defined in the same MoM. Upon executing such a SOC procedure, the equivalent-circuit model at the center can be simply deembedded by removing the two error boxes out from an overall network on a basis of a cascaded transmission-line theorem. In this way, the core circuit model is directly presented matrix. Its four known elements can be utilized as an to define the three-dimensional (3-D) characteristic impedance provided the core circuit is a uniform FGMSL with finite length [13]. Moreover, it can also be used to derive the impedanceor admittance-matrix of various FGMSL circuit structures [11], [12]. III. EXTRACTED PARAMETERS OF FGMSL ELEMENTS Here, the above-described modeling technique is deployed to extract the characteristic impedance of a uniform FGMSL line with finite length, and the network parameters of two FGMSL quasi-lumped elements. These results will be used to carry out the physical explanation and network-based optimization of the proposed FGMSL LPF. A. Offset FGMSL With High Impedance Fig. 3(a) and (b) describes the cross section of a conventional MSL and offset FGMSL, respectively. By narrowing the strip width of the former one, its characteristic impedance may be raised to a certain degree, but usually is not high enough in the filter design. On the contrary, the latter one, namely, the offset FGMSL, is constructed by forming a finite-ground conductor with the same width ( ) as that of the strip and further separating the upper/lower strip conductors in horizon. Intuitively, it can be understood that as the offset distance ( ) increases, the coupling between the upper and lower conductors becomes weaker. Thus, the characteristic impedance of this offset FGMSL supposes to increase to a great extent if a large offset distance ( ) is selected. Fig. 4(a) and (b) is the extracted characteristic impedance and normalized phase constant of the offset FGMSL, respectively, versus offset distance ( ) with verification using of an infiAgilent Momentum. In contrast to of the FGMSL with mm (called nite-ground MSL, the double-side paralleled stripline in [14]) becomes 121.0 , implying that the finite-width ground itself can increase the impedance to a certain extent. As the offset is enlarged from further rises up and reaches to 208.4 . At 0 to 2.0 mm, this mm, the ratio of the two impedances is approximately three, as shown in Fig. 4(a). Thereby exhibiting the significant

2846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Geometry and equivalent-circuit model of FGMSL series inductive elements. (a) Layout view. (b) Equivalent-circuit model.

Fig. 4. Characteristic impedance and normalized phase constant of the offset FGMSL against those of the infinite-ground MSL with the same strip width (w = 0:2 mm). (a) Characteristic impedance. (b) Phase constant.

enhancement of this impedance. The relevant seems to drop together with from 2.64 to 2.13 due to the electromagnetic field dispersed in both the substrate and air regions. As a result, such an offset FGMSL with high impedance can be used to form the improved MSL series inductive element with enhanced inductance. B. FGMSL Series Inductive Element Fig. 5(a) shows the geometry of an FGMSL series inductive and strip/ground width . In element with the length order to reflect the actual situation of its application in filter design, this element is driven with the two low-impedance FGMSL with equal strip/ground widths. As illustrated in Fig. 5(b), its relevant equivalent -type circuit model consists of a series reactance and two shunt susceptances . These quantities can be numerically derived via the above-described modeling and as the technique by selecting the two step interfaces two reference planes in the SOC deembedding. Fig. 6(a) and (b) describes the extracted frequency-related for the FGMSL network parameters under different lengths series inductive elements in Fig. 5. Over the frequency range of increases linearly at 0.5 to 12.0 GHz, the series reactance low frequencies, reaches a maximum, and then decreases with frequency. The frequency of maximum reactance corresponds is one-half of a wavelength. As to where the length increases from 1.4 to 3.4 mm, the quasi-lumped series inductance gets to be enhanced at the low-frequency range. How-

Fig. 6. Frequency-dependent  -network parameters of the FGMSL series inductive element. (a) Series reactance. (b) Shunt susceptance.

ever, it leads to the resonant frequency being shifted down. Thus lowering the first harmonic resonance in the LPF. Meanwhile, increases as a quasi-linear and then the shunt susceptance a tangent function of frequency due to the extended electrical length of the FGMSL, as illustrated in Fig. 6(b). Yet its actual , especially at the quantity is still smaller, as compared with low-frequency range. C. FGMSL Shunt Capacitive Elements Next, an FGMSL shunt capacitive element is constructed by equally widening the upper/lower conductor widths , as shown in the central part of Fig. 7(a). This element is fed by the

SUN AND ZHU: STOPBAND-ENHANCED AND SIZE-MINIATURIZED LPFs USING HIGH-IMPEDANCE PROPERTY OF OFFSET FGMSL

2847

Fig. 7. Geometry and equivalent-circuit model for FGMSL shunt capacitive elements. (a) Layout view. (b) Equivalent-circuit model.

Fig. 9. Schematics of the three LPFs. (a) Conventional MSL LPF: type A. (b) FGMSL LPF: type B. (c) FGMSL LPF: type C.

Fig. 10. Lumped-element LPFs. (a) Basic lumped LC circuit. (b) Equivalent lumped/distributed-element circuit based on FGMSL series inductive elements with W = 0:2 mm, t = 2:4 mm, and p = 1:2 mm.

Meanwhile, the shunt reactance increases monotonically as a quasi-linear and then tangent function. Furthermore, as is extended, gains the uplift at the low-frequency range, but it appears to be saturated and then starts to drop with the frequency around the half-wave resonance point. IV. NOVEL FGMSL LPFs Fig. 8. Frequency-dependent T-network parameters of the FGMSL shunt capacitive elements. (a) Shunt susceptance. (b) Series reactance.

two high-impedance offset FGMSLs. Fig. 7(b) is its equivalent and two T-type circuit model with a shunt susceptance . As width is widened, and the series reactances slope of with frequency increases with increasing . That is the same as the case of low-impedance MSL. Similarly, the relevant T-network parameters can be extracted with reference and , as in Fig. 7(a). to the two step interfaces, namely, Fig. 8(a) and (b) plots the extracted frequency-dependent netfor an work parameters under three different finite lengths FGMSL shunt capacitive element. In analogy to the results reincreases at low freported in Fig. 6, the shunt susceptance quencies and then decreases as the frequency increases further.

Here, the FGMSL inductive and capacitive elements discussed above are utilized to construct, design, and implement a new class of LPFs with widened and deepened stopband. With cutoff frequency fixed at 2.7 GHz, the three five-stage LPFs are designed using the MSL and FGMSL elements in order to comparatively demonstrate the attractive performances of the FGMSL-constituted LPF. Fig. 9(a) shows the schematic of the conventional MSL LPF, whereas the two proposed FGMSL LPFs are illustrated in Fig. 9(b) and (c), respectively. Fig. 10(a) and (b) shows the basic lumped LC circuit of an LPF and the equivalent lumped/distributed-element circuit based on FGMSL series inductive elements, as depicted in Fig. 6. Due to the increase in characteristic impedance of the offset FGMSL, the lengths ( and ) of the series inductive elements can be significantly shortened from 5.57 (type-A LPF) to 2.40 mm

2848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I DIMENSIONS OF THREE LPFs

Fig. 11.

Predicted insertion loss of the three LPFs shown in Fig. 9.

(type-B and type-C LPFs). Under the same specifications in the low passband, Table I illustrates the lengths and widths of all the five strip sections after optimization on a basis of cascaded circuit networks, as shown in Fig. 10(b). The overall lengths of these three LPFs are derived as 19.82, 15.01, and 13.41 mm, resulting in size reduction approximately 24% and 33%, respectively, provided the high-impedance FGMSL section with short length is employed. Fig. 11 plots the predicted insertion losses of these three optimized LPFs together with those calculated from the basic lumped-element LC circuit in Fig. 10(a). For the type-A LPF in Fig. 9(a), the lengths ( and ) of the two narrow strip-width sections are largely extended in order to achieve the specified high inductance at the low-frequency range. Thus, these lengthened sections lead to produce the first harmonic passband at 8.3G Hz due to the half-wavelength resonance. For the type-B LPF in Fig. 9(b), it can be observed that the above harmonic is fully suppressed as and are reduced from 5.57 to 2.40 mm since the shortened FGMSL with enhanced impedance is able to achieve the same series inductance at the low-frequency range as that realized in the type-A LPF. However, there still exists the second harmonic passband at around 9.8G Hz. This is due to the half-wavelength resonance that happens at the . To further low-impedance FGMSL section with the length suppress this latter harmonic, the central FGMSL is properly widened in width and shortened in length under the unchanged equivalent shunt capacitance. As can be seen in Table I, the is shortened from 4.73 to 3.13 mm. Thus, this latter length harmonic is moved upward to a great degree beyond 12.0 GHz, thereby making a widened and deepened stopband, as shown in Fig. 11. To assure the attractive performance of the above-demonstrated FGMSL LPF, the whole layouts of the type-B and type-C filters are simulated again with the Ansoft HFSS simulator at

Fig. 12.

Fabricated FGMSL LPF: type B. (a) Top view. (b) Bottom view.

Fig. 13. Predicted and measured shown in Fig. 12.

S -parameters

of the FGMSL LPF that is

the cost of intensive CPU time. Furthermore, the relevant circuit samples are fabricated for experimental validation. To facilitate the good impedance matching with the two subminiature A (SMA) connectors, the ground plane at the two sides is largely widened to formulate the conventional MSL feed lines. In experiment, the fabricated circuits are directly suspended or mounted in the universal substrate test fixture (WK-3000: supplied by Inter-Continental Microwave, Santa Clara, CA). In this way, the two feed lines with widened ground planes are electrically connected to the “earth” of this test fixture. Fig. 12(a) and (b) shows the top/bottom-view photographs of the type-B filter. Fig. 13 illustrates the three sets of the predicted and measured -parameters with good agreement between them. Furthermore, the type-C filter is fabricated and its top/bottom-view photographs are shown in Fig. 14(a) and (b). The measured results are plotted in Fig. 15 together with those

SUN AND ZHU: STOPBAND-ENHANCED AND SIZE-MINIATURIZED LPFs USING HIGH-IMPEDANCE PROPERTY OF OFFSET FGMSL

2849

Fig. 16. Comparison of measured results for the three fabricated LPFs in Fig. 9.

V. CONCLUSION

Fig. 14.

Fabricated FGMSL LPF: type C. (a) Top view. (b) Bottom view.

In this paper, the offset finite-ground MSL with narrow strip/ground width is studied to show its enhanced characteristic impedance and applied as an improved series inductive element for harmonic-suppressed LPF design. By forming the shortened offset FGMSL with high impedance, a new class of LPFs with miniaturized size and enhanced stopband are then proposed, designed, and realized. Their advantageous filter performance is quantitatively exhibited and discussed in comparison with the conventional MSL LPF. In short, these FGMSL filters are designed optimally on a basis of a cascaded circuit network and the predicted performance is confirmed by the HFSS-based full-wave simulation and by measurement of the fabricated FGMSL LPF samples. ACKNOWLEDGMENT The authors sincerely appreciate S. Y. Chua, DSO National Laboratories, Singapore, for his support in measurement and K. H. Lui, Nanyang Technological University, Singapore, for his help in proofreading this paper’s manuscript.

Fig. 15. Predicted and measured shown in Fig. 14.

S -parameters

REFERENCES of the FGMSL LPF that is

predicted, thus ensuring the attractive stopband-enhanced performance. As can be observed from the measured results in Figs. 13 and 15, some ripples or peaks in the stopband with dB is mainly caused by the imperfect impedance mismatch at the coax-to-microstrip transition in experimental implementation. To further investigate the performance in the low passband, the three sets of the measured results with the frequency scale from 1 to 3 GHz are plotted in Fig. 16 in conjunction with the conventional case (type A) and the two proposed filters (type B and type C), respectively. Within the low passband, the type-A filter achieves 0.3-dB insertion loss and 13-dB return losses. Meanwhile, the type-B and type-C filters have the insertion losses less than 0.7 and 1.4 dB, and the return losses less than 12.7 and 10.3 dB.

[1] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980. [2] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications: Wiley, 2001. [3] L. Zhu, H. Bu, and K. Wu, “Unified CAD model of microstrip line with back side aperture for multilayer integrated circuit,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2000, pp. 981–984. [4] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [5] L. H. Hsieh and K. Chang, “Compact elliptic-function low-pass filters using microstrip stepped-impedance hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 193–199, Jan. 2003. [6] K. Kim, S. Kim, H. Han, I. Park, and H. Lim, “Compact microstrip lowpass filter using shunt open stubs and coupled slots on ground plane,” Electron. Lett., vol. 40, no. 5, pp. 313–314, Mar. 4, 2004. [7] C. E. Smith and R. S. Chang, “Microstrip transmission line with finitewidth dielectric and ground plane,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 9, pp. 835–839, Sep. 1985. [8] G. E. Ponchak, A. Margomenos, and L. P. B. Katehi, “Low loss finite width ground plane, thin film microstrip lines on Si wafers,” in Silicon Monolithic Integrated Circuits in RF Systems Dig., 2000, pp. 43–47.

2850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[9] G. E. Ponchak, E. Dalton, E. Tentzeris, and J. Papapolymerou, “Coupling between microstrip lines with finite width ground plane embedded in polyimide layers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2002, pp. 2221–2224. [10] S. Sun and L. Zhu, “Unified equivalent circuit model of finite-ground microstrip line open-end discontinuities using MoM–SOC technique,” IEICE Trans. Electron., vol. E87-C, no. 5, pp. 828–831, May 2004. [11] L. Zhu and K. Wu, “Unified equivalent-circuit model of planar discontinuities suitable for field theory-based CAD and optimization of M(H)MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1589–1602, Sep. 1999. [12] , “Short-open calibration technique for field theory-based parameter extraction of lumped elements of planar integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1861–1869, Aug. 2002. [13] , “Revisiting characteristic impedance and its definition of microstrip line with a self-calibrated 3-D MoM scheme,” IEEE Microw. Wireless Compon. Lett., vol. 8, no. 2, pp. 87–89, Feb. 1998. [14] S. G. Kim and K. Chang, “Ultrawide-band transitions and new microwave components using double-sided parallel-strip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2148–2152, Sep. 2004.

Sheng Sun (S’02) received the B.Eng. degree in information engineering from Xi’an Jiaotong University, Xi’an, China, in 2001, and is currently working toward the Ph.D. degree in microwave engineering at Nanyang Technological University, Singapore. His research interests include the study of full-wave modeling of planar integrated circuits and antennas, as well as numerical deembedding techniques. Mr. Sun was the recipient of the Nanyang Technological University Scholarship Award for his Ph.D. research (2002–2005) and the Young Scientist Travel Grant (YSTG) presented at the 2004 International Symposium on Antennas and Propagation (ISAP’04), Sendai, Japan.

Lei Zhu (S’91–M’93–SM’00) was born in Wuxi, Jiangsu Province, China, in June 1963. He received the B.Eng. and M.Eng. degrees in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. Eng. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita-Kotobuki Electronics Industries Ltd., Tokyo, Japan. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montréal, University of Montréal, Montréal, QC, Canada. Since July 2000, he has been an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. His current research interests include the study of planar integrated dual-mode filters, ultra-broad bandpass filters, broad-band interconnects, planar periodic structures, planar antenna elements/arrays, uniplanar coplanar waveguide (CPW)/coplanar stripline (CPS) circuits, as well as full-wave MoM modeling of planar integrated circuits and antennas, numerical deembedding or parameter-extraction techniques, field-theory computer-aided design (CAD) synthesis, and optimization design procedures. He is currently an Associate Editor for the IEICE Transactions on Electronics. Dr. Zhu is currently an Editorial Board member for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Japanese Government (Monbusho) Graduate Fellowship (1989–1993), the First-Order Achievement Award in Science and Technology from the National Education Committee in China (1993), the Silver Award of Excellent Invention from the Matsushita-Kotobuki Electronics Industries Ltd., Japan (1996), and the Asia–Pacific Microwave Prize Award presented at the 1997 Asia–Pacific Microwave Conference, Hong Kong.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2851

A Parallel FFT Accelerated Transient Field-Circuit Simulator Ali E. Yılmaz, Member, IEEE, Jian-Ming Jin, Fellow, IEEE, and Eric Michielssen, Fellow, IEEE

Abstract—A novel fast electromagnetic field-circuit simulator that permits the full-wave modeling of transients in nonlinear microwave circuits is proposed. This time-domain simulator is composed of two components: 1) a full-wave solver that models interactions of electromagnetic fields with conducting surfaces and finite dielectric volumes by solving time-domain surface and volume electric field integral equations, respectively, and 2) a circuit solver that models field interactions with lumped circuits, which are potentially active and nonlinear, by solving Kirchoff’s equations through modified nodal analysis. These field and circuit analysis components are consistently interfaced and the resulting coupled set of nonlinear equations is evolved in time by a multidimensional Newton–Raphson scheme. The solution procedure is accelerated by allocating field- and circuit-related computations across the processors of a distributed-memory cluster, which communicate using the message-passing interface standard. Furthermore, the electromagnetic field solver, whose demand for computational resources far outpaces that of the circuit solver, is accelerated by a fast Fourier transform (FFT)-based algorithm, viz. the time-domain adaptive integral method. The resulting parallel FFT accelerated transient field-circuit simulator is applied to the analysis of various active and nonlinear microwave circuits, including power-combining arrays. Index Terms—Parallel processing, microwave circuits, nonlinear circuits, time-domain integral equations, transient analysis.

I. INTRODUCTION

A

S OPERATING frequencies increase, accurate and efficient hybrid full-wave field-circuit simulation tools are becoming increasingly indispensable in the design of microwave circuits as well as in the assessment of their vulnerability to unintentional coupling, crosstalk, packaging effects, and intentional electromagnetic interference. Microwave circuits can be analyzed using either frequency- or time-domain simulators; however, when the circuit under study contains nonlinear components, time-domain methods enjoy the advantage of allowing for the direct analysis of field-circuit interactions without resorting to harmonic balance or port extraction methods [1]. Although early efforts at formulating

Manuscript received September 27, 2004; revised January 3, 2005. This work was supported in part by the Army Research Office under ARO Program DAAD19-00-1-0464, by the Defense Advanced Research Projects Agency (DARPA) VET Program under AFOSR Contract F49620-01-1-0228, by the MURI Grant F49620-01-1-04, “Analysis and design of ultra-wide band and high power microwave pulse interactions with electronic circuits and systems”, and by the Computational Science and Engineering (CSE) Fellowship at the University of Illinois at Urbana-Champaign. The authors are with the Center for Computational Electromagnetics, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854260

hybrid time-domain field-circuit simulators relied on integral-equation schemes—the goal often consisted in the analysis of electromagnetic interactions with nonlinearly loaded wires [2]–[4]—most of the ensuing simulators invoked time-domain differential-equation methods. By now, various extensions to both the finite-difference time-domain (FDTD) [5]–[11] and finite-element time-domain (FETD) [12], [13] methods aimed at incorporating device physics/behavior into electromagnetic analysis environments have been proposed. The most rigorous of these schemes permit the simultaneous solution of the Maxwell and semiconductor carrier transport equations by casting them as a strongly coupled nonlinear system of differential equations on the same grid [14]–[16]. To minimize computational cost, whenever possible, these differential equation solvers account for device and circuit behavior (as opposed to physics) through their description in terms of equivalent lumped elements and macromodels [17]. However, lumped loads and circuits, be they passive or active, linear or nonlinear, static or time-varying, can be quite easily accounted for in time-domain integral-equation solvers as well [1]–[4]. Indeed, the recent development of stable [18]–[20], accurate [21], and fast [22]–[30] marching on in time-based integral-equation solvers for analyzing large-scale transient scattering and radiation phenomena calls for a study into the applicability of these solvers to the analysis of microwave circuits. Modern-day fast time-domain integral-equation solvers are either accelerated by plane wave time domain (PWTD) [23] or by fast Fourier transform (FFT)-based [24]–[30] algorithms. Use of either algorithm permits the analysis of transient electromagnetic phenomena with far more degrees of freedom than possible by classical time-domain integral-equation approaches. Recently, a PWTD accelerated electromagnetic field solver was coupled to a SPICE-like circuit simulator and applied to the analysis of transients on microwave circuits with nonlinear loads [31]. Here, we report, instead, on the hybridization (formulation and implementation) of an FFT accelerated solver with a modified nodal analysis-based circuit simulator; an initial implementation of this scheme was described in [32]. The main reason for pursuing FFT accelerated field-circuit analysis tools is as follows. Just like their frequency-domain counterparts, PWTD accelerated time-domain integral-equation solvers are asymptotically superior to FFT accelerated ones when analyzing electromagnetic transients on arbitrarily shaped three-dimensional (3-D) surfaces. FFT accelerated solvers, however, generally outperform PWTD accelerated ones when analyzing transients on quasiplanar, volumetric, or densely packed structures, which are often encountered in

0018-9480/$20.00 © 2005 IEEE

2852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

microwave circuits. This paper supports this trend. Furthermore, the availability of parallel FFT algorithms [33] and the relative ease of load balancing parallel FFT accelerated time-marching solvers render the FFT route even more appealing. The specific FFT-based algorithm used in this paper is the time-domain adaptive integral method (TD-AIM) [30], which allows the efficient analysis of electromagnetic field interactions with nonuniformly discretized microwave structures/circuits. The hybridization of this FFT accelerated field solver with a modified nodal analysis-based circuit solver results in a coupled nonlinear system of equations, which is solved by a Newton–Raphson algorithm to compute the time evolution of the fields, currents, and voltages on the microwave circuit. In this paper, the hybrid field-circuit simulator is implemented on a distributed-memory computer cluster that communicates through the message-passing interface. The computational work is divided among multiple processors using a simple but effective parallelization paradigm: field and circuit unknowns and associated operations are assigned to separate groups of processors. It is shown that this strategy allows for the separate development and optimization of field and circuit solvers and results in near-optimal parallel scalability for the hybrid solver. The proposed scheme is described in Section II and applied to the analysis of microwave circuits in Section III. Section IV outlines the conclusions of this study. II. FORMULATION This section details the proposed parallel FFT accelerated transient field-circuit simulator. Subsections II-A and II-B formulate the field and circuit equations, respectively. These two subsections introduce notation that enables the description of the field and circuit solvers’ hybridization (including dc analysis), the method for solving the coupled system of equations (including complexity analysis), and the acceleration of the hybrid simulator (including parallelization); these topics are covered in Subsections II-C–E. A. Electromagnetic Field Equations Let denote the conducting surfaces and the potentially inhomogeneous dielectric volumes that comprise the microwave structure under study. The microwave structure resides in free space with permittivity . The permeability of the structure and the surrounding free space is denoted by . In the following, all conductors are assumed perfect and all dielectrics are assumed linear, isotropic, nonmagnetic, nondispersive, lossless, and of . Extensions to lossy conductors and dielectrics permittivity are possible, see [27], [34]–[36].1 A known transient electromagnetic field excites ; it is assumed that this field’s specand that the trum essentially vanishes for frequencies for . The incident field infield is nearly zero on and volume (polarization) duces surface currents 1The hybrid simulator formulation in this paper is general enough to support such extensions; moreover, the TD-AIM acceleration in Subsection II-E would remain valid and efficient with little modification [27], [30]. Indeed, this versatility is one of the advantages of FFT-accelerated simulators over PWTD-accelerated ones.

currents in tered electric field

. These currents, in turn, generate the scat(1)

represents the time derivative and where vector and scalar potentials

and

are the

(2) Here, is the distance between source point and is the free-space speed of observation point and light. The volume current density relates to the electric flux denas , sity is the contrast ratio [37], [38]. Inwhere tegral equations for the surface and volume currents (or better, the flux—see below) are arrived at by: 1) forcing the temporal derivative of the sum of the incident and scattered electric fields tangential to to vanish, and 2) expressing the temporal derivative of the total field as the sum of the temporal derivatives of the incident and scattered fields throughout

(3) in (3) using Upon expressing (1)–(2), as well as the above-stated relationships linking , and , a coupled set of surand face-volume time-domain integral equations in is obtained. These integral equations are solved and using numerically by discretizing and space-time basis functions, respectively, as

(4) and are unknown expansion coefficients Here, is the time-step (electromagnetic unknowns) and are size.2 In this paper, the surface basis functions Rao–Wilton–Glisson (RWG) functions [39] defined on pairs of triangular patches that approximate . The volume basis 2Unlike explicit FDTD/FETD/integral-equation-based hybrid simulators, whose time-step size is constrained by the minimum spatial discretization size, the choice of t for the proposed implicit integral-equation-based simulator is dictated by the maximum frequency of interest and the desired accuracy; typically : =f t : =f .

0 04

1

1 01

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

functions are zeroth-order divergence conforming functions [37] defined over tetrahedral elements, which approximate the dielectric volumes , and over each of which (hence ) is assumed constant; this implies that . This choice of basis functions enforces the continuity of the normal component of the surface current density across patches and the electric flux density across tetraheare drons, respectively. The temporal basis functions shifted Lagrange interpolants [19]. It is important to note that the composite basis functions in (4) are localized in space-time. Upon substituting (4) into (3) and testing the with the spatial functions resulting equation at times and a total of equations for expansion coefficients result for

(5)

and denotes the longest transit Here, time of a free-space propagating electromagnetic field across , expressed in terms of time steps [30]. Expressions for and and matrices the entries of the vectors are provided in the Appendix. The system of (5) is recast into the following form and solved by forward substitution (i.e., by marching on in time) for

(6)

, which represents immediate electromagnetic The matrix interactions, is a sparse but nondiagonal impedance matrix of , with typically nonzero elements. size and hold the unknown current/flux The vectors coefficients and the known tested incident field values at time , respectively. The dominant computational cost of the field solver involves the evaluation of the space-time convolution appearing on the right-hand side of (6), viz. the computation of the scattered electromagnetic fields, which requires operations per time step [30]. B. Circuit Equations The proposed solver allows the microwave structure described above to contain an arbitrary number of lumped circuits with independent reference/ground nodes. Equations governing circuit behaviors are formulated, starting from the circuit topologies and Kirchoff’s laws, via modified nodal analysis using the SPICE2 approach [40] as detailed next; specific details of how the circuits are coupled to the electromagnetic system are further discussed in Subsection II-C. The circuit unknowns are node voltages and voltage-source currents; , hence, the total number of circuit unknowns, denoted as is equal to the total number of nonground nodes and voltage equations in terms of sources in the circuits. A total of these unknowns are obtained by imposing Kirchoff’s voltage law at the voltage-defined elements and current law at all nodes except the grounds. Branch equations relating currents

2853

to voltages are obtained from element stamps and companion models that are formulated using the trapezoidal integration rule. The circuit unknowns are evolved in time using the same time step size as the field solver , which is assumed constant throughout the simulation. While contemporary circuit solvers employ variable time stepping schemes, the fixed but small dictated by the field solver was found to be sufficiently accurate for the applications considered in this paper. When analyzing circuits composed of linear and nonlinear resistors, capacitors, inductors, as well as dependent and independent time steps, this procedure voltage and current sources for yields equations for unknowns for

(7)

The matrix , which represents branch equations of linear and time-invariant circuit elements, is a sparse admittance matrix with typically nonzero eleof size and hold the circuit unknowns ments. The vectors and source currents and voltages, respectively, and the vector represents the branch equations of nonlinear . and time-varying elements at time C. Coupled System of Equations The lumped circuits are connected to the conducting surfaces through electromagnetic surface unknowns and are modeled as local voltage sources. To illustrate this procedure, assume that electromagnetic surface unknown is loaded by a one-port circuit whose terminals are at nodes and 0 [Fig. 1(a)]. The field solver requires as one of its inputs the time-derivative of the port voltage (the voltage difference between the two termiand the circuit solver requires the port current nals) , where is the length of the edge.3 Thus, the following coupled system of equations results when the two solvers are interfaced:

(8) Here, is the vector of unknowns at time . The matrix , which represents circuit to field coupling, is formed according to Fig. 1(b) and (c) and is used to select voltages observed at the circuit ports. The transpose ma, which represents field to circuit coupling, is used to trix select the currents observed at the circuit ports. The nonzero entries of are . In (8), the derivatives of the port voltages are computed by a third-order backward differentiation formula are . For circuits and with three or more terminals, a straightforward extension of the procedure in Fig. 1 [31] is employed by defining the number of 3Multiple surface unknowns k ; . . . ; k can also be loaded by the same circuit, in which case n identical voltage sources excite k ; . . . ; k and the port current is defined as I (k )d .

2854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

with the microwave structure characterized either explicitly, e.g., through fast electrostatic solvers [42], or approximately, e.g., as a small resistor modeling the dc resistance of the conductors between circuits [11]. D. Solution Algorithm/Computational Complexity Analysis

Fig. 1. Coupling of electromagnetic and circuit systems. (a) The surface unknown k of edge-length d is connected to a one-port circuit at terminals a and 0. (b) Coupling from circuit system point of view. (c) Coupling from electromagnetic system point of view.

ports of a circuit to be one less than the number of its terminals, i.e., each circuit has a unique ground terminal connected to the electromagnetic system. In general, if there are ports, will have nonzero elements since one terminal of each port is grounded (assuming each port loads only one surface unknown). The above coupling scheme inherently assumes that the physical separation between circuit terminals is small with respect to the minimum wavelength of interest and the ground nodes of each circuit have well defined locations on the microwave structure. Other coupling schemes that define a global ground (at infinity) exist [41]. Although the coupling scheme of [41] introduces additional unknowns at the circuit terminals (contacts), the off-diagonal matrix blocks representing coupling between the circuit and field formulations/solvers remain sparse. Notice that, while the circuit solver can incorporate initial conditions (typically computed from a dc analysis) for the circuit and unknowns (i.e., for ), the field solver assumes zero initial conditions (i.e., and for ). In this paper, microwave circuits with dc sources are analyzed in two ways: 1) Zero initial conditions are assumed everywhere; the dc sources are turned on gradually (in order not to violate the finite bandwidth assumption), and the transient analysis is performed only after the system reaches steady state. Depending on the specifics of the simulation being conducted, this procedure may require (too) many time steps and lead to low-frequency stability problems, which are avoided by the second scheme. 2) The dc and transient responses are separated, similar to [10] and [11], using the linearity of the electromagnetic system as follows. On the one hand, the total electromagnetic response at time is represented as and the field solver models . On the other hand, the total only the transient response circuit response at time is represented as and the circuit solver models both the dc response and the transient response (by enforcing the dc solution as initial conditions and ). To maintain consistency, dc voltages through and currents are introduced at the interface [Fig. 1(b)]. Hence, the hybrid field-circuit solver uses the (precomputed) vectors , and the entries of at the circuit ports to account for the dc conditions in the second scheme. These values can be computed through a dc analysis of the circuits

, the following five-stage At each time step Newton–Raphson algorithm is used to solve the coupled nonlinear system of (8): Stage (i): Compute the right-hand-side vector . Then for each Newton iteration Stage (ii): Evaluate the residual vector , where is the solution vector from the previous Newton step and , i.e., the solution the initial guess is at the previous time step. Stage (iii): If then stop; the solution is . at time Stage (iv): Compute the Jacobian submatrix . Stage (v): Iteratively solve (9) for the Newton step and find the next solution . vector While the above Newton–Raphson algorithm exhibits sufficiently close to the solution quadratic convergence for (assuming the discretization of (3) and the nonlinear device ), it can fail if the initial guess models result in nonsingular is far from the solution. The algorithm’s robustness can be improved by combining it with line-search methods that take less than the full Newton step ([43] for details). Note that, in in (9) general all four submatrices of the Jacobian matrix are sparse and the algorithm needs only one Newton iteration per time step if there are no nonlinear circuit elements. It should be emphasized that the above solution algorithm is different from that of [31]. While the algorithm in [31] solves a smaller system of equations and therefore might potentially require fewer Newton iterations, here the Jacobian matrix is computed significantly faster. This is because, unlike [31], the above algorithm does not require a matrix solution to compute the entries ; indeed they can be computed analytically. Furthermore, of the algorithm here is more amenable to the parallelization framework discussed in Subsection II-E. The computational complexity of the above algorithm is analyzed next. , which is indeThe algorithm evaluates and stores oppendent of the Newton iteration, in erations. Then, at each Newton iteration , the algorithm operations to evaluate the vectors requires and operations to update , and operations to solve for the Newton is the number of nonzero entries of and step. Here, is the average number of iterations needed to solve (9). In general, and . Thus, the two dominant computational operations are the evaluation of the

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

scattered electromagnetic fields in stage (i) and the iterative in stage (v). For each time solution of the Newton step step, the computations involved in stages (i) and (v) require and operations, denotes the average number of Newton respectively, where iterations. In our experience, the dominant computational operation for typical microwave circuits is incurred in stage (i), the calculation of . E. Parallelization and TD-AIM Acceleration The solution of (8) is accelerated by parallel processing. One parallelization approach might be to simultaneously distribute unknowns among the available processors all without separating electromagnetic and circuit unknowns. This approach, however, forces all processors handling both types of unknowns to run both electromagnetic and circuit solvers; this, in turn, leads to load balancing problems. Furthermore, it is not clear how to retrofit existing parallel field and circuit solvers to operate in unison inside such a framework. In this work, the parallelization strategy is to distribute electromagnetic- and circuit-related unknowns and operations to separate sets of procesavailable processors, processors sors. Of the are dedicated to computations governing the updates of the field unknowns and processors are used for operations circuit unknowns. The two sets related to updating the and its of processors communicate only when evaluating norm and while iteratively solving (9) in the Newton–Raphson algorithm of Subsection II-D. Moreover, the two systems interact only at the loading ports and hence the two sets of pronumbers when they communicessors exchange only cate. Thus, the total amount of communication between the two bytes at each time step sets of processors is and is negligible compared to other communication and computation costs. This strategy allows for the separate development of field and circuit solvers and enables hybridization of already developed and optimized parallel field and circuit solvers in the Newton–Raphson framework without loss of their load-balancing features. Indeed, in this paper the circuit solver is hybridized with a highly scalable parallel FFT-based field solver [30] as described next. It should be noted that our implementation employs the message-passing interface standard; this is in part due to the availability of parallel FFT libraries based on this standard [33]. The FFT-acceleration is employed to reduce the cost of computing the first entries of at each time step, processors. FFT-based which quickly overwhelms the algorithms for accelerating electromagnetic analysis originated with the -space method [44], [45] and were initially used for frequency-domain analysis involving uniformly discretized structures. They were later extended to incorporate nonuniformly discretized structures through the introduction of auxiliary uniform grids [42], [46] and parallelized [46]–[48] to allow large-scale static and time-harmonic analysis. Recently, FFT-based algorithms have been adopted to permit the parallel analysis of electromagnetic transients on large arbitrarily shaped structures [24]–[30]. Here, the TD-AIM algorithm [30] is used.

2855

The TD-AIM scheme embeds the microwave structure in an nodes auxiliary 3-D Cartesian grid with and in the three orthogonal that are separated by directions. Each of the impedance matrices is approximated by using these auxiliary grid points. as help preserve accuracy by reproducing the The matrices : their entries are nonzero for only original entries of . near-field interactions, for which they are equal to , on the other hand, are approximations of the The matrices original matrices that are efficiently multiplied with the vectors using multidimensional FFTs. The TD-AIM algorithm entries of in four steps: 1) At each time computes the first are locally projected onto step , all current-coefficients the auxiliary grid, such that sources that reside on the auxiliary grid accurately approximate the transient fields radiated by the original sources outside a near-field region. In order to use only one auxiliary grid and the same propagation operators for both, the projection step for surface and volume sources is not identical. Because the fields radiated by volume sources require an additional temporal derivative [see (14) in the Appendix], a finite difference scheme is used to compute the numerical derivatives of the volume coefficients, which are then projected on to the auxiliary grid. 2) Present and future transient fields produced by the sources on the auxiliary grid are computed on the same grid using vector-and scalar-potential propagators as described in [30] in a multilevel approach via global space-time FFTs. 3) The fields at time step are locally interpolated from the vector- and scalar-potential values on the auxiliary grid onto the primary mesh. 4) The errors in the near-field region and adding are corrected by computing it to the fields computed via steps 1)–3). In this paper, the projection and interpolation operators of steps 1) and 3) are found by matching the multipole moments of point sources , and components on the auxiliary grid to those of and the gradients of the functions and [30], [46]. Hence, four projection matrices are used for surface and volume basis functions. Because the projection operations and are localized in space and time, the correction matrices the dominant computational burden of the TD-AIM scheme is the computation of 4-D space-time FFTs in step 2). Using a multilevel algorithm and employing parallel FFTs (e.g., via the FFTW library [33]), the space-time FFTs are computed operations per processor in per time step. A detailed description of the parallel FFTs in this context is given in [30]. For volumetric or quasiplanar , whereas (for volumetric) structures or (for quasiplanar) [30]. To sum up, the two computationally dominant stages of the proposed algorithm, stages (i) and (v), are both accelerated by parallelization while stage (i) is further accelerated by the TD-AIM algorithm. For typical microwave circuits, neglecting the communication costs, the time spent in stages (i) and (v) at each time step scales as and per processor, respectively. Ignoring the circuit processors and operations, the processors exchange, at each time step, a total of

2856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

bytes while computing FFTs in stage (i) and depending on the numbering and distribution of the unknowns amongst to bytes in stage (v). Hence, them communication costs, which are subdominant to computation costs for stage (i), may become the bottleneck for stage (v) as the number of processors is increased. III. APPLICATIONS The accuracy and efficiency of the proposed scheme are demonstrated by analyzing various microwave systems and circuits. First, an active antenna and a microwave amplifier are analyzed and the results obtained are compared to measurement and simulation data available in the literature. Next, a grid amplifier is simulated and the results are compared with both frequency-domain simulations and measurements available in the literature. In all simulations, the TD-AIM kernel matches up to third-order moments when projecting sources onto the auxiliary grid, and defines the near-field region of a basis function as the space extending four (auxiliary grid) cells in each direction away from it (that is, as defined in [30] is 4). Bandwidths of Gaussian pulses are specified as two-sided and quantify the frequency range over which spectral power densities are no less than 45 dB below their peak values. The results in this section are obtained using a cluster of 1-GHz Pentium . In each and every case, the III processors with parallel efficiency of the scheme is examined by observing its run time and memory requirements. While a direct performance comparison with other simulators is not performed, the below applications clearly demonstrate the viability of the solver for analyzing large and detailed microwave systems and circuits.

Fig. 2. Active patch antenna. Dimensions of the structure are in millimeters. The geometry dimensions and diode locations are shown in (a) top view and (b) side view.

A. Active Patch Antennas The first microwave system analyzed consists of an array of two (nonlinear) Gunn diode loaded patch antennas. The antennas reside on a 0.789-mm-thick dielectric substrate of perthat measures 41.4 37.21 mm; the mittivity substrate is backed by an equally sized ground plane [Fig. 2(a)]. The construction and experimental characterization of this antenna were reported in [5] and [49]; prior efforts at analyzing it relied on FDTD [5] and FETD [12] methods. In our model, the Gunn diodes connect across the centers of strips bonding the patches to the ground plane [Fig. 2(b)]. Each diode is modeled by an equivalent circuit identical to that used in [5] and [12] and circuit unknowns. The detailed in Fig. 3, leading to field solver processes a mesh of the array comprised of triangles and tetrahedrons with average edge length of approximately surface and volume 1 mm, leading to time steps, unknowns. The analysis is carried out for ps and . The TD-AIM accelerator uses with mm, mm, an auxiliary grid with spacings and mm, resulting in auxiliary grid points. The array is excited by a normally incident -polarized Gaussian plane wave pulse, with 0.1-mV/m peak amplitude, 8-GHz center frequency, and 8-GHz bandwidth. The oscillations due to this low-power broadband plane wave are allowed

Fig. 3. The i-v characteristics of the Gunn diodes and their circuit model, which is identical to those in [5] and [12].

to build up [Fig. 4(a)] and the resulting steady-state voltages across the diodes are plotted in Fig. 4(b). The oscillations across the two diodes are out of phase, as was observed in [5] and [12]. The fundamental frequency of the oscillations computed by the simulator is 12 GHz [Fig. 4(c)], which agrees well with the values of 12.2 and 12.08 GHz computed via differential-equation-based schemes of [5] and [12] and the measured value of 11.8 GHz. Fig. 4(d) compares the normalized polarized plane electric-field pattern of the antenna in the computed by the proposed method at 12 GHz to measurements at 11.8 GHz [49]. Good agreement is observed.

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

2857

Fig. 4. (a) Transient voltage on the first diode. (b) Steady stage voltages across the diodes. (c) Frequency spectrum of the voltage across diode 1. (d) Radiation pattern of the active patch antenna at 12.0 GHz compared to measured values at 11.8 GHz.

B. Microwave Amplifier To further verify the accuracy of the simulator, a nonlinear microwave amplifier circuit is analyzed next. Microstrip matching networks are connected to a packaged transistor, which resides on a 0.7874 mm thick dielectric substrate of perthat measures 17.526 16.256 mm; the mittivity substrate is backed by an equally sized ground plane [Fig. 5(a)]. This circuit was previously analyzed by FDTD-based [8], FETD-based [13], and PWTD accelerated integral-equation-based [31] methods. Here, the circuit solver models the MESFET using the nonlinear large signal circuit model in Fig. 5(b), which is similar to those in [8], [13], and [31]; a circuit unknowns result. The field solver total of processes a mesh of the microwave amplifier comprised of triangles and tetrahedrons with average edge length of approxsurface and imately 1 mm, leading to volume unknowns. The TD-AIM auxiliary grid spacings are mm, mm, and mm, resulting in auxiliary grid points.

First, the nonlinear behavior of the amplifier is studied. The input (1) and output (2) ports are driven by dc sources with 50 internal impedance and amplitudes and , respectively. The dc sources are turned on from zero, according to the first scheme described in Subsection II-C, using a three-derivative smooth window function [50] ns V

ns V

(10) After 3 ns, when a steady state is reached, the transient source is activated at the input ns

GHz V (11)

2858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Microwave amplifier. (a) The dimensions of the structure in millimeters. (b) The circuit models for the three-terminal MESFET, the source port termination, and the loading port termination.

The analysis is carried out for time steps, with ps and . Fig. 6(a) shows the transient input and output voltage waveforms at the transistor terminals when the amplifier is driven by the 6-GHz single-tone excitation of V). (11) at an input power level of 5.95 dBm (i.e., The power dissipated in the loading resistor is calculated from the Fourier transform of the steady-state current minus the dc current at the output port. The output power is observed at the harmonic frequencies of 6 GHz as shown in Fig. 6(b) for various different input power levels. Fig. 7(a)–(c) compares the power levels of the first three harmonics with those simulated by the FDTD scheme of [8] and FETD scheme of [13]. Good agreement is observed. Next, the broadband behavior of the amplifier is analyzed using the large signal circuit model of Fig. 5(b) under small signal operation. The input port is driven by a unit amplitude Gaussian pulse modulated at 7 GHz with 12-GHz bandwidth. For this analysis, the bias conditions are modeled with dc sources at the ports and initial conditions at the circuits, according to the second scheme described in Subsection II-C. time steps are needed, with In this case, only ps and . The input and output voltage waveforms at the ports shown in Fig. 5(a) are plotted in Fig. 8(a).

Fig. 6. (a) Voltage waveforms across the transistor terminals for an input power level of at 6 GHz. (b) Output power spectrum due to the same input.

The -parameters of the amplifier are extracted at the ports over the frequency range of 2–10 GHz and compared with those obtained using the differential-equation-based schemes of [8] and [13] in Fig. 8(b). The results obtained using the TD-AIM scheme are in good agreement with those obtained using the differential equation algorithms. C. Reflection-Grid Amplifier Finally, a quasi-optical grid amplifier is analyzed [51], [52]. During the past decade, various grid amplifiers that employ up to hundreds of transistors have been demonstrated [53]. While most grid amplifiers use a transmission architecture, here, a grid-amplifier with an “active mirror” architecture that aids in heat-sinking [54], [55] is analyzed. The grid amplifier, which is modeled after those reported in [54] and [55], is composed of 16 six-terminal differential amplifiers that are connected by a microstrip network mounted on a 0.8-mm-thick that measures dielectric substrate of permittivity 39.47 27.46 mm; the substrate is backed by an equally sized

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

2859

Fig. 8. Small signal analysis using the large-signal circuit model and nonzero initial conditions. (a) The broadband input signal and the transient waveforms at the input and output ports. (b) S and S of the microwave amplifier.

Fig. 7. Output power at the harmonic frequencies of (a) 6 GHz, (b) 12 GHz, and (c) 18 GHz.

ground plane, whose distance from the bottom of the dielectric varies between 1.6 and 31.6 mm in the cases analyzed

[Fig. 9(a)–(c)]. The amplifier chips measure 0.4 0.4 mm and are modeled using the nonlinear large signal circuit model of Fig. 10(a), which is identical to that specified in [55], resulting circuit unknowns. Note that, because the dein tailed circuit models for the transistors used in [55], which were custom built, were not available, here the transistors are modeled using the simple Ebers–Moll model of Fig. 10(b) [40]. Ebers–Moll model parameters are given in Table I. The field solver processes a mesh of the reflection-grid amplifier using triangles and tetrahedrons with average edge length surface and of approximately 0.8 mm, leading to volume unknowns. The simulations are carried out to time steps depending on the incident for field angle and the ground plane position, the time step size is ps, and varies between 43 and 51 depending on the ground plane position. The TD-AIM auxiliary grid spacings mm, mm, and mm, are to auxiliary resulting in grid points depending on the ground plane position.

2860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

(a)

(b) Fig. 10. Circuit models. (a) The six-terminal differential-amplifier model and the dc bias values at the interface. (b) The equivalent circuit model for the transistors (the transport version of the Ebers–Moll model).

TABLE I EBERS-MOLL MODEL PARAMETERS

Fig. 9. Reflection-grid amplifier. The dimensions in millimeters of (a) the entire structure, (b) a single element, and (c) the connections to the chip terminals.

As shown in Fig. 10(a), the amplifier bias conditions are modeled by dc sources at the ports. After the dc conditions at the circuits are established via the second scheme described in Subsection II-C, the grid is illuminated by a polarized Gaussian plane wave, with 1 V/m peak-amplitude, 10 GHz center frequency, and 10 GHz bandwidth. In the

following simulations, the plane wave is incident from the direction and the gain of the grid is defined as the (cross) polarized radar cross section of the structure direction) divided by at the specular angle ( the top-surface area of the grid (37.47 25.46 mm ); this replicates the gain definitions of the measurement setup in [53]–[55]. First, for verification purposes, the gain of the grid under normal illumination computed by the proposed scheme is compared to that computed by a frequency-domain hybrid simulator. The frequency-domain simulator’s circuitsolver replaces the transistors with their small-signal models at the operating point, whereas its field-solver uses a (frequencydomain) AIM accelerated integral-equation scheme [46], which uses the same surface mesh, volume mesh, auxiliary grid, and moment-matching order as the time-domain solver. Fig. 11(a)

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

2861

Fig. 11. Reflection-grid amplifier gain. (a) Gain with no bias or 3.5-V bias when the ground plane is 13.6 mm below the dielectric and the grid is illuminated at normal incidence. (b) Gain with respect to the ground plane position with normal incidence and 3.5-V bias. (c) Gain with respect to the incidence angle with ground plane 13.6 mm below the dielectric and with 3.5-V bias. (d) Gain with respect to the frequency with  = 20 incidence angle, with the ground plane 13.6 mm below the dielectric, and with 3.5-V bias.

shows the grid gain computed by the frequency- and timedomain simulators, with the transistors either unbiased or biased at 3.5 V. In both cases, good agreement between the results obtained using both schemes is observed. When the transistors are biased, approximately 15-dB gain is observed around 8.4 GHz, which is in good agreement with the measurement of 15 dB at 8.4 GHz reported in [55]. Next, the effects of changes in various design parameters on the reflection-grid amplifier’s performance are studied. Fig. 11(b) plots the grid gain with respect to the ground-plane position for various frequencies under normal illumination. Fig. 11(c) shows the performance of the amplifier for off-normal illuminations at various frequencies obliquity factor. The data and compares the gain with the presented in both figures support the predicted and measured trends reported in [54]. Finally, the measurement setup of [55] is direction and replicated by illuminating the array from the computed and measured gains are compared as a function of frequency in Fig. 11(d). The disagreement between the

measured and simulated results at higher frequencies may be attributed to a variety of differences between the measured and modeled structures, such as the thickness of the substrate, the ground-plane position and size, the details of chip connections to the grid, and the transistor characteristics. Nevertheless, the correlation between the simulation results obtained using the proposed solver and the measured data of [54] and [55] is evident. D. Parallel Performance Finally, Fig. 12 illustrates the parallel performance of the field-circuit solver in the above simulations. Fig. 12(a) shows that in all of the above applications most of the computation time is spent in stages (i) and (v) of the Newton–Raphson algorithm of Subsection II-D, as expected. The times in Fig. 12(a) include communication as well as computation times; it is clear that communication costs do not hamper the scalability of the scheme even when tens of processors are used. Fig. 12(b) shows

2862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

simulator models fields on distributed passives by time-domain integral equations and currents and voltages in devices approximated in terms of lumped elements by modified nodal analysis equations. The resulting nonlinear hybrid system of equations in field and circuit unknowns is solved using a Newton–Raphson algorithm, which is accelerated by distributing the computational work across multiple processors and by employing the TD-AIM algorithm to expedite field computations. The simulator can characterize a broad variety of microwave circuits accurately, as was demonstrated through comparison of data derived from it with independent measurements and simulations of a nonlinearly loaded patch antenna, a microwave amplifier, and a reflection-grid amplifier. Furthermore, as demonstrated in Section III, the distributed-memory parallelization of the algorithm shows near-ideal scalability, allowing the simulator to efficiently characterize nonlinear components on electrically large platforms. The versatility of the proposed simulator can be increased through various extensions that are currently being developed. These include the incorporation of variable time-stepping and reduced-order macromodeling algorithms, as well as accurate lossy- and layered-media formulations for the time-domain integral equations. APPENDIX The entries of the vectors , are

and

, which are of length for else

for else

(12) , for , are

The entries of the impedance matrices , each of which are of size Fig. 12. Parallel performance of the simulator for the active patch antenna, the microwave amplifier, and the reflection-grid amplifier. (a) Average time spent in various stages of the algorithm at each time step. (b) The memory used by the circuit processor and the maximum and minimum memory used by the P processors. The dashed lines are ideal speed-up tangents.

the memory requirement of the algorithm and its distribution among the processors. The memory scalability of the algorithm is limited for the microwave amplifier, which has the smallest number of unknowns among the three cases. This is mainly due to operating system overheads and data replication among processors, such as geometry description. These factors, however, scale at most linearly with number of unknowns and hence become subdominant for problems with more unknowns. In short, Fig. 12 verifies that both the computation time and the memory requirement of the proposed scheme show good parallel scalability for all three simulations presented.

for

for

for

IV. CONCLUSION This paper has outlined a parallel FFT accelerated hybrid field-circuit simulator and highlighted its application to the analysis of various nonlinear microwave systems. The proposed

for

. (13)

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

Notice that the first and second conditions in (3) are enforced on conductor surfaces and dielectric volumes by testing them with surface and volume functions, respectively. In (13), the enare defined in terms of the first and second time tries of derivatives of scalar and vector potentials due to each spatial basis function, respectively

(14)

ACKNOWLEDGMENT The authors would like to thank the CSE Department and the National Center for Supercomputing Applications (NCSA) for access to their parallel clusters. The first author thanks B. Fischer and Dr. K. Aygün for a reference circuit-solver program and Dr. M. Lu for discussions on the coupling scheme.

REFERENCES [1] E. K. Miller, “Time-domain modeling in electromagnetics,” J. Electromagn. Waves Appl., vol. 8, no. 9/10, pp. 1125–1172, 1994. [2] H. Schuman, “Time-domain scattering from a nonlinearly loaded wire,” IEEE Trans. Antennas Propagat., vol. 22, no. 4, pp. 611–613, Jul. 1974. [3] T. K. Liu and F. M. Tesche, “Analysis of antennas and scatterers with nonlinear loads,” IEEE Trans. Antennas Propagat., vol. 24, no. 2, pp. 131–139, Mar. 1976. [4] J. A. Landt, E. K. Miller, and F. J. Deadrick, “Time domain modeling of nonlinear loads,” IEEE Trans. Antennas Propagat., vol. 31, no. 1, pp. 121–126, Jan. 1983. [5] B. Toland, J. Lin, B. Houshmand, and T. Itoh, “FDTD analysis of an active antenna,” IEEE Microw. Guided Wave Lett., vol. 3, no. 11, pp. 423–425, Nov. 1993. [6] V. A. Thomas, M. E. Jones, M. Piket-May, A. Taflove, and E. Harrigan, “The use of SPICE lumped circuits as sub-grid models for FDTD analysis,” IEEE Microw. Guided Wave Lett., vol. 4, no. 5, pp. 141–143, May 1994. [7] P. Ciampolini, P. Mezzanotte, L. Roselli, and R. Sorrentino, “Accurate and efficient circuit simulation with lumped-element FDTD technique,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2207–2215, Dec. 1996. [8] C. Kuo, B. Houshmand, and T. Itoh, “Full-wave analysis of packaged microwave circuits with active and nonlinear devices: An FDTD approach,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 819–826, May 1997. [9] K.-P. Ma, B. Houshmand, Y. Qian, and T. Itoh, “Global time-domain full-wave analysis of microwave circuits involving highly nonlinear phenomena and EMC effects,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 859–866, Jun. 1999.

2863

[10] G. Kobidze, A. Nishizawa, and S. Tanabe, “Ground bouncing in PCB with integrated circuits,” in Proc. IEEE Int. Symp. EMC, vol. 1, Aug. 2000, pp. 349–352. [11] N. Orhanovic, R. Raghuram, and N. Matsui, “Full wave analysis of planar interconnect structures using FDTD-SPICE,” in Proc. IEEE Electronic Components and Technology Conf., 2001, pp. 489–494. [12] K. Guillouard, M. F. Wong, V. F. Hanna, and J. Citerne, “A new global time-domain electromagnetic simulator of microwave circuits including lumped elements based on finite-element method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2045–2048, Oct. 1999. [13] S.-H. Chang, R. Coccioli, Y. Qian, and T. Itoh, “A global finite-element time-domain analysis of active nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2410–2416, Dec. 1999. [14] M. A. Alsunaidi, S. M. S. Imtiaz, and S. M. El-Ghazaly, “Electromagnetic wave effects on microwave transistors using a full-wave time-domain model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 799–808, Jun. 1996. [15] R. O. Grondin, S. M. El-Ghazaly, and S. Goodnick, “A review of global modeling of charge transport in semiconductors and full-wave electromagnetics,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 817–829, Jun. 1999. [16] P. Ciampolini, L. Roselli, G. Stopponi, and R. Sorrentino, “Global modeling strategies for the analysis of high-frequency integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 950–955, Jun. 1999. [17] S. Grivet-Talocia, I. S. Stievano, and F. G. Canavero, “Hybridization of FDTD and device behavioral-modeling techniques,” IEEE Trans. Electromagn. Compat., vol. 45, no. 1, pp. 31–42, Feb. 2003. [18] A. Sadigh and E. Arvas, “Treating the instabilities in marching-on-intime method from a different perspective,” IEEE Trans. Antennas Propagat., vol. 41, no. 12, pp. 1695–1702, Dec. 1993. [19] G. Manara, A. Monorchio, and R. Reggiannini, “A space-time discretization criterion for a stable time-marching solution of the electric field integral equation,” IEEE Trans. Antennas Propagat., vol. 45, no. 4, pp. 527–532, Apr. 1997. [20] J. Garret, A. E. Ruehli, and C. R. Paul, “Accuracy and stability improvements of integral equation models using the partial element equivalent circuit (PEEC) approach,” IEEE Trans. Antennas Propagat., vol. 46, no. 12, pp. 1824–1832, Dec. 1998. [21] D. S. Weile, G. Pisharody, N.-W. Chen, B. Shanker, and E. Michielssen, “A novel scheme for the solution of time-domain integral equations of electromagnetics,” IEEE Trans. Antennas Propagat., vol. 52, no. 1, pp. 283–295, Jan. 2004. [22] S. P. Walker and C. Y. Leung, “Parallel computation of time-domain integral equation analyses of electromagnetic scattering and RCS,” IEEE Trans. Antennas Propagat., vol. 45, no. 4, pp. 614–619, Apr. 1997. [23] B. Shanker, A. A. Ergin, M. Lu, and E. Michielssen, “Fast analysis of transient electromagnetic scattering phenomena using the multilevel plane wave time domain algorithm,” IEEE Trans. Antennas Propagat., vol. 51, no. 3, pp. 628–641, Mar. 2003. [24] J. L. Hu, C. H. Chan, and Y. Xu, “A fast solution of time domain integral equation using fast Fourier transformation,” Microw. Opt. Tech. Lett., vol. 25, no. 3, pp. 172–175, 2000. [25] A. E. Yılmaz, D. S. Weile, J. M. Jin, and E. Michielssen, “A fast Fourier transform accelerated marching-on-in-time algorithm for electromagnetic analysis,” Electromagn., vol. 21, pp. 181–197, 2001. , “A hierarchical FFT algorithm for fast analysis of transient elec[26] tromagnetic scattering phenomena,” IEEE Trans. Antennas Propagat., vol. 5, no. 7, pp. 971–982, Jul. 2002. [27] A. E. Yılmaz, D. S. Weile, B. Shanker, J. M. Jin, and E. Michielssen, “Fast analysis of transient scattering in lossy media,” IEEE Antennas Wireless Propagat. Lett., vol. 1, no. 1, pp. 14–17, 2002. [28] E. Bleszynski, M. Bleszynski, and T. Jaroszewicz, “A new fast time domain integral equation solution algorithm,” in IEEE APS Symp. Dig., vol. 4, 2001, pp. 176–179. [29] A. E. Yılmaz, K. Aygün, J. M. Jin, and E. Michielssen, “Matching criteria and the accuracy of time domain adaptive integral method,” in IEEE APS Symp. Dig., vol. 2, 2002, pp. 166–169. [30] A. E. Yılmaz, J. M. Jin, and E. Michielssen, “Time domain adaptive integral method for surface integral eqautions,” IEEE Trans. Antennas Propagat., vol. 52, no. 10, pp. 2692–2708, Oct. 2004.

2864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[31] K. Aygün, B. C. Fisher, J. Meng, and E. Michielssen, “A fast hybrid field-circuit simulator for transient analysis of microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 573–583, Feb. 2004. [32] A. E. Yılmaz, J. M. Jin, and E. Michielssen, “A parallel time-domain adapative integral method based hybrid field-circuit simulator,” in IEEE APS Symp. Dig., vol. 3, 2004, pp. 3309–3312. [33] M. Frigo and S. G. Johnson, “FFTW: An adaptive software architecture for the FFT,” in Proc. IEEE Int. Conf. Acoustics, Speech, and Signal Processing (ICASSP), vol. 3, 1998, pp. 1381–1384. [34] M. J. Bluck, S. P. Walker, and M. D. Pocock, “The extension of timedomain integral equation analysis to scattering from imperfectly conducting bodies,” IEEE Trans. Antennas Propagat., vol. 49, no. 6, pp. 875–879, Jun. 2001. [35] Q. Chen, M. Lu, and E. Michielssen, “Integral-equation-based analysis of transient scattering from surfaces with an impedance boundary condition,” Microw. Opt. Tech. Lett., vol. 42, no. 3, pp. 213–220, 2004. [36] B. Shanker, K. Aygün, and E. Michielssen, “Fast analysis of transient scattering from lossy inhomogeneous dielectric bodies,” Radio Sci., vol. 39, no. 2, pp. 1–14, 2004. [37] D. H. Schaubert, D. R. Wilton, and A. W. Glisson, “A tetrahedral modeling method for electromagnetic scattering by arbitrarily shaped inhomogenous dielectric bodies,” IEEE Trans. Antennas Propagat., vol. 32, no. 1, pp. 77–85, Jan. 1984. [38] N. T. Gres, A. A. Ergin, and E. Michielssen, “Volume-integral-equation-based analysis of transient electromagnetic scattering from three-dimensional inhomogeneous dielectric objects,” Radio Sci., vol. 36, no. 3, pp. 379–386, May/Jun. 2001. [39] S. M. Rao, D. R. Wilton, and A. W. Glisson, “Electromagnetic scattering by surfaces of arbitrary shape,” IEEE Trans. Antennas Propagat., vol. 30, no. 3, pp. 409–418, May 1982. [40] A. Vladimirescu, The SPICE Book. New York: Wiley, 1994. [41] Y. Wang, D. Gope, V. Jandhyala, and C.-J. R. Shi, “Generalized Kirchoff’s current and voltage law formulation for coupled circuitelectromagnetic simulation with surface integral equations,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1673–1682, Jul. 2004. [42] J. R. Phillips and J. K. White, “A precorrected-FFT method for electrostatic analysis of complicated 3-D structures,” IEEE Trans. Comput.Aided Des. Integr. Circuits Syst., vol. 16, no. 10, pp. 1059–1072, Oct. 1997. [43] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in FORTRAN. New York: Cambridge Univ. Press, 1992. [44] N. N. Bojarski, “K-space formulation of the electromagnetic scattering problem,” in URSI Dig., 1971, p. 117. , “The k-space formulation of the scattering problem in the time [45] domain,” J. Acoust. Soc. Am., vol. 72, no. 2, pp. 570–584, Apr. 1982. [46] E. Bleszynski, M. Bleszynski, and T. Jaroszewicz, “AIM: Adaptive integral method for solving large-scale electromagnetic scattering and radiation problems,” Radio Sci., vol. 31, no. 5, pp. 1125–1251, 1996. [47] H. T. Anastassiu, M. Smelyanskiy, S. Bindiganavale, and J. L. Volakis, “Scattering from relatively flat surfaces using the adaptive integral method,” Radio Sci., vol. 33, no. 1, pp. 7–16, 1998. [48] N. R. Aluru, V. B. Nadkarni, and J. White, “A parallel precorrected FFT based capacitance extraction program for signal integrity analysis,” in Proc. Design Automation Conf., 1996, pp. 363–366. [49] B. Toland, J. Lin, B. Houshmand, and T. Itoh, “Electromagnetic simulation of mode control of a two element active antenna,” in IEEE MTT-S Symp. Dig., 1994, pp. 883–886. [50] R. W. Ziolkowski and E. Heyman, “Wave propagation in media having negative permittivity and permeability,” Phys. Rev. E., vol. 64, p. 056625, 2001. [51] J. W. Mink, “Quasioptical power combining of solid-state millimeterwave sources,” IEEE Trans. Microw. Theory Tech., vol. 34, no. 2, pp. 273–279, Feb. 1986. [52] R. M. Weikle II, M. Kim, J. B. Hacker, M. P. Delisio, Z. B. Popovic, and D. Rutledge, “Transistor oscillator and amplifier grids,” Proc. IEEE, vol. 80, no. 11, pp. 1800–1809, Nov. 1992.

[53] M. P. Delisio, S. W. Duncan, D.-W. Tu, C.-M. Liu, A. Moussessian, J. J. Rosenberg, and D. B. Rutledge, “Modeling and performance of a 100element pHEMT grid amplifier,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2136–2144, Dec. 1996. [54] F. Lecuyer, R. Swisher, I.-F. F. Chio, A. Guyette, A. Al-Zayed, W. Ding, M. Delisio, K. Sato, A. Oki, A. Gutierrez, R. Kagiwada, and J. Cowles, “A 16-element reflection grid amplifier,” in IEEE MTT-S Symp. Dig., 2000, pp. 809–812. [55] A. Guyette, R. Swisher, F. Lecuyer, A. Al-Zayed, A. Kom, S.-T. Lei, M. Oliviera, P. Li, M. Delisio, K. Sato, A. Oki, A. Gutierrez-Aitken, R. Kagiwada, and J. Cowles, “A 16-element reflection grid amplifier with improved heat sinking,” in IEEE MTT-S Symp. Dig., 2001, pp. 1839–1842.

Ali E. Yılmaz (S’96–M’05) was born in Ankara, Turkey, in 1978. He received the B.S. degree in electrical engineering from Bilkent University, Turkey, in 1999, and the M.S. degree in electrical and computer engineering from the University of Illinois at Urbana-Champaign (UIUC), in 2001, where he is currently pursuing the Ph.D. degree. His research interests include computational electromagnetics, with emphasis on time-domain integral equations and their fast solutions, high performance computing, and coupled electromagnetic/circuit analysis. Mr. Yılmaz is the recipient of the 2003 Raj Mittra Outstanding Research Award from the Department of Electrical and Computer engineering and the 2003–2004 Interdisciplinary Graduate Fellowship from the Computational Science and Engineering Program at UIUC. He was the third place winner of the Student Paper Competition Contest at the IEEE APS Symposium, June 2004, Monterey, CA.

Jian-Ming Jin (S’87–M’89–SM’94–F’01) received the B.S. and M.S. degrees in applied physics from Nanjing University, Nanjing, China, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, in 1989. He is a Professor of electrical and computer engineering and Associate Director of the Center for Computational Electromagnetics at the University of Illinois at Urbana-Champaign (UIUC). He has authored and co-authored over 140 papers in refereed journals and 15 book chapters. He has also authored The Finite Element Method in Electromagnetics (New York: Wiley, 1st ed. 1993, 2nd ed. 2002) and Electromagnetic Analysis and Design in Magnetic Resonance Imaging (Boca Raton, FL: CRC, 1998), co-authored Computation of Special Functions (New York: Wiley, 1996), and co-edited Fast and Efficient Algorithms in Computational Electromagnetics (Norwood, MA: Artech, 2001). His current research interests include computational electromagnetics, scattering and antenna analysis, electromagnetic compatibility, bioelectromagnetics, and magnetic resonance imaging. His name is often listed in the UIUC’s List of Excellent Instructors. He was elected by ISI as one of the world’s most cited authors in 2002. Dr. Jin is a member of Commision B of USNC/URSI and Tau Beta Pi. He was a recipient of the 1994 National Science Foundation Young Investigator Award and the 1995 Office of Naval Research Young Investigator Award. He also received the 1997 Xerox Junior Research Award and the 2000 Xerox Senior Research Award presented by the College of Engineering, UIUC, and was appointed as the first Henry Magnuski Outstanding Young Scholar in the Department of Electrical and Computer Engineering in 1998. He was a Distinguished Visiting Professor in the Air Force Research Laboratory in 1999. He served as an Associate Editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and Radio Science. He is also on the Editorial Board for Electromagnetics and Microwave and Optical Technology Letters. He was the Symposium Co-chairman and Technical Program Chairman of the Annual Review of Progress in Applied Computational Electromagnetics in 1997 and 1998, respectively.

YILMAZ et al.: PARALLEL FFT ACCELERATED TRANSIENT FIELD-CIRCUIT SIMULATOR

Eric Michielssen (M’95–SM’99–F’02) received the M.S. degree in electrical engineering (summa cum laude) from the Katholieke Universiteit Leuven (KUL), Belgium, in 1987, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign (UIUC) in 1992. He served as a Research and Teaching assistant in the Microwaves and Lasers Laboratory at KUL and the Electromagnetic Communication Laboratory at UIUC from 1987 to 1988 and from 1988 to 1992, respectively. He joined the Faculty of the Department of Electrical and Computer Engineering at UIUC in 1993, where he serves as Professor of electrical and computer engineering and as Associate Director of the Center for Computational Electromagnetics. He has authored or co-authored over 100 journal papers and book chapters and over 160 papers in conference proceedings. His research interests include all aspects of theoretical and applied computational electromagnetics. His principal research focus has been on the development of fast frequency- and time-domain integral-equation-based techniques for analyzing electromagnetic phenomena, and the development of robust optimizers for the synthesis of electromagnetic/optical devices.

2865

Prof. Michielssen is a a member of International Union of Radio Scientists (URSI) Commission B. He received a Belgian American Educational Foundation Fellowship in 1988 and a Schlumberger Fellowship in 1990. He received the 1994 URSI Young Scientist Fellowship, a 1995 National Science Foundation CAREER Award, and the 1998 Applied Computational Electromagnetics Society (ACES) Valued Service Award. In addition, he was named 1999 URSI United States National Committee Henry G. Booker Fellow and selected as the recipient of the 1999 URSI Koga Gold Medal. Recently, he was awarded the UIUC’s 2001 Xerox Award for Faculty Research, appointed 2002 Beckman Fellow in the UIUC Center for Advanced Studies, named 2003 Scholar in the Tel Aviv University Sackler Center for Advanced Studies, and selected as UIUC 2003 University Scholar. He was Technical Chairman of the 1997 Applied Computational Electromagnetics Society (ACES) Symposium (Review of Progress in Applied Computational Electromagnetics, March 1997, Monterey, CA), and from 1998 to 2001 and 2002 to 2003, served on the ACES Board of Directors and as ACES Vice-President from 1998 to 2001. He was an Associate Editor for Radio Science from 1997 to 1999, and he currently is an Associate Editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION.

2866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

CAD-Oriented Analysis of Cylindrical and Spherical Dielectric Resonators in Cavities and MIC Environments by Means of Finite Elements José Ma Gil, Member, IEEE

Abstract—Cylindrical dielectric resonators (DRs) have been very useful to microwave engineers in the design and manufacture of many devices and communication systems. New dielectric materials and shapes are tested in order to improve the response of these devices. At high frequency, the miniaturization of components, together with the need to establish the resonant frequencies and field distributions accurately, recommends the use of geometrically flexible and reliable numerical methods as the finite element method (FEM). In this study, a code based in the axisymmetric FEM is implemented. A semi-automatic mesher and postprocessing modules allow resonant frequencies, field distributions, and the -factor to be obtained by changing the shape or the properties of the material in a reliable way. Some classic cylindrical DRs are reviewed, and new geometries such as spherical and hemispherical shapes on substrates are also studied. Index Terms—Anisotropic materials, cylindrical resonators, finite elements, spherical and semispherical resonators.

I. INTRODUCTION

I

N RECENT years, with the advent of new materials with both high permittivity and quality factor as well as a low temperature coefficient, a progressive effort in the miniaturization and stabilization of components for applications in microwave and milimeter waves such as oscillators, dielectric loaded resonators, and filters has been developed. Cylindrical dielectric resonators (DRs) in cavities or microwave integrated circuit (MIC) environments are popular because of their simplicity of analysis and manufacture. In order to design reliable applications, an accurate determination of resonant frequencies, unloaded -factors, and distributions of fields can be very useful. Many different approaches to analyze these DRs can be found in literature, such as the dielectric waveguide method [1], the radial mode-matching method by Kobayashi et al. [2], or the axial mode-matching method by Zaki et al. [3], as well as a method based on the surface integral equation techniques [4] or the asymptotic expansion method [5]. When the shape of the DR is complex, a geometrically flexible numerical method such as finite element method (FEM) might be advantageous. Some formulations based on finite elements have been applied to the study of axisymmetric DRs in cavities like [6]–[8] and [9].

Manuscript received October 1, 2004; revised April 21, 2005. This work was supported in part by the DGICYT of the Ministerio de Educación y Ciencia of Spain and the C.I.C.Y.T. under Grant TIC2001-2739. The author is with the Departamento de Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid, 28040 Madrid, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854252

DRs made of crystalline material such as quartz or sapphire with a high -factor and good temperature stability have also been considered. Uniaxially anisotropic dielectric resonator have been studied in [10] and [11], where only modes with no or a low order of azimuthal variations were considered. An attempt at describing these anisotropic resonators operating at azimuthal higher order modes, called whispering gallery modes (WGMs), has been made in [12]–[14]. In some applications, or to compensate for the deviations as a result of mechanical tolerances, tunable resonators might be required. Typical tuning elements are metallic screws, plates moving toward DR, or dielectric devices (see [6] and [15]–[17]). High- dielectric resonators have also been used as stabilizing devices for oscillators in MICs. Resonant frequencies, field distributions, and -factors for modes with a no azimuthal , hybrid modes , or even modes with variation a high azimuthal variation (WGM) in DRs on microstrip substrates or supporters, and with or without tuning devices, have to be efficiently obtained. At millimeter-wave frequencies, the reduction in size of the DRs has focused the attention of the researchers toward new shapes such as spherical or hemispherical resonators. A dielectric sphere resonator on a substrate into a cylindrical conducting cavity was studied in [18] together with a conical resonator structure. Here, the mode-matching method is used and these geometries are simulated by bodies of revolution with stepped cross sections, where many steps and a modal convergence study is necessary; furthermore, resonant frequencies of hybrid modes and quality factors were not computed. Sphere resonators operating in WGMs achieve high qualityfactor values and can be used to stabilize integrated oscillators. As a consecuence of spherical symmetry, a sphere resonator has a large spectral density of WGMs which is not appropriate for a single-mode operation. Furthermore, the spherical shape can be mechanically unstable. Hemisphere resonators have a lower spectral density though their unloaded -factor becomes a little smaller. An image hemispherical dielectric resonator with WGM, used as an oscillator system for millimeter devices, is researched in [19]. The hemispherical DR is also used to design dielectric-resonator antennas (DRAs) as an alternative to microstrip antennas [20]. In this study, a computer-aided design (CAD) of cylindrical anisotropic dielectric resonator in cavities and MIC environment, based on the FEM, is implemented. Once the shapes of the dielectric regions are defined, an automatic mesher allows any geometrical parameter to be changed; this CAD copes well with multicomposite dielectric, such as DRs with dielectric tuning

0018-9480/$20.00 © 2005 IEEE

GIL: CAD-ORIENTED ANALYSIS OF CYLINDRICAL AND SPHERICAL DRs IN CAVITIES AND MIC ENVIRONMENTS

2867

by the -field and electric permittivity by By changing magnetic permeability, the dual formulation for the -field is obtained. The fields are expanded as follows:

(2)

Fig. 1.

DR in a cylindrical enclosure.

devices or with a body of revolution of any shape. The resonant frequencies of the modes of the structure are computed using both magnetic and electrical formulations. To do this, a hybrid hierarchical edge element based on those proposed by Webb [21] is used. For each mode, a postprocess computes the distributions of both magnetic and electric fields. The transverse and azimuthal components of the fields can then be drawn, allowing the identification of modes and giving the designer, for instance, information on coupling the modes of adjacent cavities. Once the resonant frequencies and field distributions are calculated, the perturbation method is then used to compute both stored and lost energy in the dielectric regions; the dissipated power at the enclosure is also calculated. The dielectric and conducting -factor, and then the unloaded -factor, can then be obtained. In order to test this computational tool, some typical structures have been analyzed and the results compared with those shown by different authors. In some cases, the study of these structures has been completed with additional calculations of the -factor and/or resonant frequencies of higher order modes when this is not provided by the authors as well as, in some case, the presence of the substrate or the conducting walls being added to the studied geometry. Finally, the study of a shielded spherical and hemispherical DR in an MIC environment is carried out. Mode charts, field distributions, and -factors of these resonators will be shown. Because the problem is reduced to a two-dimensional (2-D) model, the computation time is, in any case, irrelevant.

Axisymmetric modes are classified into the following: • —independent modes; and ; —dependence known; hybrid modes, . • A quadratic curved edge element, based on the basis functions proposed in [21] is used to model the meridian ( ) component of the field while a second-order Lagrange element interpolates component. The current approach is similar to the azimuthal [7]–[9], though in these works noncurved lowest order elements were used. The interpolation of the components of the fields is as follows:

(3) where are hierarchical tangential vectorial functions and are second-order Lagrange polynomials. An eigenvalue problem is solved for both and formulations; the resonant frequency of the mode is the average value obtained. When a sufficient number of elements is used, both values are almost the same as a result of the monotonic convergence. Once the coefficients of the finite-element approximation in (3) are calculated, field distributions, stored energies inside dielectric regions, and losses within these regions and in the conducting walls are calculated based on the perturbation method assumptions, i.e., by using fields which are not perturbed by the of the resonant mode is calculated losses. Stored energy by considering all of the dielectric regions element by element by means of surface integrals as in the following expression for the -field:

II. THEORY

(4)

The typical computational domain can be seen in Fig. 1, where some regions shielded by conducting walls constitute a meridian plane which, rotating around the axis conforms to a body of revolution. This structure can be analyzed in two dimensions because the azimuthal variation of the fields is known and can be incorporated into the formulation. The functional to obtain the resonant frequency of each mode is given by the well-known expression

where is the permittivity of each dielectric region in the regions and is a finite element meridian plane which has within the dielectric subdomain which has elements. Power loss in the dielectric is calculated as follows: (5) where

is (6)

(1)

and

is the loss tangent of each dielectric medium.

2868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I RESONANT FREQUENCIES AND Q-FACTORS FOR THE TE MODE OF A DR ON A MICROSTRIP SUBSTRATE (tg = 3:02 10 ;  = 6:14 10 S/m)

2

2

Fig. 2. DR on a microstrip substrate. d = 14:98 cm, D = 8 d, t = 0:7 mm, h = 7:48 mm, H = 8:9 mm, " = 1; " = 34:19; and " = 9:6.

Similarly, losses in conducting walls are computed by following the general expression (7) where is the number of conducting surfaces with surface . In our axisymmetric problem, the integral in (7) resistance is transformed into a curvilinear integral along the conducting walls. For instance, if the cavity is cylindrical of radius , we have the side wall of the cylinder (“ ”), the top (“ ”) at and the base (“ ”) at of it. The following integrals, for the cylinder, top, and base must then be computed as

Fig. 3. Patterns of transverse fields in a meridian plane for the first modes of the DR in Fig. 1.

(8) (9) (10) are the number of edges that the conducting where cylinder, top, and base of the enclosure have, with surface , respectively. The conducting losses are the resistances sum of (8)–(10). Dielectric and conductor quality factors and the total unloaded -factor are calculated as

Fig. 4.

DR with spacer and metallic tuning screw.

Fig. 5.

Tuning range versus the gap for the TE

(11)

III. COMPUTATIONAL RESULTS A. Dielectric Resonator in an MIC Environment The resonant frequencies and quality factors of a dielectric resonator on top of a substrate, as is usual in an MIC environment, are calculated and compared with other methods in literature, as the Moments Method (MM), the dielectric constant (EDC), and finite difference with simultaneous iteration (FD-SIC). The geometry of this structure is shown in Fig. 2. The results are shown in Table I, where a good agreement with previous works can be seen. The transverse fields in the meridian plane, for the two first modes, are shown in Fig. 3.

mode in the DR in Fig. 4.

GIL: CAD-ORIENTED ANALYSIS OF CYLINDRICAL AND SPHERICAL DRs IN CAVITIES AND MIC ENVIRONMENTS

Fig. 8. DR..

Fig. 6.

Q versus the gap for the TE

2869

Transverse H -field, in a meridian plane, of the TE

mode of a double

mode in the DR in Fig. 4.

(a)

(b) Fig. 7. (a) Dielectric ring resonator on a substrate. (b) Dielectric ring resonator on substrate with a tuning device TABLE II RESONANT FREQUENCIES FOR FIRST MODES IN A DIELECTRIC RING RESONATOR ON MICROSTRIP SUBSTRATE [FIG. 7(a)]. d = 0:518 16 cm, D = 1:252 22 cm, r = 0:2032 cm, t = 0:0254 cm, h = 0:210 82 cm, H = 0:6731 cm, " = 35:7; " = 2:5; AND  = 6:14 10 S/M

2

Fig. 9. Resonant frequency and Q of the TE structure in Fig. 7(b).

B. Tunability of DRs For different reasons, such as mechanical tolerances, the tunability of resonant frequencies can be useful. Metallic screws or

mode versus the gap of the

plates are used for tunable dielectric resonators though the tunning range is achieved despite the degradation of the unloaded -factor. This can be partially avoided by using dielectric devices such as discs; in these cases, the fields of the modes of single resonators become distorted, and even the spectrum of modes can change because of the modes associated with the tuning discs.

2870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

(a)

Fig. 11.

Mode chart for the ring DR cavity as a function of ratio H=D .

TABLE IV RESONANT FREQUENCIES AND CONDUCTOR Q-FACTORS FOR A FEW WGMS FOR A SAPPHIRE DR (d = 4:998 94 cm, D = 8 cm, h = 3:0008 cm, H = 5 cm, " = 9:2747; " = 113532; " = 1; AND " = 1:031)

(b) Fig. 10. (a) Anisotropic DR-loaded cavity. (b) Anisotropic ring DR-loaded cavity. TABLE III RESONANT FREQUENCIES FOR FIRST MODES OF A SAPPHIRE DR (d = 1 cm, D = 15:6 cm, r = 0:5 cm, h = 1 cm, H = 1:3 cm, " = 9:389; " = 11:478; " = 1; AND " = 1:031)

Fig. 12.

The range of tunability of these structures has been studied in [6] and [15]–[17]. However, in an MIC environment, the presence of the dielectric substrate with a nonnegligible permittivity with respect to the value of the DR affects the mode chart, modifying the tuning range which is free of spurious modes. The exploration of the tuning ranges of these structures, although considering substrates with permittivities similar to air, has been carried out in [15] and [16]. The DR in Fig. 4 was analyzed in order to explore its tuning mm, d, range. Data for this structure are: mm, mm, mm, and S/m. To vary the resonant frequency, a metallic screw penetrating inside the cavity was added. A spacer with permittivity similar to air is used to separate the DR of the substrate. This option can avoid spurious modes in the tuning range of interest and to decrease the degradation of the quality factor.

Dielectric sphere resonator on a substrate.

The tuning range and the conductor -factor for the mode versus the gap between the screw and the DR, and different widths of the screw (d) are shown in Figs. 5 and 6. is deteriorated more and Though the conductor -factor more as the screw penetrates the cavity, it is always kept much , allowing an unloaded higher than the dielectric -factor -factor more or least constant throughout the tuning range. C. Dielectric Ring Resonator on Substrate Ring-shaped DRs have been used in order to improve the mechanical stability in relation to the rod DR; in addition, the dimension of the inner hole gives the designer an additional degree of freedom and, for some modes, improves the resonator’s spurious performance [22]. A shielded dielectric ring resonator on a microstrip substrate, as shown in Fig. 7(a), has been analyzed. The results are compared with the results of Chen et al. [23] in Table II. A dielectric

GIL: CAD-ORIENTED ANALYSIS OF CYLINDRICAL AND SPHERICAL DRs IN CAVITIES AND MIC ENVIRONMENTS

Fig. 13.

2871

Mode chart for the spherical resonator in Fig. 12.

TABLE V RESONANT FREQUENCIES AND Q-FACTORS FOR A FEW MODES IN A SPHERICAL DR (d = 0:25 mm, h = 1:85 mm, H = 3 mm, D = 3 mm, " = 1; " = 29:57" = 10; tg = 1:0 10 ; AND  = 5:8 10 S/M)

2

2

disk, mounted on a metallic screw, was added to this structure in order to explore the tuning capability of the TE mode. It is known that the dimensions of the tuning device must be similar to the dielectric resonator; if not, the tuning performance of the resonator is very poor. The tuning disk has the same diameter than as ring resonator and, as was tested, the thickness of the disk is in inverse relation to the tuning range. The presence of the dielectric disk, made of the same material as the ring resonator, affects fields distributions (see Fig. 8). In fact, the structure constitutes a double resonator and there modes associated with each single dielectric resare two TE onator, and, in turn, each individual mode is coupled to each other. The tunability of this resonator has been explored by changing the position of the dielectric disk in relation to the cm) dielectric ring. A wide tuning range (10.3%, for is achieved without a significant degradation in the quality factor, as can be seen in Fig. 9. D. Uniaxially Anisotropic DRs High-stable and low-noise microwave oscillators require the use of DRs made of crystalline materials, such as quartz or sap-

Fig. 14. H -field distribution of (a), (b) the TE mode and (c), (d) the HE mode for the spherical resonator on a substrate shown in Fig. 12.

phire. The uniaxially anisotropic DR-loaded cavity requires a rigorous and efficient numerical method such as the uniaxial FEM. The effect of the support or the substrate in MIC environments must be added to the analysis and the quality factor has to be obtained. The present method copes well with all of these requirements. A rod sapphire DR-loaded cavity, shown in Fig. 10(a), has been analyzed, and the resonant frequencies for the first modes are compared to those obtained by Guan et al. [11] in Table III. An anisotropic ring DR can be seen in Fig. 10(b). The dimencm, cm, cm, and cm, sions are and is variable. The dielectric material has the same permittivity as in the previous case. A mode chart is drawn in relation

2872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 16.

Hemispherical DR on a substrate.

TABLE VI RESONANT FREQUENCIES AND Q-FACTORS FOR A FEW MODES IN A HEMISPHERICAL DR (d = 0:25 mm, h = 0:925 mm, H = 3 mm, D = 3 mm, " = 1; " = 29:57" = 10; tg = 1:0 10 ; AND  = 5:8 10 S/M)

2

2

E. Spherical and Hemispherical DRs

Fig. 15. Resonant frequencies of some lower modes versus (a) the height of the cavity and (b) the sphere radius for a spherical resonator (Fig. 12).

to height for first modes and is compared with the results of Guan et al. [11] in Fig. 11. A good agreement can be seen. WGMs have found important applications for determining the complex permittivity of materials or for designing stable microwave oscillators. They are hybrid modes with a high azimuthal variation and their field configuration is confined to the dielectric region. This confinement increases rapidly with the azimuthal mode number, and the degradation of the quality factor as a result of the enclosure wall can be minimized. In microwave frequencies, the spectral density of WGMs is quite high, and these modes are contaminated by hybrid modes which are the cavity modes perturbed by the dielectric material. An accurate computation of resonant frequencies and field distribution can help to design a DR working with WGMs in a free-spurious-modes window. Resonant frequencies and geometrical factors (conductor -factors) for a few WGMs in a sapphire rod dielectric cavity, as shown in Fig. 10(a), has been studied. The results are compared with references from Krupka et al. [13] in Table IV.

Dielectric resonators for millimeter-wave frequencies demand the use of very small dielectric devices. The manufacture of these microresonators recommends the use of geometries such as spheres or hemispheres which are easier to produce than rod or ring shapes. These kinds of dielectric samples can be inserted into microstrip structures to design passive circuits such as filters or to couple with active circuits to stabilize the resonant frequency of oscillators. When they work with azimuthal high-order modes (WGM), they are applied in the design of ultrastable oscillators and in the studies of new dielectric materials. A dielectric sphere resonator on a substrate, enclosed in a cylindrical cavity, is shown in Fig. 12. In a previous paper [18], this structure is analyzed by using the mode-matching method, which demands the approximation of the sphere by means of bodies of revolution, substituting the spherical surface by stepped cross sections. It is in these geometries that a geometrical flexible method as the FEM can be more advantageous. A mode chart for a few of the lower modes, including hybrid ones, is shown in Fig. 13; the variable parameter is the cavity width ( ). In Table V, conductor, dielectric, and unloaded quality factors, together with resonant frequencies of some lower modes, can be seen as well as high conductor quality factors. The plot of -field distributions (both meridian and azand the hybrid mode, imuthal components) for the TE HE , is shown in Fig. 14.

GIL: CAD-ORIENTED ANALYSIS OF CYLINDRICAL AND SPHERICAL DRs IN CAVITIES AND MIC ENVIRONMENTS

Fig. 17. Field distributions in a meridian plane for the DR in Fig. 16. (a) TE (H ). (b) TE (H ). (c) TM (E ). (d) HE (H ).

The dependence of resonant frequencies on other geometrical parameters can easily be calculated by changing the mesh; this task is carried out automatically by the mesher. For instance, resonant frequencies of some lower modes in relation to the height of the cavity and the sphere radius are shown in Fig. 15. A greater mechanical stability can be obtained by using a hemispherical DR instead of the spherical ones. The coupling with active elements when using the DR as a stabilizing device in oscillators can also be improved. The meridian plane of this structure is shown in Fig. 16. Resonant frequencies and quality factors for some lower modes can be seen in Table VI. Equally, field distributions for some modes in the meridian plane and their variation of resonant frequencies with some geometrical parameter can be seen in Figs. 17–19, respectively.

Fig. 18.

Resonant frequencies versus the hemisphere radius.

Fig. 19.

Resonant frequencies versus the height of the cavity.

2873

A comparison with the spherical dielectric agrees with the conclusion for the hemispherical image DR, published in [19]: a lower spectral density, higher resonant frequencies, and a for the smaller unloaded -factor due to the degradation of proximity of the ground plane can be seen. IV. CONCLUSION A CAD-oriented program for the analysis of shielded cylindrical and spherical DRs on microstrip substrates, based on the FEM, has been developed. The code calculates resonant frequencies, field distributions, and both conductor and dielectric quality factors as well as allowing the field distributions in a meridian plane of the structure to be represented. The geometrical versatility of the method and the accuracy introduced by the use of hierarchical edge elements constitutes a valuable tool for the design of passive and active circuits which use DRs. Some structures studied using other methods have been analyzed in the present study. They show a good agreement with previous results and, by adding the calculations of higher modes and quality

2874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

factors or by taking into account the presence of the substrate, it can be useful to complement previous works. Spherical and hemispherical DRs have also been studied. New results, particularly for the hemispherical DR on a microstrip substrate, have been obtained.

[13] J. Krupka, K. Derzakowski, A. Abramowicz, M. E. Tobar, and R. G. Geyer, “Use of whispering-gallery modes for complex permittivity determinations of ultra-low-loss dielectric materials,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 752–759, Jun. 1999. [14] C. Wang and K. A. Zaki, “Generalized multilayer anisotropic dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 60–66, Jan. 2000. [15] R. K. Mongia and P. Bhartia, “Accurate conductor -factor of dielectric resonator placed in an MIC environment,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 445–449, Mar. 1993. [16] J. M. Guan and C. C. Su, “Precise computations of resonant frequencies and quality factors for dielectric resonators in MIC’s with tuning elements,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 439–442, Mar. 1997. [17] T. Shen, K. A. Zaki, and C. Wang, “Tunable dielectric resonators with dielectric tuning disks,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2439–2445, Dec. 2000. [18] W. K. Hui and I. Wolff, “A multicomposite, multilayered cylindrical dielectric resonator for application in MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 415–423, Mar. 1994. [19] S. Kharkovsky, Y. Filipov, and Z. Eremenko, “Whispering gallery modes of an open hemispherical image dielectric resonator,” Microw. Opt. Technol. Lett., vol. 21, pp. 252–257, May 1999. [20] D. Baumann, C. Fumeaux, P. Leuchtmann, and R. Vahldieck, “Finitevolume time-domain (FVTD) method and its application to the analysis of hemispherical dielectric-resonator antennas,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 985–988. [21] J. P. Webb, “Hierarchical vector basis functions of arbitrary order for triangular and tetrahedral elements,” IEEE Trans. Antennas Propagat., vol. 47, no. 8, pp. 1244–1253, Aug. 1999. [22] S. Amari, J. Bornemann, and R. Vahldieck, “A technique for designing ring and rod dielectric resonators in cutoff waveguides,” Microw. Opt. Technol. Lett., vol. 23, no. 4, pp. 203–205, Nov. 1999. [23] S. W. Chen and K. A. Zaki, “Dielectric ring resonators loaded in waveguide and on substrate,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2069–2076, Dec. 1991.

Q

REFERENCES

Q

[1] S. B. Cohn, “Microwave band pass filters containing high- dielectric resonator,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 218–227, Apr. 1968. [2] Y. Kobayashi and S. Tanaka, “Resonant mode of a dielectric rod resonator short-circuited at both ends by parallel conducting plates,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 10, pp. 1077–1085, Oct. 1980. [3] K. A. Zaki and A. E. Atia, “Modes in dielectric-loaded waveguides and resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 7, pp. 815–824, Jul. 1986. [4] A. W. Glisson, D. Kajfez, and J. James, “Evaluation of modes in dielectric resonators using a surface integral equation formulation,” IEEE Trans. Antennas Propagat, vol. AP-31, no. 12, pp. 1023–1029, Dec. 1983. [5] R. De Smedt, “Correction due to a finite permittivity for a ring resonator in free space,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 10, pp. 1288–1293, Oct. 1984. [6] F. Hernández-Gil and J. P. Martínez, “Analysis of dielectric resonators with tuning screw and supporting structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1453–1457, Dec. 1985. [7] J. F. Lee, G. M. Wilkins, and R. Mittra, “Finite-element analysis of axisymmetric cavity resonator using a hybrid edge element technique,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 1981–1986, Nov. 1993. [8] M. F. Wong, M. Prak, and V. F. Hanna, “Axisymmetric edge-based finite element formulation for bodies of revolution: Application to dielectric resonators,” in IEEE MTT-S Int. Microwave Symp. Dig. , Orlando, FL, May 1995, pp. 285–288. [9] A. D. Greenwood and J. M. Jin, “A novel efficient algorithm for scattering from a complex BOR using mixed finite elements and cylindrical PML,” IEEE Trans. Antennas Propagat., vol. 47, no. 4, pp. 620–629, Apr. 1999. [10] Y. Kobayashi and T. Senju, “Resonant modes in shielded uniaxial-anisotropic dielectric rod resonators,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2198–2205, Dec. 1993. [11] J. M. Guan and C. C. Su, “Resonant frequencies and field distributions for the shielded uniaxially anisotropic dielectric resonator by th FD-SIC Method,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1767–1777, Oct. 1997. [12] J. Krupka, D. Cross, M. Aubourg, and P. Guillon, “Study of whispering gallery modes in anisotropic single-crystal dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 1, pp. 56–61, Jan. 1994.

José Ma Gil (M’98) received the Ph.D. degree and the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1993 and 1996, respectively. He is currently a Full-Time Associate Professor with the Departamento de Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid. From August 1995 to February 1996, he was a Visiting Scientist with the Computational Analysis and Design Laboratory, Department of Electrical Engineering, McGill University, Montreal, QC, Canada. His main fields of interest include computer-aided design methods for microwave passive circuits and antennas and the biological effects of electromagnetic fields.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2875

A Robust Modeling and Design Approach for Dynamically Loaded and Digitally Linearized Doherty Amplifiers Jérôme Sirois, Slim Boumaiza, Member, IEEE, Mohamed Helaoui, Gilles Brassard, Member, IEEE, and Fadhel M. Ghannouchi, Senior Member, IEEE

Abstract—In this paper, an active load-pull-based large-signal modeling approach suitable for designing and optimizing Doherty amplifiers is proposed. The optimization of the drive dependant dynamic loads seen by both carrier and peaking amplifier’s transistors was carried out using a large-signal load-pull-based behavior model built into CAD software. The latter was also used to optimize the biasing conditions of the peaking and carrier amplifier transistors. The simulation versus measurement results demonstrated the strong ability of the constructed model to predict the nonlinear behavior of 16 watts peak-envelope power designed Doherty amplifier in terms of power added efficiency, AM/AM, and AM/PM distortions. In addition, a complex digital predistortion technique was used to significantly enhance the linearity of the Doherty amplifier and to preserve an ACPR level better than 50 dBc under a WCDMA signal while attaining a power added efficiency of about 44% at the same time. Index Terms—High efficiency Doherty amplifier, load-pull, baseband predistortion, WCDMA.

I. INTRODUCTION

R

ECENT wireless base station transceivers are intended to process mixed signals that may include a number of carriers, which are set according to one or different types of modulation standards. In addition to the inherent high variation of the envelope magnitude of each carrier, their combination complicates further the design of the base station RF section. In fact, the large dynamic range and high peak-to-average power ratio of the composite signal impose strict linearity specifications for the RF power amplifier (PA) module. Furthermore, the efficiency of the PA is also a critical design issue since it determines the power consumption of the base station. An efficient PA will in fact minimize the cooling system and the operational Manuscript received October 19, 2004; revised February 18, 2005. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC) and by the Canadian Space Agency. J. Sirois is with the Poly-GRAMES Research Center, Département de Génie électrique, École Polytechnique de Montréal, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]). He is also with Focus Microwaves, Dollard-des-Ormeaux, Québec, Canada H9B 3H7. S. Boumaiza and F. M. Ghannouchi are with the Intelligent RF Radio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]). M. Helaoui is with Poly-GRAMES Research Center, Département de Génie électrique, École Polytechnique de Montréal, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]). G. Brassard is with the Canadian Space Agency, Longueuil, QC, Canada J3Y 8Y9 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854257

cost of the wireless network. In order to accomplish this, operating transistors in highly efficient class AB modes is commonly preferred. On the other hand, operating the amplifier in back-off for linearity purposes yields to poor power efficiency for the PA. Facing this incompatibility in the power efficiency and linearity requirements, research efforts have been oriented mostly to the design of a power efficient and linearized power amplifier. Previously, linearization techniques [1], when applied to class AB amplifiers, showed a significant reduction of the power back-off required for meeting the adjacent channel power ratio (ACPR) and error vector magnitude (EVM) specifications. Particularly, wireless base stations original equipment manufacturers (OEM) have preferred feedforward technique [2] since it offered an excellent linearity for a large bandwidth. However, its poor efficiency and large complexity left the problem partially unsolved. For that, digital predistortion [3]–[5] is progressively retaining the attention since it allows a good linearity level while maintaining relatively good efficiency. However, when applied to class AB amplifiers, the predistortion technique contribution in terms of efficiency is limited by the saturation power of the PA since it cannot correct for the nonlinearities introduced by envelope signal magnitude that exceed the PA’s saturation point. This constrains many research laboratories to conclude to the inevitable rework on the PA in order to further improve the efficiency while preserving the linearity as a concern. The linear amplification with nonlinear components (LINC) method [6], which is based on the transformation of a magnitude varying signal into two constant envelope magnitudes and varying phases, has showed potential benefits since highly efficient and deeply nonlinear PAs may be used while meeting the linearity criteria. However, the average efficiency enhancement of LINC-based amplifiers decreases as much as the signal peak-to-average ratio increases as it is the case in the 3G context. This is due to the weak combining efficiency of the two nonlinear components output. Furthermore, the Doherty power amplifier (DPA) [5], [7]–[19], is perceived as a dazzling way to improve the efficiency of a power amplifier using an active load-pulling of the output impedance of the carrier amplifier and the extension of its saturation point. Therefore, the efficiency of the amplifier is increased in back-off zone without compromising the maximum output power of the amplifier. In the literature, various topologies of the DPA were proposed for either enhancing the efficiency [9], [10], the linearity [11], [12], or both of them. As an example, the authors of [12] preferred two or more identical peaking amplifiers ( -ways) in

0018-9480/$20.00 © 2005 IEEE

2876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

to enhance the linearity of the DPA. The performance evaluation of the linearized DPA was finally carried out in terms of power-added efficiency (PAE) and out-of-band emission level for single-carrier and multicarrier WCDMA signals. II. PRINCIPLE OF OPERATION Fig. 1. Typical Doherty amplifier configuration.

order to optimize the tradeoff between efficiency and linearity. However, this led to a growth in complexity and required laboamplifiers to each other. rious efforts to properly match all In addition, the linearity optimization of such extended amplifier necessitates biasing the carrier amplifier at a high quiescent current, which significantly degrades the efficiency. Other works [13], [14] applied a dynamic bias control of the amplifiers to further increase the efficiency in the low-power operating region and enhance the linearity in the high-power region. For that, an additional baseband phase predistortion was required to compensate for the phase distortion of the amplifier [15]. However, this technique is not suitable to the base station high-power amplifiers when driven with wideband signals due to the unavailability of high-power and high-speed dc/dc converters required for the drain dynamic biasing. Fundamentally, the Doherty amplifier could be considered as a linearization technique where the peaking amplifier compensates for the compression experienced by the carrier amplifier at high input signal levels. Thus, it does not correct for low-power nonlinearities of the carrier amplifier that usually operates in class AB or class B. In addition, the correction for the power compression provided by the peaking amplifier may not be fitted to that experienced by the carrier amplifier. Thus, linearization techniques enable Doherty amplifiers to attain the required linearity while benefiting from the efficiency enhancement. As an example, the authors of [5] and [16] added a predistortion module to the DPA, but the linearity improvement was limited. However, better linearity was obtained by using feedforward [17], [18] that lead to an efficiency lower than 15%. Designing a DPA is a delicate task considering that it requires the optimization of the displacement of the two amplifier’s transistors output impedances as a function of input power. The use of an accurate nonlinear model of the transistor could alleviate this task [19]. However, these models are not sufficiently accurate in strongly nonlinear regions of operation, such as class B and class C, and consequently inadequate. Hence, the load-pull measurement setup [20], when judiciously configured, could be beneficially used in the design of Doherty amplification systems. This paper begins with a brief explanation of the principle of Doherty power amplifier operation. Then, the details of the comprehensive design approach based on the use of load-pull and distortion measurements data are elucidated. The information obtained using this measurement setup was initially used to build a nonlinear behavioral model of an LDMOS transistor, across class AB to class C biasing conditions. Subsequently, the design, optimization, realization, and test of an -band Doherty amplifier were carried out. This amplifier was also used to validate the large-signal load-pull-based transistor behavioral model. A digital baseband predistortion was then implemented

As illustrated in Fig. 1, the Doherty amplifier is made of two amplifiers operating in parallel. The first amplifier, called the “carrier amplifier,” is generally biased in class AB close to class B. The second one, called the “peaking amplifier,” is generally biased in class C. For low input signals, the quarter-wavelength output that follows the carrier amplifier transforms the . Thus, the carrier amplifier operates efficiently even load to at low input power. As soon as the carrier amplifier reaches its gain compression region and the input power goes beyond the conduction threshold of the peaking amplifier, the latter starts contributing to the output power. Knowing that the output combiner is unmatched, this results in a virtual increase of the load resistance at the combiner reference plane, for both amplifiers. As the input power increases, the impedance presented by the quarter wavelength line to the carrier amplifier decreases from to , the optimal output resistance for both amplifiers. This allows the amplifier to remain saturated while increasing its delivered output power until it reaches its optimal load. Thus, the amplifier operates in maximal efficiency conditions. The previous analysis, based on an ideal controlled current source transistor model, states that the optimal load resistance of the transistor, the one that maximizes output power and power efficiency, varies with input power. However, in a transistor model, the current source is generally embedded in parasitics that have the effect of changing the resistive value and adding an imaginary part to the optimal output impedance. The de-embedding, for any input power, can be performed if an accurate and robust nonlinear equivalent circuit model of the transistor is available and valid for class AB to class C operation modes. Nevertheless, these types of model are rarely provided by the transistor’s manufacturer and are, in most cases, difficult to derive. Thus, a more advanced characterization method is required to determine the optimal load-matching displacement at the output of the carrier and peaking amplifier’s transistors as a function of the input power. For that purpose, the load-pull measurements technique is found to be the most appropriate. The optimum transistor input and output loading impedance conditions for both carrier and peaking amplifiers, as the drive increases, can be obtained by measuring the output power delivered to the load, the drain current drawn by the devices and the input and output impedance of the devices when the source and load impedance are varied over the quasi-complete Smith chart. III. DESIGN OF THE DOHERTY AMPLIFIER As explained in the previous section, the comprehensive design approach of the DPA necessitates an extensive knowledge of the large-signal behavior of the transistors used for building the carrier and peaking amplifiers. Such behavior depends largely on the dynamic (active) load impedances presented at the transistors output terminals. For that purpose, a large-signal transistor characterization was carried out using

SIROIS et al.: A ROBUST MODELING AND DESIGN APPROACH FOR DYNAMICALLY LOADED AND DIGITALLY LINEARIZED DOHERTY AMPLIFIERS

Fig. 2.

2877

Load-pull measurement system.

an automated load-pull and distortion measurement system , using the test setup shown in Fig. 2. The input impedance at the transistor input reference plane, was extracted by means of measuring the reflected wave at the transistor’s gate sampled and directed to P2 of the VNA using the directional coupler C2 and the switch S1. Alternatively, the output impedance of the transistor was also obtained by driving the transistor’s output using switches S3 and S4 and capturing the reflected wave via coupler C3 and switch S2. These two impedances, in addition to several design parameters, such as 1) output power , 2) drain current , and 3) AM/AM and distortion were collected for given sets AM/PM and source impedances, quiescent biasing of load , and input power as illustrated in the point following equation:

(1)

The transistor under test was mounted into a test fixture and V) to class C ( V). biased from class AB ( The measurement results provide the required information about the optimal output matching at the drain reference plane. However, they are insufficient for designing a Doherty amplifier. Indeed, as soon as the peaking amplifier is activated, it will affect the carrier amplifier impedance value (and vice versa). These give rise to drive dependant dynamic and active loading conditions seen at the output of the transistors. This phenomenon must be meticulously studied to optimize the output matching

circuits of the two amplifiers simultaneously. For that purpose, a table-based nonlinear model of (1) was constructed using the extracted load-pull and distortion data. The model provides the RF output voltage (magnitude and phase components) and the drain current as a function of input power and load reflection coefficient. This model was implemented in the CAD software that was used (ADS from Agilent Technologies) and can perform linear or nonlinear analysis. At first, the multitable-based model was used to study the optimum load impedance displacement at the output of the transistor required to obtain the Doherty load-pulling effect as a function of the input power rise. Fig. 3(a) shows the displacement of the load impedance at the output of the carrier amplifier that maximizes the output power of the transistor as a function of input power (line with circle dots). Similarly, Fig. 3(b) illustrates the displacement at the output of the peaking amplifier, for a bias voltage of 2.2 V. These displacements correspond to the resistive load variation predicted by Doherty amplifier theory, when taking into account the transistor parasitics. Fig. 4 shows the structure of the circuit that was used to simulate the Doherty amplifier on ADS. In low-power conditions, the class C amplifier model output behaves like a passive load. Once input power exceeds the conduction threshold, the peaking amplifier model is switched ON to represent an active load seen by the carrier amplifier’s transistor, and contributes to the overall output power of the DPA. Consequently, the output impedance presented to the class B amplifier changes with the input power level. Accordingly, both output matching networks have to be optimized to reproduce the optimal load impedance displacement observed in Fig. 3 when increasing input power. For that purpose, the impedance at the power combiner plane have to be purely resistive for both amplifiers at all input power

2878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 3. Optimum (measured) and model predicted (simulated) load impedance displacements at drain reference plane (a) for carrier amplifier in 10 dB dynamic range from Psat and (b) for peaking amplifier in 5-dB dynamic range from Psat (measured) and 10-dB dynamic range from Psat (simulated).

Fig. 4.

Structure of the simulated Doherty amplifier.

levels, in order to ensure that all of the power produced at the output is delivered to the load. The two output matching networks were initially designed to match the two amplifier’s transistors at maximum output power. After using the offset line technique described in [11], the output impedance presented to the carrier amplifier was tuned to fit the optimal impedance displacement as seen in Fig. 3(a) for the entire power sweep. The same process was applied to the peaking amplifier, except that this time, the impedance presented to the amplifier was tuned to connect the complex conjugate of transistor output impedance when the peaking amplifier is turned OFF, at low input power level, to the optimal impedance in high power, as can be seen

Fig. 5. (a) Output power and (b) PAE of a standalone simulated class B amplifier for various output impedance and for simulated class B (carrier) amplifier in Doherty configuration.

in Fig. 3(b). In this particular case, the impedance displacement was more difficult to compensate since it follows an irregular curve. Once again, an offset line was added and adjusted in order to maximize the correlation of the load-pulling effect to the optimum load displacement over the entire range of input power levels. As one can observe in Fig. 3, the simulated load-pulling effect on the carrier amplifier did not cover the total impedance variation available. It is worthwhile to mention that the techniques presented in [9], [10], and [12] could be used to increase this load pulling range of the DPA. The circuit could also be optimized to increase small-signal gain by displacing the load-pulling effect in the low-power region of the curve. However, this would lead to a reduction of the maximum output power that could be delivered at saturation. On the other hand, the transistor could be matched to deliver more output power by displacing the load pulling effect in the high-power region of the curve, and consequently reducing the small-signal gain. In what follows, the table-based model of the DPA was exploited to investigate the outcome of the active load-pulling in term of power efficiency enhancement. To this end, the output power and efficiency of the carrier amplifier is presented in Fig. 5 and is compared to that obtained using the class B amplifiers with fixed load impedance arbitrarily located along the optimal line presented in Fig. 3(a). Based on Fig. 5(a), the amplifier output power is maximized from back-off conditions to

SIROIS et al.: A ROBUST MODELING AND DESIGN APPROACH FOR DYNAMICALLY LOADED AND DIGITALLY LINEARIZED DOHERTY AMPLIFIERS

2879

Fig. 6. (a) Complex gain variation and (b) PAE of Doherty amplifier obtained by simulation and measurement.

Fig. 7. (a) Measured gain and (b) PAE of Doherty amplifier gate bias is varied from 3.8 to 4.2 V.

saturation. At low input power, a load impedance equal to allowed higher power gain and efficiency than what . The latter provided, on was achieved using the other hand, a higher gain and efficiency at high input drive. In addition, as shown in Fig. 5(b), the amplifier efficiency is improved from 5% to 10% over the last 20 dB of the amplifier output dynamic range in the high-power region.

signed amplifier were compared to those predicted by the model at the simulation stage. The relatively good agreement between measurement and simulation results, presented in Fig. 6, testifies the usefulness and robustness of the nonlinear behavior model presented and its ability to predict the actual complex gain variation and PAE of the transistor. As anticipated, in Fig. 6(a), the measured gain of the designed amplifier is heavily nonlinear at low input drive levels. This is due to the class B small-signal nonlinearities. Since these are not tolerable under 3G input signals, their minimization is required. As a first attempt, the effects of the carrier amplifier biasing on the efficiency and linearity of the Doherty amplifier were studied, while remaining close to class B. To this end, the gate voltage has been varied from 3.8 to 4.2 V. The corresponding measured gain and PAE curves as a function of the output power back-off (OPBO), are shown in Fig. 7(a) and (b), respectively. These two sets of data show that a small variation of the operating mode for the carrier amplifier has little impact on the efficiency. The only difference exists in the range located between 40 and 15 dB from saturation power where the maximum difference observed between two curves is approximately 2%. On the other hand, a slight displacement V, mA) to class AB from class B ( V, mA) leads to a higher gain in ( back-off operation without affecting efficiency, as can be seen in Fig. 7. Thus, the optimal operating point for the carrier amplifier resides in a compromise between class AB and B,

IV. OPTIMIZED DOHERTY AMPLIFIER DESIGN In the previous section, a comprehensive study of the behavior of the DPA based on load-pull measurements was carried out. The appropriate matching circuits at the output of the carrier and peaking transistors, operating, respectively, in class B and C, were designed. These are intended to produce the required load impedance displacement at the output of the two transistors in order to meet the anticipated performance. On the other hand, the corresponding input matching circuits were chosen in order to meet a satisfactory voltage standing wave ratio (VSWR) for all load impedances. To this end, a 16-W peak-envelope power (PEP) Doherty amplifier was built using the PTF10107 LDMOS transistor from Ericsson at 1.95 GHz. A 10-W PEP class AB amplifier was also built with the same transistor and was used to evaluate the efficiency enhancement obtained with the Doherty amplifier. For comparison purposes, measurements of PAE, gain, and phase compression as a function of the input power of the de-

2880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 9. Linearized Doherty power amplifier diagram.

Fig. 8. Measured AM/AM and AM/PM characteristics of the Doherty amplifier.

where the AM/AM characteristic is nearly flat and the efficiency has just started to decrease with bias. V. DPD IMPLEMENTATION DPA linearity versus PAE tradeoff, achieved during the previous optimization of the carrier amplifier biasing, was insufficient to linearize its AM/AM and AM/PM curves over the entire input dynamic range as shown in Fig. 8. In fact, the small-signal nonlinearities due to class AB-B operation were not completely avoided. Similarly, the gain compression experienced at high input levels forces the DPA to operate at a relatively important back-off from its full capacity in order to meet the linearity requirements. In order to reduce the back-off and increase PAE and output power, a linearization technique was added to the amplifier. In this work, a digital baseband predistortion [3], [4] was preferred to feedforward given its good nonlinearity correction capacity, medium correction bandwidth, low cost, low complexity, and high power efficiency. The predistortion technique principle is based on the complementarity of distortions deliberately introduced in the input signal to those generated by power amplifier nonlinearities. The synthesis of the baseband predistortion function could be performed either by determining the polynomial coefficients or the look-up table (LUT) entries that permit a good fitting of the inverse nonlinear characteristics of the PA. This process can be completed either based on data collected beforehand on the PA nonlinear characteristics or through an iterative adjustment of the predistortion function coefficients, or entries, that render the cascade shown in Fig. 9 linear and satisfying the following equation: (2) where and represent the complex nonlinear functions of the predistorter and the DPA, respectively, represents the denotes the complex input signal small-signal gain and . In this work, magnitude which equal to an instantaneous characterization of the Doherty amplifier was performed according to the method reported in [3]. Accordingly,

Fig. 10. Measured ACPR and PAE of the Doherty amplifier using a WCDMA signal and baseband digital predistortion. PAE of class AB amplifier is also plotted, for comparison purposes.

the DPA was first characterized under single carrier, twocarrier, and three-carrier WCDMA signals. Then, the rectangular and were deduced following the correction coefficients equation

(3) and stored into a LUT. The predistorted input signal can then be calculated based on the correction coefficients by means of the equation

(4) In the next section, the performance evaluation of the built DPA is presented with and without predistortion in terms of PAE and adjacent channel power ratio (ACPR). VI. EXPERIMENTAL RESULTS The Doherty amplifier has been measured using one-carrier WCDMA signal, two-carrier signal with channel spacing of 10 MHz, and three-carrier signal with channel spacing of 5 MHz. The signal was synthesized according to the WCDMA and a peak-to-average model 1 standard with a ratio evaluated to 8.1 dB. The quiescent current is set to V) for the carrier amplifier and to 2 mA 27 mA ( V) for the peaking amplifier. The drain bias voltage ( is set to 26 V. Fig. 10 shows the PAE and the ACPR as a function

SIROIS et al.: A ROBUST MODELING AND DESIGN APPROACH FOR DYNAMICALLY LOADED AND DIGITALLY LINEARIZED DOHERTY AMPLIFIERS

2881

amplifier still meets WCDMA linearity requirement up to 7-dB back-off from its maximum output power with an ACPR of 45.9 dBc and a power added efficiency equal to 47%. This effectively increases by 1 dB the average output power of the amplifier. Based on Fig. 10, the DPA without predistortion fails to pass the ACPR specifications in the whole back-off region. Indeed, the low-power nonlinearity of the carrier amplifier induces an important spectrum regrowth. Thus, the resulting ACPR of the DPA at 5-MHz offset varies from 32 dBc for maximum input power to 41 dBc at low power. On the other hand, the linearized DPA output showed an ACPR at the left and right first offset in the interval of 45 to 60 dBc. In addition, as a result of the Doherty load-pulling effect, the PAE was enhanced from 8% to 11% when compared to a class AB amplifier (Fig. 10). Fig. 11(a) shows the spectrum of one-channel WCDMA signal, with and without predistortion. The signal power is set to 9.9-dB back-off. The predistorter reduces the ACPR by about 18 dB. Fig. 11(b) shows the spectrum of a two-carrier WCDMA signal with and without predistortion. The signal power is set to 11.2-dB back-off. The observed ACPR are reduced from 25.4 to 41.4 dBc in the channel centered at 1.935 GHz. A reduction from 26.9 to 44.6 dBc is also observed in the channel centered at 1.965 GHz. Fig. 11(c) plots the three-channel WCDMA signal spectrum with and without predistortion. The signal power is set to 11.7-dB back-off. The observed ACPR are reduced from 24.1 to 42.5 dBc in the left channel while they are reduced from 25.3 to 45.9 dBc in the right channel. The linearized DPA output spectrum under multicarrier WCDMA signals showed a relatively important residual adjacent channel emission that could be minimized further with compensation for the electrical memory effects which become extensive as much as the input signal bandwidth increases [21]. VII. CONCLUSION

Fig. 11. Measured output signal spectra with and without predistortion at the output of the Doherty amplifier. (a) One channel signal. (b) Two-carrier signal. (c) Three-carrier signal.

of the output back-off. The 0-dB reference corresponds to the maximum peak envelope output power that can be delivered by the amplifier using a WCDMA signal. The amplifier starts to show clipping on the output signal around 8.1 dB of back-off from its maximum output power. At this point, the amplifier shows an efficiency of 44% with 50.6 dBc of ACPR at 5-MHz offset from the carrier frequency. The amplifier is linear enough to operate even into the saturation region (gray zone in Fig. 10), where the peaks on the envelope are clipped. This way, the

In this paper, a robust design approach of Doherty amplifier is proposed. The optimization of the input drive dependent dynamic loads displacements at the output of both carrier and peaking amplifier transistors were carried out using a nonlinear behavior model. The latter was derived and built into CAD software based on measurement data gathered by means of an automated load-pull and distortion measurement system. The model was exploited to optimize the biasing conditions and design the matching circuits of the peaking and carrier amplifiers. Simulation and measurement similarities testify the ability of the constructed model to predict the nonlinear behavior of a 16-W PEP -band Doherty amplifier in terms of nonlinear distortions and power added efficiency. To further enhance the linearity of the Doherty amplifier over 40 dB of the input signal range, a complex all-digital baseband predistortion technique was used. The ACPR of the linearized Doherty amplifier output signal, using WCDMA modulation, was kept better than 50 dBc for a PAE reaching 44%. Such combined performances are significant when compared to recently published results. The obtained PAE could be further enhanced by using two unequally sized amplifiers that would lead to a more efficient load-pulling at the carrier amplifier output, as suggested in [9]. The obtained ACPR level of the linearized DPA using DPD was comparable to those

2882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

obtained with feedforward, but without sacrificing the power efficiency [18]. ACKNOWLEDGMENT The authors would like to thank J. Gauthier, S. Dubé, and R. Brassard, École Polytechnique de Montréal, Montréal, QC, Canada, for their help in building the prototype. The authors are also grateful to J.-F. Gagné, E. Marsan, and R. Archambault, École Polytechnique de Montréal, Montréal, QC, Canada, for providing software and technical support during measurements. REFERENCES [1] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic´ , N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [2] P. B. Kenington and D. W. Bennett, “Linear distortion correction using a feedforward system,” IEEE Trans. Veh. Technol., vol. 45, no. 1, pp. 74–81, Feb. 1996. [3] S. Boumaiza and F. M. Ghannouchi, “Realistic power-amplifiers characterization with application to baseband digital predistortion for 3G base stations,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 3016–3021, Dec. 2002. [4] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [5] O. Hammi, S. Bousnina, and F. M. Ghannouchi, “A linearized Doherty amplifier using complex baseband digital predistortion driven by CDMA signals,” in Proc. Radio and Wireless Conf., Atlanta, GA, Sep. 2004, pp. 435–438. [6] A. Birafane and A. B. Kouki, “On the linearity and efficiency of outphasing microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1702–1708, Jul. 2004. [7] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” in Proc. Inst. Radio Eng., vol. 24, Sep. 1936, pp. 1163–1182. [8] F. H. Raab, “Efficiency of Doherty power-amplifier systems,” IEEE Trans. Broadcast., vol. BC-33, no. 3, pp. 77–83, Sep. 1987. [9] M. Iwamoto, A. Williams, P.-F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [10] N. Srirattana, A. Raghavan, D. Heo, P. E. Allen, and J. Laskar, “A high-efficiency multistage Doherty power amplifier for WCDMA,” in Proc. Radio and Wireless Conf., Boston, MA, Aug. 2003, pp. 397–400. [11] Y. Yang, J. Yi, Y. Y. Woo, and B. Kim, “Optimum design for linearity and efficiency of a microwaves Doherty amplifier using a new load matching technique,” Microwave J., vol. 44, no. 12, pp. 20–36, Dec. 2001. [12] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched -way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003. [13] S. Bae, J. Kim, I. Nam, and Y. Kwon, “Bias-switching quasi-Dohertytype amplifier for CDMA handset applications,” in IEEE Radio Frequency Integrated Circuits Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 137–140. [14] Y. Yang, J. Cha, B. Shin, and B. Kim, “A microwave Doherty amplifier employing envelope tracking technique for high efficiency and linearity,” IEEE Microwave Wireless Compon. Lett., vol. 51, no. 9, pp. 370–372, Sep. 2003. [15] Y. Zhao, M. Iwamoto, L. E. Larson, and P. M. Asbeck, “Doherty amplifier with DSP control to improve performance in CDMA operation,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 687–690. [16] B. Shin, J. Cha, J. Kim, Y. Y. Woo, J. Yi, and B. Kim, “Linear power amplifier based on 3-way Doherty amplifier with predistorter,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 2027–2030.

N

[17] T. Ogawa, T. Iwasaki, H. Maruyama, K. Horiguchi, M. Nakayama, Y. Ikeda, and H. Kurebayashi, “High efficiency feed-forward amplifier using RF predistortion linearizer and the modified Doherty amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig, Fort Worth, TX, Jun. 2004, pp. 537–540. [18] K.-J. Cho, J.-H. Kim, and S. P. Stapleton, “RF high power Doherty amplifier for improving the efficiency of a feedforward linear amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 847–850. [19] I. Takenaka, H. Takahashi, K. Ishikura, K. Hasegawa, K. Asano, and M. Kanamori, “A 240 W Doherty GaAs power FET amplifier with high efficiency and low distortion for W-CDMA base stations,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 525–528. [20] F. M. Ghannouchi, G. Zhao, and F. Beauregard, “Simultaneous AM-AM/AM-PM distortion measurements of microwave transistors using active load-pull and six-port techniques,” IEEE Trans. Microw. Theory Tech., vol. 43, pp. 1584–1588, Jul. 1995. [21] J. Vuolevi, J. Manninen, and T. Rahkonen, “Cancelling the memory effects in RF power amplifiers,” in Proc. IEEE Int. Symp. Circuits and Systems, Sydney, NSW, Australia, May 2001, pp. 57–60.

Jérôme Sirois received the B.Eng. degree in electrical engineering from the Université de Sherbrooke, Sherbrooke, QC, Canada, in 2001, and the M.A.Sc. degree from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2005. He is currently with Focus Microwaves, Dollarddes-Ormeaux, QC, Canada. His current research interests are characterization and modeling of RF transistors, load pull measurement, power amplifier design and efficiency enhancement techniques.

Slim Boumaiza (S’00–M’04) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004. In May 2005, he joined the Electrical Engineering Department, University of Calgary, Calgary, AB, Canada, as an Assistant Professor and faculty member of the Intelligent RF Radio Laboratory. His research interests are in the general areas of RF/microwave and millimeter components and systems for broadband wireless and satellite communications. His specific current interests include RF/digital signal processing (DSP) mixed design of intelligent RF transmitters, design, characterization, modeling and linearization of high-power RF amplifiers, and adaptive digital signal processing.

Mohamed Helaoui received the B.Eng. degree in communications from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2002, and the M.Sc.A. degree from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2003. He is currently working toward the Ph.D. degree at the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests are power amplifier predistortion, power efficiency enhancement, OFDM transmitter optimization.

SIROIS et al.: A ROBUST MODELING AND DESIGN APPROACH FOR DYNAMICALLY LOADED AND DIGITALLY LINEARIZED DOHERTY AMPLIFIERS

Gilles Brassard (S’84–M’93) received the B.Eng. degree in electrical engineering from Université Laval, Québec, QC, Canada, in 1984 and the M.S. degree in electrical engineering from École Polytechnique de Montréal, Montréal, QC, Canada, in 1993. He was an RF/Microwave Design Engineer for Spar Aerospace Ltd, Sainte-Anne-de-Bellevue, QC, Canada, from 1984 to 1996 where he worked on spaceborne microwave receivers, transmitters, and power amplifiers. He is now with the Canadian Space Agency, Longueuil, QC, Canada, since 1996, where he leads the electronic engineering group.

2883

Fadhel M. Ghannouchi (S’84-M’88-SM’93) received the B.Eng. degree in engineering physics and the M.S. and Ph.D. degrees in electrical engineering from Montréal University, Montréal, Canada, in 1983, 1984, and 1987, respectively. He is currently an iCORE Professor and a Senior Canada Research Chair with the Department of Electrical and Computer Engineering at the University of Calgary, Calgary, Canada. He is also the Director of Intelligent RF Radio Laboratory. He was a Professor with the Department of Electrical Engineering, École Polytechnique de Montréal, from 1984 to 2005 where he has taught microwave theory and techniques and RF communications systems. He has held various invited positions at several academic and research institutions in Europe, North America, Japan, and North Africa. He has provided consulting services to numerous microwave and wireless communications companies. He is also the founder of AmpliX Inc., Montréal, providing linearization products and services to wireless and satellite communication equipment manufacturers. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless communications. He has authored or coauthored over 300 publications and holds seven patents.

2884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

An Effective Usage of Vector Network Analyzer for Microwave Imaging Chao-Hsiung Tseng, Member, IEEE, and Tah-Hsiung Chu, Member, IEEE

Abstract—In this paper, an effective usage of a vector network analyzer (VNA) for microwave imaging of conducting objects is presented. In the measurement arrangement, a novel four-port transmission test set is developed to combine with a laboratory VNA as a four-port transmission VNA. It is shown to effectively acquire four sets of the frequency-swept object scattered field in a multistatic arrangement for each object rotation angle. The image reconstruction formulations of this arrangement are derived under physical optics approximation. The measurement system, calibration method, and experimental results are presented. Reconstructed images of continuous and discrete conducting objects and a B-52 model aircraft measured in the frequency range 7.5–12.5 GHz are shown in good agreement with the scattering object geometries. These measured results demonstrate the effectiveness of the developed four-port microwave imaging system. Index Terms—Microwave imaging, microwave measurements, multistatic scattering.

I. INTRODUCTION

T

HE VECTOR network analyzer (VNA) is now popularly used to measure passive and active microwave devices, because it can provide a wealth of information about the device under test (DUT), including its magnitude and phase of reflection and transmission coefficients, group-delay response, and other characteristics. In general, the VNA is a coherent transmitter/receiver to record the DUT (or scattering object) frequency responses. Since the VNA transmits frequency-swept signals and coherently receives the DUT return signals, it can combine with the scattering object rotation as a microwave diversity imaging system with frequency and angular diversity capabilities to yield high-resolution microwave images. Examples using VNA in microwave imaging system can be found in quasi-monostatic arrangement [1] (or inverse synthetic aperture radar, ISAR [2]) and bistatic arrangement [3]. Based on these experimental arrangements, one can find its applications on imaging radar [4], [5], remote sensing [6], [7] nondestructive evaluation [8], antennas [9], [10], biological diagnoses [11], and target identification [12]. In [1], using a VNA-based quasi-monostatic microwave imaging system, the port 1 of the VNA is connected with a transmitting antenna for transmitting frequency-swept signals to illuminate the scattering object. The port 2 of the VNA is

Manuscript received October 28, 2004; revised March 4, 2005. This work was supported by the National Science Council of Taiwan, R.O.C., under Grants NSC 93-2213-E-002-035 and NSC 93-2752-E-002-004-PAE. The authors are with the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 106, R.O.C. (e-mail: chtseng@ ew.ee.ntu.edu.tw; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854251

connected with a receiving antenna for receiving the scattered field radiated from the induced currents on the surface of scattering object. Based on the Bojarski’s identity [13], [14], the recorded frequency-swept transmission responses at various object rotation positions are related to the scattering object image by a Fourier transformation pair. Similarly, [3] uses a VNA with port 1 connected to a transmitting antenna and port 2 connected to a receiving antenna mounted on a linear scanner to record the object backscattered field. Note a two-port VNA with -parameter test set [15] can , and of measure four scattering parameters, the DUT. However, in the microwave imaging systems de, is recorded scribed above, only one transmission response, for image reconstruction. It is then not an effective approach to acquiring the scattered field information with the use of a two-port VNA. In this paper, a novel usage of a VNA for microwave imaging is proposed to acquire the scattered field information in an effective manner. Instead of using the -parameter test set to acquire only one set of frequency-swept transmission response in the Fourier-domain space at each object rotation position, in the proposed measurement system shown in Fig. 1, a new four-port transmission test set is developed to simultaneously acquire four frequency-swept transmission responses. In other words, a four-port transmission VNA (FPT VNA) is developed to improve the effectiveness of using a laboratory VNA in a microwave imaging system. The developed FPT VNA has two transmitting ports and two receiving ports, one can then connect two transmitting antennas for transmitting frequency-swept signals, and connect two receiving antennas for receiving object scattered fields. Hence, there are four pairs of transmitting–receiving ports to acquire a total of four sets of frequency-swept transmission responses. This then becomes a multistatic microwave imaging arrangement with two sources and two receivers [16]. For each pair of source and receiver, one line of Fourier-domain data is acquired as in a quasi-monostatic arrangement. Therefore, the developed FPT VNA will be shown to acquire the frequency-swept scattered field information in an effective manner by reducing the object rotation angles. The developed multistatic microwave imaging system using the FPT VNA is described in Section II, and its image reconstruction formulations under the physical optics approximation [17], [18] are presented in Section III. The calibration formulations are then described in Section IV to obtain the Fourierdomain data from the measured scattered field under two-source simultaneous illumination. In Section V, the reconstructed images for three different types of scattering objects, including

0018-9480/$20.00 © 2005 IEEE

TSENG AND CHU: EFFECTIVE USAGE OF VECTOR NETWORK ANALYZER FOR MICROWAVE IMAGING

2885

continuous, discrete line objects and a B-52 model aircraft, measured in the frequency range of 7.5–12.5 GHz are shown using the developed FPT VNA. II. MEASUREMENT SYSTEM Fig. 1(a) shows the developed experimental multistatic microwave imaging system using an FPT VNA. The first and second transmitting antennas are located at about 0 and 20 for simultaneously transmitting frequency-swept microwave signals. The first and second receiving antennas are at about 0 and 10 for collecting the object scattered field. All the transmitting and receiving antennas are in the far-field region. These antennas are connected to an FPT VNA consisting of a four-port transmission test set, an HP8341B synthesized sweeper, and an HP8510C network analyzer. A personal computer is linked to the measurement system for positioner rotation, instrument control, system calibration, and data recording. The system block diagram of the four-port transmission test set is shown in Fig. 1(b). A Hughes 8010H TWTA is used to amplify the RF signal from the HP8511 frequency downconverter. A power divider equally splits the incident signal to two transmitting antennas through two wide-band phase shifters for controlling the phases of two incident signals. More detail on using phase shifter will be explained in the next section. Two HP 11691D directional couplers are used to couple the incident and ports signals of two transmitting antennas into the of HP8511. Furthermore, two receiving antennas to receive the object scattered fields are connected to the and ports. The , and to IF sigHP8511 then converts the RF signals nals and is connected with HP 8510C to acquire the measured data. The wide-band phase shifter is implemented as shown in Fig. 1(c). It consists of a 90 hybrid (RHG QHM 2–26) and three HP8765D SPDT switches to provide 0 and 90 phase differences as all the switches are set to “position 1” and “position 2”, respectively. The image reconstruction algorithm and system calibration of this developed multistatic microwave imaging system will be described in the following two sections. III. FORMULATION The multistatic imaging system shown in Fig. 1(a) is simplified to the scattering geometry shown in Fig. 2(a). It has two transmitting antennas and two receiving antennas for simultaneously transmitting incident plane waves and receiving backscattered field from a perfectly conducting object. In the following derivation, an infinitely long scattering object in the -direction (normal to the – plane) is assumed and two normally incident TM-polarized plane waves are generated by two transmitting antennas. The incident field for two-source illumination is written as

(1) Fig. 1. (a) Automated multistatic microwave imaging measurement system using (b) a four-port transmission test set with (c) wide-band phase shifter for measurement state selection.

(2)

2886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

As the polarizations of two receiving antennas are in the -direction, the scattered field becomes

(5) In (5) (6) is defined as the partial microwave image contributed from the th receiver and the th source, and is related to the object shape. is the unit wave vector defined as . is a one-dimensional Dirac delta function corresponding to the th source. By defining as the Fourier transformation of (7) (5) can be expressed as (8) where

is the range related term

.

is (9)

Fig. 2. (a) Two-dimensional multistatic backscattering geometry and (b) its frequency-swept Fourier-domain without object rotation.

where is the wave number and is the direction of is the complex field of the th the th incident plane wave. incident plane wave. is the intrinsic impedance in free space is implied. and time dependence Assuming that the angle between any pair of the transmitting and receiving antennas fulfills the physical optics approximation [17], the scattered fields contributed from two sources at two receiving antennas are given as [18]

(3) where is the illuminated object contour contributed from is the outward unit normal vector of at the th source. , and the Green’s function in free space is given as (4) where and is the direction of the th receiving antenna. Note (1)–(3) can be generalized to a multistatic geometry with coherent sources and coherent receivers satisfying the physical optics approximation. The reason for using two sources and two receivers as shown in Fig. 2(a) is that this arrangement is well suited with the developed FPT VNA.

is the wave vector in object Fourier-domain is the angle between the th receiver and space, i.e., -space. the th source. Note that as shown in Fig. 2(a), the first transmitting and first receiving antennas are on the minus -axis, and the second and , transmitting and second receiving antennas are at respectively. The Fourier-domain data (or -space data) contributed from all these sources and receivers are then projected along the direction of . Namely, the second source at and contributes a line of Fourier-domain the second receiver at as shown in Fig. 2(b), as is stepped data at to . In other words, a line of Fourier-domain data from lies in the direction of with the range from to for each source–receiver pair. Therefore, four source–receiver pairs in Fig. 2(a) can give four lines of Fourier-domain data in Fig. 2(b). In order to fill the Fourier-domain space, one can then properly rotate the scattering object (i.e., angular diversity in the technique), or equivalently rotate the direction of Fourier-domain space, to record the scattered field. To ensure shown in Fig. 2(b) with equally spacing angles, the locations of two transmitting and two receiving antennas shown in Fig. 1(a) can be properly designed. The detail on the selection of and in the measurement arrangement given in Fig. 1(a) will be explained in Section V. Therefore, for this multistatic arrangement, four lines of Fourier-domain data with equally spacing angles can be acquired at each rotation angle. Since the illuminated regions on the scattering object surface contributed from two sources are overlapped as shown in

TSENG AND CHU: EFFECTIVE USAGE OF VECTOR NETWORK ANALYZER FOR MICROWAVE IMAGING

Fig. 2(a), the induced surface currents from each source then interfere with each other on the object surface. Therefore, the in (8) is a coherent summation of the scatscattered field tered fields radiated from two overlapped illuminated regions. In order to relate the reconstructed microwave image to the scattered field given in (8), the corresponding Fourier-domain data contributed from each source–receiver pair has to be extracted. Equation (8) can be rewritten as (10) (11) Similar as in [19] by dividing (10) and (11) with respectively, one can get

and

,

(12) (13) As (12) is multiplied by (13), it becomes (14) or

2887

and . The variables where and can then be solved from (21). Therefore, four lines , and are extracted of Fourier-domain data from the measured responses and in the two-source and two-receiver arrangement. Hence, with the use of frequency diversity technique to have stepped from to in this multistatic arrangement, four lines of Fourier-domain data in the directions of with can be extracted from the recorded scattered field. It is then equivalent to the Fourier-domain data obtained in the quasi-monostatic arrangement [1] at four object rotation angles. However, in a multistatic arrangement, for the th receiver and th source with bistatic angle , one can effectively extract four lines of Fourier-domain data using (19) and (21) at one conobject rotation angle. Note the Fourier-domain data tributed from the th receiver and the th source is analogous to received at the th port and stimulated at the -parameters the th port in a conventional VNA. This then leads the development of an FPT VNA in the multistatic microwave imaging arrangement shown in Fig. 2(a) to more effectively obtain fourfold Fourier-domain data than that of conventional use of a two-port VNA. In the following section, the calibration method of multistatic microwave imaging system to acquire the scattering object Fourier-domain data will be described.

(15) IV. CALIBRATION METHOD . Therefore, by using two phase where shifters, shown in Fig. 1(b), to change the phase of each incident plane wave at three different values, one can acquire three independent equations as (16) (17) (18) In (16)–(18), each equation is considered as a measurement state and its state number is denoted as the superscript of . Equations (16)–(18) can then be expressed in matrix form as

In practice, the measured scattered field at two receiving antennas can be represented as (22) (23) is an additive isolation error to account for the residual reflection of the empty room and the coupling between transmitting and receiving antennas. It is the direct measurement without locating any scattering object inside the anechoic chamber. is the product of the Fourier-domain data and system frequency response contributed from the th receiver and the th source. Similar to the treatment of (12) to (21), (22) and (23) can be rewritten as

(19)

Equation (19) shows that and can be extracted from six sets of the scattered field . Since the frequency responses of two broadband phase shifters at different setting values can be eliminated by multiplying (12) and (13), the characteristics of the phase shifters are allowed to be unknown. and , respectively, Similarly, dividing (10) and (11) by and after proper manipulation, one can obtain

(24) (25) where , and equations are obtained as

. From (24) and (25), two matrix

(26)

(20) or expressed in matrix form as

(27) (21) to solve

, and

.

2888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

For a reference scattering object, (24) and (25) become

TABLE I RANGE OF SCATTERING OBJECT ROTATION ANGLE AND THE CORRESPONDED ANGULAR RANGE OF OBJECT FOURIERDOMAIN USING MULTISTATIC ARRANGEMENT

(28) (29) , , , and . is the Fourierdomain data of the reference object contributed from the th receiver and the th source. Similarly, (28) and (29) are rewritten as where

(30)

(31) , and . Therefore, to solve one can divide to for eliminating the system frequency response and acquire the calibrated Fourier-domain data contributed from the th receiver and the th source. Based on (24)–(31), the calibration and measurement procedures are summarized as the following. 1) Measure the empty room response to calibrate the isolation error from the residual reflection of the empty room and the coupling between transmitting and receiving antennas for all the measurement states. 2) Position the reference object and measure the multistatic frequency-swept scattered fields in all measurement states, then subtract empty room response in step 1 for from (30) each measurement state. Calculate and (31). 3) Locate the test object and measure the multistatic frequency-swept scattered fields in all measurement states from and at all object rotation angles. Calculate (26) and (27), then divide by obtained in step 2 to extract Fourier-domain data . 4) Correctly locate all the calibrated Fourier-domain data, then use the triangle-based nearest neighbor interpolation method [20] to acquire the object Fourier-domain data in a rectangular format. Finally, perform two-dimensional inverse fast Fourier transformation to reconstruct the image. V. EXPERIMENTAL RESULT Based on the above-described measurement system and calibration method, this section first describes the measurement parameters adopted in this multistatic microwave imaging system, and then presents the experimental results of three different types of scattering objects.

TABLE II PHASE SHIFTER VALUES FOR THE THREE MEASUREMENT STATES

A. Measurement Parameters In the measurement, the frequency is stepped from 7.5 to 12.5 GHz for 101 frequency points to give rad/cm rad/cm. The scattering object is located on a and positioner with rotation angles listed in Table I. In each range of rotation angle there are five rotation angles. For example, initially the first and second transmitting antennas are at 0 and 20 and the first and second receiving antennas are at 0 and 10 . Hence, the corresponded directions of , and are at 0 , 350 , 5 , and 355 . As the object is rotated in 1 step from 0 to 4 , the angular range of corresponded Fourier-domain data is from 350 to 10 with 20 span angle. The next range of object rotation angle is then 20 –24 to give the next angular range of 10 –30 in the Fourier-domain data for 20 lines. Therefore, one can sequentially rotate the scattering object in 1 step based on the 18 different angular ranges, a total of 90 object rotation angles given in Table I, to synthesize a total of 360 Fourier-domain data with 1 interval. On the other hand, in the quasi-monostatic arrangement in [1] with only one pair of transmitting and receiving antennas, a total of 360 object rotation angles with 1 interval are needed. Therefore, one can effectively reduce the object rotation angles by four times using this multistatic arrangement with two transmitting antennas and two receiving antennas. Two wide-band phase shifters shown in Fig. 1(b) are used to give three different ratio values of in (19) and in

TSENG AND CHU: EFFECTIVE USAGE OF VECTOR NETWORK ANALYZER FOR MICROWAVE IMAGING

2889

Fig. 3. Measured results of (1) Fourier-domain data and (2) reconstructed images with (a) four-port transmission VNA and (b) two-port VNA of (c) a metallic cylinder with 15-cm radius.

Fig. 4. Measured results of (1) Fourier-domain data and (2) reconstructed images using (a) four-port transmission VNA and (b) two-port VNA of four thin cylinders with (c) geometries at ( 12; 0) cm, (0; 8) cm, (3:5; 6) cm, and (10; 5) cm, respectively.

(21). They are electronically set to the values in Table II corresponding to the three measurement states. One measurement state means to record the frequency-swept object scattered field for one set of phase shifter values. The measurement sequence is first to record four object scattered fields corresponding to four source–receiver pairs at three measurement states, then the positioner is rotated to the next object rotation angle for the next object scattered field acquirement. After five rotation angles, the scattering object is rotated to the next range based on Table I, until the end of the rotation. Note this is not the only arrangement of antennas and object rotation angle, however, the parameters shown above can synthesize the Fourier-domain data with 1 interval without overlapped areas.

B-52 1:100 scaled model aircraft as a complicated scattering object. A thin metallic cylinder with 113-cm length and 1.5-cm radius is used as a reference object. In the developed system using the FPT VNA, the scattering object is rotated with a total of 90 object rotation angles listed in Table I to give a total of 360 spanned Fourier-domain data with 1 interval. To illustrate the effectiveness of the developed measurement system, the results using quasi-monostatic arrangement with a two-port VNA to acquire only response at rotation angles listed in Table I are also given. For the test object of metallic cylinder with 15-cm radius, is 23.6–39.3 radians, hence, the measurement the range of is in the physical optics regime. The measured Fourier-domain data are shown in Fig. 3(a-1) and (b-1). Since the developed measurement system with the FPT VNA simultaneously acquire four sets of transmission responses, the measurement using the FPT VAN gives a 360 spanned Fourier-domain data. However, only one-fourth of the Fourier-domain data is obtained using the quasi-monostatic arrangement with the two-port VNA. Therefore, a complete circle image and a fragmental image are reconstructed and shown in Fig. 3(a-2) and (b-2), respectively.

B. Experimental Results Three different types of scattering objects are used to demonstrate the effectiveness of the developed multistatic microwave imaging system. They include a metallic cylinder with 91-cm length and 15-cm radius as a continuous scattering object, four distributed metallic thin cylinders with 113-cm length and 1.5-cm radius as discrete line scatterers, and a metal-covered

0

0

2890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

VI. CONCLUSION In this paper, an effective usage of a VNA for microwave imaging is proposed. A novel four-port transmission test set is developed to combine with a laboratory VNA as an FPT VNA with the capability of effectively acquiring four sets of the frequency-swept object scattered field in a multistatic microwave imaging system. The image reconstruction and calibration formulas for this multistatic arrangement are developed in the situation that the scattering object is under two-source illumination and two-receiver receiving. It shows that as two sources and two receivers are properly arranged to fulfill the physical optics approximation, one can reduce the object rotation angles by four times as compared with that of a quasi-monostatic arrangement. In addition, the characteristics of phase shifters used to generate multiple sets of scattered field equations are allowed to be unknown. The reconstructed images of three types scattering objects experimentally demonstrate that the developed multistatic microwave imaging system is an effective usage of a VNA to acquire the scattering object Fourier-domain data. The developed multistatic microwave imaging system, data acquisition, and image reconstruction algorithms may lead to the use of a modern multiport VNA more effectively in the future microwave imaging system. On the other hand, the measurement system developed in this paper can be further studied to have more illumination sources and coherent receivers with the feasibility of a real-time microwave imaging system. REFERENCES Fig. 5. Measured results of (1) Fourier-domain data and (2) reconstructed images using (a) four-port transmission VNA and (b) two-port VNA of (c) a B-52 1:100 scaled model aircraft.

For the second type of test object, the range of is 2.4–3.9 radians, hence, the thin cylinders can be treated as four line scatterers. Measured results of Fourier-domain data and the reconstructed image are shown in Fig. 4(a) and (b). The reconstructed image is shown in good agreement with the distribution of four thin cylinders given in Fig. 4(c). The range of the Fourier-domain data shown in Fig. 4(a-1) is about rad/cm and rad/cm. The corresponding resolution then gives about 3 cm in both - and -directions. However, the area of the Fourier-domain data shown in Fig. 4(b-1) is one-fourth of that in Fig. 4(a-1), therefore, the image resolution is degraded. The sidelobes of the reconstructed image are clearly shown in Fig. 4(b-2). For the third test object, a B-52 scaled aircraft, it consists of continuous and discrete scattering centers. Fig. 5(a-1) shows the Fourier-domain data with about 90 angular range acquired at the first five ranges of object rotation angle given in Table I. For the same object rotation angles, the Fourier-domain data acquired using quasi-monostatic arrangement with the two-port VNA is shown in Fig. 5(b-1). From the reconstructed image shown in Fig. 5(a-2), one can clearly identify the wing, the oil tank, two twin engines, and the cockpit of the aircraft, but the images reconstructed from Fig. 5(b-1) are indistinct, shown in Fig. 5(b-2).

[1] N. H. Farhat, C. L. Werner, and T. H. Chu, “Prospects for three-dimensional projective and tomographic imaging radar networks,” Radio Sci., vol. 19, pp. 1347–1355, Sep.–Oct. 1984. [2] D. L. Mensa, High Resolution Radar Imaging. Dedham, MA: Artech House, 1981. [3] D. B. Lin and T. H. Chu, “Bistatic frequency-swept microwave imaging: Principle, methodology, and experimental results,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 5, pp. 855–861, May 1993. [4] D. A. Ausherman, A. Kozma, J. L. Walker, H. M. Jones, and E. C. Poggio, “Developments in radar imaging,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-20, no. 4, pp. 363–400, Jul. 1984. [5] C. C. Chen and H. C. Andrews, “Multifrequency imaging of radar turntable data,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-16, no. 1, pp. 15–22, Jan. 1980. [6] K. Tomiyasu, “Tutorial review of synthetic-aperture radar (SAR) with applications to imaging of the ocean surface,” Proc. IEEE, vol. 66, no. 5, pp. 563–583, May 1978. [7] C. Elachi, T. Bicknell, R. L. Jordan, and C. Wu, “Spaceborne synthetic-aperture imaging radars: Applications, techniques, and technology,” Proc. IEEE, vol. 70, no. 10, pp. 1174–1209, Oct. 1982. [8] M. F. Adams and A. P. Anderson, “Synthetic aperture tomographic (SAT) imaging for microwave diagnostics,” Proc. Inst. Electr. Eng., pt. H, vol. 129, pp. 83–88, Apr. 1982. [9] C. Ozdemir, R. Bhalla, L. C. Trintinalia, and H. Ling, “ASAR—Antenna synthetic aperture radar imaging,” IEEE Trans. Antennas Propagat., vol. 46, no. 12, pp. 1845–1852, Dec. 1998. [10] Y. Rahmat-Samii and J. Lemanczyk, “Application of spherical near-field measurements to microwave holographic diagnosis of antennas,” IEEE Trans. Antennas Propagat., vol. 36, no. 6, pp. 869–878, Jun. 1988. [11] C. Pichot, L. Jofre, G. Peronnet, and J. C. Bolomey, “Active microwave imaging of inhomogeneous bodies,” IEEE Trans. Antennas Propagat., vol. AP-33, no. 4, pp. 416–425, Apr. 1985. [12] N. H. Farhat, “Microwave diversity imaging and automated target identification based on models of neural networks,” Proc. IEEE, vol. 77, no. 5, pp. 670–681, May 1989. [13] R. M. Lewis, “Physical optics inverse diffraction,” IEEE Trans. Antennas Propagat., vol. AP-17, no. 3, pp. 308–314, May 1969.

TSENG AND CHU: EFFECTIVE USAGE OF VECTOR NETWORK ANALYZER FOR MICROWAVE IMAGING

[14] N. N. Bojarski, “A survey of physical optics inverse scattering identity,” IEEE Trans. Antennas Propagat., vol. AP-30, no. 5, pp. 980–989, Sep. 1982. [15] HP 8510C Network Analyzer System Operating and Programming Manual, second ed., Hewlett Packard, Santa Rosa, CA, Jan. 1994. [16] C. H. Tseng and T. H. Chu, “Multistatic microwave imaging of perfectly conducting objects,” presented at the IEEE AP-S and USNC/URSI Int. Symp., Monterey, CA, Jun. 2004. [17] R. F. Harrington, “On scattering by large conducting bodies,” IRE Trans. Antennas Propagat., vol. AP-7, no. 2, pp. 150–153, Apr. 1959. , Time-Harmonic Electromagnetic Fields. New York: McGraw[18] Hill, 1961. [19] C. A. Hoer, “A network analyzer incorporating two six-port reflectometers,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1070–1074, Dec. 1977. [20] MATLAB: The Language of Technical Computing, MathWorks, Natick, MA, 1996.

Chao-Hsiung Tseng (S’03–M’05) was born in Miaoli, Taiwan, R.O.C., on July 4, 1974. He graduated in electronic engineering from National Taipei Institute of Technology, Taipei, Taiwan, R.O.C., in 1994, and received the M.S. and Ph.D. degrees in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1999 and 2004, respectively. From 1999 to 2000, he was an Associate Microwave Researcher with the Center for Measurement Standards, Industrial Technology Research Institute, Hsinchu, Taiwan, R.O.C.. He is currently a Postdoctoral Research Fellow with the Department of Electrical Engineering, National Taiwan University. His research interests include microwave-imaging systems and techniques, microwave measurements and calibration techniques.

2891

Tah-Hsiung Chu (M’87) received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1976, and the M.S. and Ph.D. degrees from the University of Pennsylvania, Philadelphia, in 1980 and 1983, respectively, all in electrical engineering. From 1983 to 1986, he was a Member of Technical Staff with the Microwave Technology Center, RCA David Sarnoff Research Center, Princeton, NJ. Since 1986, he has been on the faculty of the Department of Electrical Engineering, National Taiwan University, where he is now a Professor of electrical engineering. His research interests include microwave-imaging systems and techniques, microwave circuits and subsystems, microwave measurements and calibration techniques.

2892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Unification of Double-Delay and SOC Electromagnetic Deembedding James C. Rautio, Fellow, IEEE, and Vladimir I. Okhmatovski, Member, IEEE

Abstract—Double-delay and short open calibration (SOC) deembedding are both useful for deembedding the results of a gap voltage source excited electromagnetic analysis. Previously, each approach has been viewed as distinct, each with its own advantages and disadvantages. This paper describes a unifying theory, showing that double delay and SOC are each special cases of an extended SOC technique. Results related to the characteristic impedance as determined by this extended SOC deembedding are also presented. Index Terms—Calibration, characteristic impedance, deembedding, electromagnetic (EM) analysis, method of moments (MoM).

I. INTRODUCTION

I

N AN electromagnetic (EM) analysis, a circuit, or deviceunder-test (DUT), can be excited with “ports”, often gap voltage sources. The circuit parameters (e.g., -, -, or -parameters) are then determined from the voltage–current relationships seen at each port of the structure. For a frequency-domain analysis of an -port, the circuit parameters are one complex matrix at each frequency. A discontinuity associated with the exciting port is necessarily included in the result. Electromagnetically, the port discontinuity is represented by fringing fields and transverse current flow (if any) in the vicinity of the gap voltage source (numbered in Fig. 1). From a circuit theory point-of-view, the port discontinuity takes the form of capacitances and inductances (Figs. 2 and 3). If there is loss, the port discontinuity also includes resistance. The port discontinuity is usually small. For example, the shunt capacitance is typically on the order of a tenth of a picofarad. In some cases, the port discontinuity can be ignored. However, in many cases, it must be carefully evaluated and removed. In addition, it may be desirable to shift the reference plane from the port to the interior of the circuit. In this case, the transmission line connecting the port to the circuit must be characterized and removed. In this process, the characteristic impedance and effective relative dielectric constant of the line can be determined. The double-delay deembedding performs this calibration by using EM analysis results of two through lines, a line of length , and a second line of length [1] [see Fig. 1(a) and (b)]. Manuscript received November 5, 2004; revised May 16, 2005. J. C. Rautio is with Sonnet Software, North Syracuse, NY 13213 USA (e-mail: [email protected]). V. I. Okhmatovski is with the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada R3T5V6 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854250

Fig. 1. Double-delay calibration uses: (a) an L-length and (b) a 2L-length through line. The ports must be backed by a perfectly conducting electric wall. The SOC uses: (c) a single three-port 2L-length standard. Perfect ground reference is not needed.

Fig. 2. Circuit theory model for the L and 2L-length through of the double-delay calibration shows ports as a pure shunt admittance. After manipulating the cascading matrices, the double port discontinuity (c) remains.

The SOC calibration [2] uses a single through line of length , but there is a third port in the middle of the line, Fig. 1(c). The double-delay deembedding was generalized to multiple coupled ports in the original study [1] and the short-open-calibration (SOC) was generalized to multiple coupled ports in [3] and [4]. Some of the relative advantages and disadvantages are discussed in [5] and [6] and summarized here. Double delay requires the port discontinuity to be a pure shunt admittance, no series impedance is allowed. A port discontinuity specialized in this way is easily realized in a shielded EM analysis. In contrast, the SOC characterizes arbitrary reciprocal port discontinuities. This is typical of port discontinuities seen in unshielded EM analysis. Thus, double delay can be used only in

0018-9480/$20.00 © 2005 IEEE

RAUTIO AND OKHMATOVSKI: UNIFICATION OF DOUBLE-DELAY AND SOC EM DEEMBEDDING

2893

of this extended SOC. We conclude with a discussion of characteristic impedance as determined by this extended SOC. II. DOUBLE-DELAY THEORY SUMMARY

Fig. 3. Circuit theory model of the SOC standard: (a) includes arbitrary reciprocal port discontinuities. By exciting ports 1 and 2 symmetrically and antisymmetrically either: (b) an electric wall or (c) magnetic wall can be placed at the location of port 3. This allows characterization of a single-port discontinuity plus (d) connecting transmission line.

shielded analysis [7], [8]. SOC is typically used in unshielded analysis, but can, in principle, be used in either type of analysis. Double delay has the advantage of characterizing the naked port discontinuity, i.e., the port discontinuity all by itself with no port-connecting transmission line. In addition, double delay also characterizes the port connecting line by itself (port discontinuities removed). The velocity of propagation and characteristic impedance of the port connecting line can then be inferred from the resulting circuit parameters. The SOC characterizes the entire port discontinuity and port connecting transmission line together. Lacking a characterization of the port connecting line by itself, characteristic impedance and velocity of propagation are not determined. In addition, the entire port discontinuity plus connecting line is removed from the DUT, shifting the reference plane to a distance from the port. Removal of the naked port discontinuity by itself, leaving the reference plane at the port, is not part of the SOC, as formulated in [2]. By additionally analyzing a DUT length that is a length of line (requiring the analysis of a of line in [9, Fig. 1]), an arbitrary naked port discontinuity can be characterized using the original SOC. The extended SOC, described in Section VI, achieves this same result by analyzing only an additional -length line. For double delay, the naked port discontinuity and the port connecting line are both characterized as separate entities. Thus, if only the naked port discontinuity is removed from the DUT data, the reference plane remains at the location of the port. If the port connecting line is also removed, the reference plane is moved into the DUT by length . In this paper, we briefly describe the double-delay and SOC theory and show how the calibration data sets from each technique are related. With this knowledge, we illustrate a faster means of obtaining the double-delay data set by using a SOClike technique. We also describe an extension of the SOC theory using double-delay techniques that allows the SOC to characterize the naked port discontinuity and the connecting line separately. Both the original SOC and double delay are special cases

Fig. 2 shows the circuit theory equivalent of the - and -length double-delay calibration standards. The port discontinuities are illustrated with shunt capacitors to ground. First, obtain EM analysis results for both standards. Then convert cascading parameters. Invert the the data to matrix for the standard and then pre- and post-multiply it -parameters of the -length standard. by the Inverting an matrix converts all transmission lines to negative length and all lumped elements to negative values. For example, cascading a positive shunt capacitor with an identical, but negative shunt capacitor cancels both capacitors, leaving a perfect zero length through. Similarly, cascading a positive -length line with a negative -length line cancels both lines. Following the above triple cascade (positive -length line, -length line, positive -length line, including port negative discontinuities), we have nothing left, except a double port discontinuity [see Fig. 2(c)]. If there is any series component in the port discontinuity, then the single port discontinuity cannot be determined from external measurements. However, if we as, the sume the port discontinuity is a pure shunt admittance -parameters of the double-port discontinuity (indicated by subscript ) are (1) The single (naked) port discontinuity is obtained by dividing (of the matrix) by two. This form of the single-port discontinuity yields an easy test of deembedding validity. If the pure shunt port discontinuity assumption is violated, or if any of several well-understood deembedding failure mechanisms are present [1], [5], [6] then and differ from the indicated values. This self-diagnostic ability is critical in applied design where any analysis or design failure must be identified and corrected prior to fabrication. This self-diagnostic ability is not directly available in the original SOC, but is available in the extended SOC when applied to a shielded environment. Now we deembed the -length line by inverting the single-parameters and pre- and post-multiport discontinuity -parameters. The -paplying the -length line rameters for an ideal TEM -length line are (2) By equating the above expression for an ideal -length TEM line to the numerical results for the deembedded -length (the electrical calibration through, one may solve for both length) and the characteristic impedance . This is known as the TEM equivalent characteristic impedance [10]. Note that this impedance is not in any way determined based on transverse fields in the cross section of the transmission line.

2894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Rather, this is the only characteristic impedance consistent with the current–voltage behavior at the terminals of the line. The actual deembedding of the DUT is performed by inmatrix and apverting the naked port discontinuity matrix. If the referpropriately multiplying the DUT ence plane is to be shifted, then the deembedded -length line -parameters are inverted and used to appropriately multiply the DUT matrix. If multiple coupled lines and ports are involved, then all matrix elements in the above discussion become matrices themselves. This is the case for all deembedding schemes discussed in this paper. No other special consideration for multiple coupled ports is needed. III. SOC THEORY SUMMARY In SOC, the deembedding process includes the transmission from the ports. The extended lines extending by length transmission lines separate excitation ports from the DUT and deliver quasi-TEM eigenmodes, uncontaminated by fringing fields, to the DUT. The SOC removes the contribution from and the naked port discontinuity [see the line extension Fig. 3(d)] by evaluating the short and open standards shown in Fig. 3(b) and (c), respectively. The perfect -length short and open terminations are evaluated through symmetric and antisymmetric excitation of a single -length SOC standard [see standard is characterized Figs. 1(c) and 3(a)]. The three-port by a (3 3) -matrix typically evaluated using the method of moments (MoM) (3) SOC uses only data from the first two columns of (3). Physically, this means excitation voltage is applied only to ports 1 and 2. For all data used by SOC, port 3 is shorted, effectively removing the fringing capacitance [see Fig. 3(a)] across port 3. It is critical that the port-3 discontinuity have no series impedance. Using superscripts for the (2 2) -matrix of the calibration standard terminated with a perfect electric conductor (PEC) [see for perfect magnetic conductor Fig. 3(b)] and superscript (PMC) termination [see Fig. 3(c)], we have (4) These three

-parameters, plus the condition of reciprocity , completely determine the SOC error box matrix [2]–[4] corresponding to Fig. 3(d) as follows:

(5) Inversion of (5) allows removal of the port discontinuity and length of the connecting transmission line from the DUT. It does not allow removal of only the port discontinuity or determination of the characteristic impedance. However, it is valid for any reciprocal error box; it is not limited to pure shunt port discontinuities.

IV. RELATIONSHIP OF CALIBRATION DATA SETS The three-port SOC calibration standard is nearly identical to -length double-delay calibration standard, the only difthe ference being that a series port is added midway between the -length standard. If the two end ports of the double-delay through is modified by adding this third port, double-delay both a SOC and a double-delay calibration may be performed with a single modified double-delay data set. In addition, for a shielded environment, the entire doubledelay calibration data set can be derived from the SOC calibration data set, as briefly described in [5] and [6]. In detail, given the port numbering of Fig. 1(c), the -parameters of the through are the (2 2) matrix forming the upper left-hand-side is set to zero and is discarded. corner of (3), i.e., equal to For the -length double-delay through, we set , placing an electric wall through the center of port 3, as in Fig. 3(b). We then remove the row as unneeded. Evaluation of and under the condition of equal to zero gives us the first column of the double-delay -length through -parameters. The second column is formed from the first by symmetry yielding (6) Thus, the entire double-delay calibration data set may be derived from a single SOC calibration standard. V. FASTER EVALUATION OF THE DOUBLE-DELAY DATA SET As described above, both the - and -length double-delay calibration standards can be generated from the SOC calibration standard. Thus, when performing a double-delay calibration, one could evaluate a single SOC standard instead and destandards. This reduces the number of rive both the and standard evaluations from two to one. However, the increase in speed is small. If the calibration standard analysis time is limited by matrix solve and we assume that the -length standard requires a matrix of order and s for analysis, then the standard reand s for analquires a matrix order of approximately . Eliminating the -length ysis. The total time required is , which is a speed increase standard analysis reduces this to of approximately 10%. A substantial speed improvement is realized by analyzing two -length standards. The first is the normal double-delay -length through. The second is an open circuited -length stub [see Fig. 3(c)]. The open circuit is perfect in that the stub terminates in a PMC wall. A magnetic wall is easily realized in a shielded analysis by eliminating half of the waveguide modes (those that have nonzero tangential magnetic field on the plane of symmetry) used in the shielded Green’s function. -length through -parameters, we also To determine the need the -parameters of a perfect short-circuited -length of the original -length through. With the stub. This is port 2 voltage set to zero, the end of the -length through is connected to the perfect ground of the PEC sidewall. for electric wall and for magnetic With superscripts wall, and by using methods similar to the derivation in Sec-

RAUTIO AND OKHMATOVSKI: UNIFICATION OF DOUBLE-DELAY AND SOC EM DEEMBEDDING

Fig. 4. Extending the SOC to calculate the naked port discontinuity without the port connecting line, invert the ABCD matrix of the circuit of Fig. 3(d), yielding (a), and cascade it with an L length through, as shown in (b).

tion IV, we have the -parameters for the -length standard in terms of the perfect shorted and perfect open stub as

(7) In fact, this electric/magnetic-wall analysis approach can be used for any circuit that is symmetric about a center line, not just the -length through line described here. Alternatively and equivalently, one can directly apply the SOC to the electric- and magnetic-wall data. We use the term “equivalent” in the sense of starting with the same data and yielding the same result. Now, both standards of the double-delay calibration are evaluated with analysis of two -length stubs. Using the notation to . This from above, this reduces total analysis time from represents a 350% (4.5 ) faster analysis for the double-delay calibration in a shielded environment. The SOC calibration is usually performed in an unshielded environment. If the unshielded analysis is modified to allow two types of half-space analysis, one bounded by an infinite vertical electric wall, the other bounded by an infinite vertical magnetic wall, then the SOC can enjoy a similar advantage. In fact, such data can be used directly in the SOC algorithm rather than being derived from the appropriate excitations of the three-port SOC calibration standard. An unshielded half-space analysis is easily realized by use of images. If characteristic impedance, zero reference plane shift, or the naked port discontinuity are to be characterized, then the unshielded SOC additionally requires analysis of the -length through in full space (in addition to a grounded half-space, as above). With this addition, the set of standards is identical to that described in the beginning of Section IV. If images are used in an unshielded analysis to realize a half-space analysis, matrix fill time is increased. In contrast, a shielded analysis inherently includes an electric wall, and a magnetic wall is included by removing half of the Green’s function, speeding the matrix fill. VI. EXTENDED SOC The result of the SOC is the port discontinuity plus connecting transmission line [see Fig. 3(d)]. To extend the SOC to determine the electrical length and characteristic impedance, matrix yielding the first invert the SOC deembedding circuit of Fig. 4(a).

2895

Next, determine the circuit parameters of the double-delay -length through [see Figs. 1(a) and 4(b)]. For shielded analysis, it can be determined directly from the SOC standard, as described in Section IV. For unshielded analysis, a second -length standard must be analyzed. The -length standard cannot be derived from the -length SOC standard because of the arbitrary port discontinuities. matrix by the Pre-multiply the -length through matrix. This yields the inverted SOC deembedding naked port discontinuity. The naked port discontinuity is used to deembed the -length through, leaving just the -length transmission line. We now have sufficient information to proceed as in Section II and deembed the DUT with either no reference plane shift, or with a shift of distance from the port into the DUT. An alternative way to obtain the deembedded -length line is to invert the cascading matrix of the embedded -length line [see Fig. 4(b)] and then post-multiply twice by the SOC port discontinuity [see Fig. 3(d)]. Now that we have the deembedded -length line, we can determine the electrical length and characteristic impedance of the port connecting line. The significance of this is that we can now determine the characteristic impedance of a transmission line based only on port current–voltage relationships for both shielded and unshielded analysis for any arbitrary reciprocal port discontinuity. The double-delay calibration is this extended SOC specialized to a shielded environment yielding port discontinuities with no series impedance. The original SOC is identical to this extended SOC, only lacking the -length through and doubledelay inspired matrix manipulations described here. As for nomenclature, we refrain from introducing a new acronym for this extended SOC. Rather, we continue to refer to it as SOC. Occasionally we also refer to specializations such as shielded SOC or unshielded SOC. We strongly recommend that the term “open SOC” not be used, as it is not clear if the word “open” refers to a circuit (as it does within the acronym) or to the environment. When shielded SOC is specialized to ports that are pure shunt admittance (true for most shielded planar EM analyses in applied use today), then it is identical to double delay. VII. SIGNIFICANCE OF TEM EQUIVALENT CHARACTERISTIC IMPEDANCE The concept of characteristic impedance for inhomogeneous media has seen extensive discussion over the last several decades [10]–[17]. The earliest known reference to the TEM equivalent characteristic impedance is [11]. Measurements (suggestive of the SOC calibration standard) were performed in [12]. An especially detailed bibliography is provided in [17]. The basic problem is that most definitions of characteristic impedance are based on linear functionals of transverse cross-sectional fields. The functionals based on line integrals depend on the path taken for inhomogeneous media and are, thus, nonunique. The TEM equivalent characteristic impedance depends only on the current–voltage relationships observed at the infinitesimal voltage gap sources exciting the calibration through lines. These voltages and currents are unique.

2896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

When first introduced, [1] and [10], double-delay deembedding was used to determine the TEM equivalent characteristic impedance. This was not a complete solution, as the port discontinuity was specialized to a pure shunt admittance. The original SOC [2] was used to deembed a length of transmission line from which characteristic impedance was inferred [9], [14]–[16]. This solved the problem for arbitrary port discontinuities, but the determination of characteristic impedance was carried out outside of the calibration. Now with the double-delay inspired extensions to the SOC introduced in Section VI, the SOC by itself is sufficient to uniquely determinetheonlycharacteristicimpedancethatisconsistentwith theSOCcalibrationstandardportcurrent–voltagerelationshipsin thepresenceofarbitraryreciprocalportdiscontinuities.Anyother value of characteristic impedance is necessarily inconsistent with the port current–voltage relationship and can thus be considered incorrect. In [1], it was pointed out that the specialization of the port discontinuity to a pure shunt admittance precluded its exact application to measurement, as it might be difficult to realize (or at least to verify) such a port discontinuity in practice. However, now with this extended SOC, that limitation is removed. If implemented in actual physical measurements, the SOC requires measurement of a symmetric -length through (an additional -length through is required if characteristic impedance is desired). Since physical measurement of a series port in the center of the -length through may be difficult, we would substitute measurement of the current at the base of an -length short-circuited stub. This type of measurement is not a standard microwave measurement, but at least there is no fundamental limitation preventing the measurement from being performed to a high level of quantifiable accuracy given sufficient resources. We point out that this definition of characteristic impedance is preferred because it is based on port current–voltage relations, just as both microwave measurement (which is based equivalently on port transmitted-reflected wave relations) and microwave circuit analysis. Neither measurement, nor analysis has anything to do with linear functionals of transverse transmission-line fields. VIII. CAUSALITY VALIDATION To verify the physical nature of the SOC derived TEM equivalent characteristic impedance, we checked the variation of characteristic impedance as a function of frequency. corresponds to a causal system, then Specifically, if is directly related to the magnitude the phase by a Hilbert transform [17]. If wide-band data is can be used calculated, then the SOC calculated required for a causal system. to determine the is compared to the SOC calculated This causal . Differences between the two are due to error in and to the necessarily sampled the SOC calculation of and band-limited SOC data. to the SOC calculated Comparing the causal result is easily effected by the use of the program CausalCat.1 1D. F. Williams, CausalCat, June 20, 2001. [Online]. Available: http://www.boulder.nist.gov/div818/81801/dylan/software.html

Fig. 5. Phase of the SOC calculated characteristic impedance is used to calculate the magnitude required for causality and is compared to the SOC calculated magnitude for a lossy line.

We partially verified the correctness of the program using simple test cases like lossless coax and rectangular waveguide. Significant low-frequency noise was seen for the lossless coax case, however, high-frequency lossless coax and all other cases worked well. The most interesting case investigated is presented here. The structure is a 72- m-wide line 1- m-thick metal conductivity on top of 100- m-thick 3 10 S/m on top of 1- m-thick conductivity 12.5 S/m bounded on the bottom by a perfectly conducting ground plane. A one-sheet model [18] with all current assumed to be flowing on the bottom side of the actual thick line was used. Cell size is 2- m wide and 10- m long. The -length through is 2000- m long and the box is 1000 m from one sidewall to the other across the width. There is 1000 m of air on top just below a perfectly conducting top cover. Analysis was performed from 0.2 to 100 GHz with 41 logarithmically spaced points. The results in Fig. 5 are for ports in a shielded analysis with only shunt port capacitance, and there is no series impedance. In spite of the very high loss and significant loss induced (calculated from the SOC dispersion, the causal ) and the SOC calculated agree almost everywhere to within several tenths of an ohm. The difference approaching 100 GHz appears to be due to the lowest box resonance at 140 GHz. When the box is made smaller, the resonance moves up and the difference at 100 GHz disappears. When using long calibration standards, as is the case here, a problem can appear when the standard is an integer multiple of and of (2) go to one-half wavelength long. In this case, zero and the impedance of the line is unavailable, even though the deembedding is still valid. This is not a problem for the line described here because it is lossy and, thus, the electrical length is always complex and never equal to a pure real 180 . The calibration standards used for Fig. 5 were modified to artificially include a series inductance. This was done by narrowing each port 1 and port 2 linewidth to 4- m wide for a distance of 10 m. The series inductive reactance is 20 at 100 GHz. The extended SOC calibration is repeated with the results different from the pure capacitive ports on average by 0.1 , peak difference is 0.4 at 80 GHz.

RAUTIO AND OKHMATOVSKI: UNIFICATION OF DOUBLE-DELAY AND SOC EM DEEMBEDDING

Fig. 6. When the L-length standard is a multiple of a half-wavelength long, there is difficulty deembedding both shunt capacitive (shielded) and series inductive (unshielded) port discontinuities.

The situation changes when there is low loss. We modify the geometry used for Fig. 5 by making the substrate lossless. Metal loss is retained. A full extended SOC is then used to determine characteristic impedance, as described above. Fig. 6 shows the SOC characteristic impedance result for both the pure shunt capacitive (shielded) ports and the series inductive (unshielded) ports. The sharp drops in the inductive port characteristic impedances occur when the -length line is a multiple of a half-wavelength long. This makes sense when we realize that, at these frequencies, such a line is itself a small series inductance. The extended SOC cannot determine which of the three series inductors (two ports and one transmission line) is desired. The problem becomes worse at higher frequencies as the series port reactance increases. For this reason, if SOC is used to deembed ports with series inductance, the -length line should be kept at approximately a quarter-wavelength long for best accuracy. If this precaution is exercised, the extended SOC may also be used to deembed local grounds [19] in an unshielded environment. Failure modes for both SOC and double delay are also detailed in [19], as well as [1], [5], and [6]. A small discontinuity is seen at the half-wave points for the capacitive port discontinuity result as well, which is due to and in (2) becoming small. Since both ports are pure shunt capacitances, there is less difficulty in determining the small series inductance of the half-wave-long line. We also point out that there is no need for SOC deembedding or the local ground deembedding in [19] to be restricted to ports and connecting lines in a horizontal plane. The port connecting -length lines may also be vertical (as in vias). This would be convenient when deembedding ports intended for connection to high-density integrated circuits, such as ball-grid arrays. IX. CONCLUSION This paper has described the unification of the double-delay and SOC deembedding algorithms useful for deembedding EM analysis results. Both algorithms are shown to be special cases of an extended SOC algorithm. The original SOC requires only a -length standard with a third port in the center. It removes the port discontinuity and

2897

an length of line from the DUT. In its extended version, the SOC requires an additional analysis of an -length line. With this additional information, it can deembed just the port and determine the characteristic impedance and electrical length of the deembedding standard. If the port discontinuity is specialized to a pure shunt admittance, as is the case with shielded analysis, then only the three-length SOC standard need be evaluated. The doubleport delay standards may be determined from this, allowing evaluation of the characteristic impedance and allowing placement of the reference plane at the port or at a distance toward the DUT from the port. When both electric wall and magnetic wall half-space analysis is available, the short and open -length standards are calculated directly, resulting in a 4.5 times faster evaluation of the calibration standards. Unshielded analysis requires an additional analysis of an -length through in open space if characteristic impedance, zero reference plane shift, or the naked port discontinuity are desired. Applied to a shielded environment, the extended SOC provides a self-diagnostic check for deembedding failure, which is critical to assure that analysis failure is detected prior to fabrication. This check is not currently available in an unshielded environment and is highly recommended as an area of future research. Finally, the TEM equivalent characteristic impedance, which results from the extended SOC, is shown to correspond to a causal (i.e., physical) system. The TEM equivalent characteristic impedance is the only characteristic impedance that is consistent with the current–voltage relations at the terminals of the deembedding standards.

REFERENCES [1] J. C. Rautio, “A de-embedding algorithm for electromagnetics,” Int. J. Microwave Millimeter-Wave Computer-Aided Eng., vol. 1, no. 3, pp. 282–287, Jul. 1991. [2] L. Zhu and K. Wu, “Unified equivalent-circuit model of planar discontinuities suitable for field theory-based CAD and optimization of M(H)MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1589–1602, Sep. 1999. [3] M. Farina and T. Rozzi, “A short-open de-embedding technique for method of moments based electromagnetic analyses,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 624–628, Apr. 2001. [4] V. I. Okhmatovski, J. Morsey, and A. C. Cangellaris, “On deembedding of port discontinuities in full-wave CAD models of multiport circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2355–2365, Dec. 2003. [5] J. C. Rautio, “Comments on ‘On deembedding of port discontinuities in full-wave CAD models of multiport circuits’,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 10, pp. 2448–2449, Oct. 2004. [6] V. I. Okhmatovski, J. D. Morsey, and A. C. Cangellaris, “Authors’ reply,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 10, pp. 2449–2450, Oct. 2004. [7] J. C. Rautio, “A time–harmonic electromagnetic analysis of shielded microstrip circuits,” Ph.D. dissertation, Dept. Elect. Eng., Syracuse Univ., Syracuse, NY, 1986. [8] J. C. Rautio and R. F. Harrington, “An electromagnetic time–harmonic analysis of shielded microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 8, pp. 726–730, Aug. 1987. [9] L. Zhu and K. Wu, “Network equivalence of port discontinuity related to the source plane in a deterministic 3-D method of moments,” IEEE Microw. Guided Wave Lett., vol. 8, no. 3, pp. 130–132, Mar. 1998. [10] J. C. Rautio, “A new definition of characteristic impedance,” in IEEE MTT-S Int. Microwave Symp. Dig., 1991, pp. 761–764.

2898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[11] F. Arndt and G. U. Paul, “The reflection definition of the characteristic impedance of microstrips,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 8, pp. 724–731, Aug. 1979. [12] W. J. Getsinger, “Measurement and modeling of the apparent characteristic impedance of microstrip,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 8, pp. 624–632, Aug. 1983. [13] R. H. Jansen and N. H. Koster, “New aspects concerning the definition of microstrip characteristic impedance as a function of frequency,” in IEEE MTT-S Int. Microwave Symp. Dig., 1982, pp. 305–307. [14] L. Zhu and K. Wu, “Revisiting characteristic impedance and its definition of microstrip line with a self-calibrated 3-D MoM scheme,” IEEE Microw. Guided Wave Lett., vol. 4, no. 2, pp. 87–89, Feb. 1998. [15] J. Rautio, “Comments on ‘Revisiting characteristic impedance and its definition of microstrip line with a self-calibration 3-D MoM scheme’,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 115–117, Jan. 1999. [16] L. Zhu and K. Wu, “Authors’ reply,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 117–119, Jan. 1999. [17] D. F. Williams, B. K. Alpert, U. Arz, D. K. Walker, and H. Grabinski, “Causal characteristic impedance of planar transmission lines,” IEEE Trans. Adv. Packag., vol. 26, no. 2, pp. 165–171, May 2003. [18] J. C. Rautio and V. Demir, “Microstrip conductor loss models for electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 915–921, Mar. 2003. [19] J. C. Rautio, “De-embedding the effect of a local ground plane in electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 770–776, Feb. 2005.

James C. Rautio (S’77–M’78–SM’91–F’00) received the B.S.E.E. degree from Cornell University, Ithaca, NY, in 1978, the M.S. degree in systems engineering from the University of Pennsylvania, Philadelphia, in 1982, and the Ph.D. degree in electrical engineering from Syracuse University, Syracuse, NY, in 1986. From 1978 to 1986, he was with General Electric, initially with the Valley Forge Space Division, then with the Syracuse Electronics Laboratory. During this time, he developed microwave design and measurement software and designed microwave circuits on alumina and on GaAs. From 1986 to 1988, he was a Visiting Professor with Syracuse University and Cornell University. In 1988, he joined Sonnet Software, Liverpool, NY, full time, a company he had founded in 1983. In 1995, Sonnet Software was listed on the Inc. 500 list of the fastest growing privately held U.S. companies, the first microwave software company ever to be so listed. Today, Sonnet Software is the leading vendor of three-dimensional planar high-frequency EM analysis software. Dr. Rautio was the recipient of the 2001 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Application Award. He was appointed an IEEE MTT-S Distinguished Microwave Lecturer for 2005–2007 lecturing on the life of James Clerk Maxwell.

Vladimir I. Okhmatovski (M’99) was born in Moscow, Russia, in 1974. He received the M.S. (with distinction) and Candidate of Science (Ph.D.) degrees from the Moscow Power Engineering Institute, Russia, in 1996 and 1997, respectively. In 1997, he joined the Radio Engineering Department, Moscow Power Engineering Institute, as an Assistant Professor. From 1998 to 1999, he was a Post-Doctoral Research Associate with the National Technical University of Athens. From 1999 to 2003, he was a Post-Doctoral Research Associate with the University of Illinois at Urbana-Champaign. From 2003 to 2004, he was with the Department of Custom Integrated Circuits Advanced Research and Development, Cadence Design Systems Inc. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada. He has authored and coauthored over 30 papers in professional journals and conference proceedings. His research interests are the fast algorithms of computational electromagnetics and modeling of high-speed digital circuits and mixed-signal components. Dr. Okhmatovski was the recipient of the Governmental and Presidential Scholarships of the Russian Federation in 1995 and 1996, respectively, and a 1997-2000 Scholarship of the Russian Academy of Science. In 1996, he was the recipient of the Best Young Scientist Report Award presented at the VI International Conference on Mathematical Methods in Electromagnetic Theory (MMET’96). He was also the recipient of the Outstanding Technical Paper Award at the Third Electronics Packaging Technology Conference (EPTC 2000).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2899

X -Band Two-Stage High-Efficiency Switched-Mode Power Amplifiers

Srdjan Pajic´, Student Member, IEEE, Narisi Wang, Student Member, IEEE, Paul M. Watson, Member, IEEE, Tony K. Quach, Member, IEEE, and Zoya Popovic´, Fellow, IEEE

Abstract—This paper presents efficiency optimization of -band two-stage microwave power amplifiers (PAs) in which the output stage is designed to operate in class-E mode. A hybrid PA which uses the same MESFET devices in both stages achieves 16 dB of saturated gain with an output power of 20 dBm and total power added efficiency (PAE) of 52% at 10 GHz. A broadband monolithic two-stage double heterojunction bipolar transistor PA, fabricated by Northrop Grumman Space Technology, with a class-AB first stage and class-E second stage achieves 24.6 dBm of output power with 24.6-dB gain and total PAE of 52% at 8 GHz. The design is performed starting from class-E theory and using load–pull measurements and/or nonlinear simulations. Index Terms—Class E, load–pull, power amplifiers, two stage.

Fig. 1. Directly coupled two-stage switched-mode PA. Interstage and output matching networks provide fundamental and harmonic frequency terminations for the first and second stage, respectively. Biasing is provided using high-impedance bias lines and series dc blocking capacitors C .

I. INTRODUCTION

S

WITCHED-MODE power amplifiers (PAs) exhibit inherently low gain compared to other classes of operation, due to deep compression required for active device switching [1], [2]. In order to improve the power gain, high-efficiency stages can be cascaded. The goal of this paper is to examine tradeoffs in high-efficiency PA design when the efficiencies, gains, biases, and output powers of both stages are design parameters. The analysis, design, and characterization of two dual-stage class-E PAs is presented as follows. • Section II discusses effects of driver-stage efficiency on overall two-stage PA performance. By defining the reduction of PAE due to the addition of the driver stage, it is found that the overall PAE can be equal or even greater than the second stage PA, provided that a high-efficiency driver stage is used. • A hybrid two-stage class-E PA based on identical GaAs MESFET driver and power stage active devices is presented in Section III. Bias control is used to ensure class-E operation for different output powers using the same active device. • Section IV discusses a monolithic two-stage class-E PA implemented in InP DHBT technology, fabricated by Northrop Grumman Space Technology (NGST). In

Manuscript received November 2, 2004; revised March 21, 2005. This work was supported by Wright-Patterson Air Force Base, by Wyle Laboratories under Grant PO 19035.0D.31-369S, and by the Defense Advanced Research Projects Agency Intelligent RF Front Ends Program under Grant N00014-02-1-0501. S. Pajic´, N. Wang, and Z. Popovic´ are with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO 803090425 USA (e-mail: [email protected]). P. M. Watson and T. K. Quach are with the Sensors Directorate, Air Force Research Laboratory, Wright-Patterson Air Force Base, WPAFB, OH 45433 USA. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854239



this amplifier a smaller periphery device is used for the class-AB driver stage. Section V summarizes the properties of the PAs from Sections III and IV. The hybrid amplifier using the same device in both stages demonstrates comparable efficiency to the monolithic PA. II. TWO-STAGE PERFORMANCE ANALYSIS

Adding multiple amplifier stages to increase gain results in decreased efficiency. To quantify the tradeoff between gain and (Fig. 1) efficiency in a two-stage amplifier, drain efficiency can be expressed in terms of the drain efficiencies and gains of , and the individual stages (1) The assumption used to derive (1) is that the two stages are perfectly isolated, so that their individual characteristics are maintained. Assuming that the second stage already operates in a high-efficiency mode (class E, for example), it is interesting to examine the overall drain efficiency as a function of the mode of operation of the driver stage. Fig. 2 shows the two-stage dependence on the input stage drain efficiency. The parameter in the plots is the second-stage gain . For an -band class-E PA in the second stage, e.g., [3], with a saturated power gain %, an increase of driver-stage efficiency of 8 dB and from 20% (Class A) to 70% (class E) results in the following: • increase in overall from 45% to 61%; • 25% decrease in dc power consumption; • 35% increase in battery lifetime (assuming constant battery characteristics over time); • 48% reduction in power dissipated to heat in the active device;

0018-9480/$20.00 © 2005 IEEE

2900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 2. Two-stage drain efficiency versus input stage drain efficiency. The second-stage drain efficiency is fixed to 70% (solid lines) and 60% (dashed . The vertical lines indicate lines). The parameter is the second-stage gain approximate limits for PA efficiency in different classes of operation at microwave frequency.

G



decrease in overall gain by the amount of gain compression of the first stage. However, as the second-stage gain reaches higher values (above 12 dB), changing the mode of operation of the first stage from low efficiency (e.g., class A) to high efficiency (e.g., switched mode) results in a minor efficiency improvement (less than 8%). Since this is always followed by considerable decrease in the first-stage gain due to compression, one may decide not to sacrify a few decibels of gain for a few-percent efficiency improvement. Switched-mode PAs are highly nonlinear as compared to A/AB classes. A comprehensive study of class-E linearity is given in [4]. Two-stage drain efficiency is independent of first-stage gain. On the other hand, the two-stage PAE is a function of drain efficiency and gain of both stages (2) Since the increase in the first-stage drain efficiency affects the gain of the first stage, it is more convenient to analyze PAE by defining %

%

(3)

as the reduction of PAE due to the addition of a driver stage. Combining (2) and (3), a relationship between required driverstage PAE and the reduction of the output-stage PAE can be expressed as [5] (4) where , and are the efficiency and gain values of the driver and output stages, respectively. Fig. 3 is a graphical representation of (4) for

1

G

PAE

equal to 8, 11, 14, and 17 dB. is 55% Fig. 3. PAE versus PAE for in both cases and is either 7 dB (upper curve set) or 11 dB (lower curve set). The horizontal lines indicate approximate limits for microwave PA efficiency of different classes of operation.

G

two cases: a higher gain high-efficiency second stage % dB), and a lower gain high-ef( % dB). The ficiency second stage ( parameter is the gain of the first stage ( , and dB), with typical gain values for different classes of operation of microwave active devices, from deeply saturated class E to linear class A, respectively. To maintain the PAE of the two-stage amplifier very close to the second-stage PAE %) the PAE of the first stage has to be above (e.g., 36%. This can be easily achieved if the first stage operates in AB class, resulting in minimal gain reduction. However, if the second stage has smaller gain, but high efficiency, in order to maintain PAE reduction at the same value (less than 2%) the efficiency of the first stage has to be around 50%. This can be achieved operating the first stage PA in deeper AB or B class of operation. The PAE plot in Fig. 3 reveals another property of two-stage amplifiers: the overall PAE can actually be equal or even greater than the second stage PAE. For example, for a low-gain highdB, % efficiency second stage ( %), if the PAE of the first stage is the same as the second stage PAE, the overall PAE will remain the same. are presented. In this paper, two PAs that optimize • The first is a hybrid PA with identical GaAs MESFET active devices in both stages. A highly efficient second stage is designed to operate in switched mode (class E). The driver stage is designed using the same device in class E but at a lower bias. • The second is a monolithic PA with InP DHBT active devices with different peripheries for driver and output stages. The second stage operates in an alternative class E, achieving large bandwidth [6], while the first stage is designed to operate in AB class, maximizing the gain with minimal decrease in overall PAE. Common practice for cascading amplifier stages includes the following:

´ et al.: PAJIC

-BAND TWO-STAGE HIGH-EFFICIENCY SWITCHED-MODE PAs

2901

Fig. 4. Measured 10-GHz source (left) and load–pull (right) contours of constant P (solid) and gain or  (dashed). The active device is terminated with an and the maximal  are marked “open” at 20 GHz. The experimentally determined optimal bias point is V = 1:55 V and V = 4:2 V. The maximal P by “x” and “+,” respectively. Selected optimal source and load impedances (circles) are Z = (7:7 + j 12) and Z = (27:2 + j 25:8) . The calculated optimal impedance for class-E mode Z at 10 GHz is shown.

0



cascading two balanced amplifiers, which provides isolation between separately designed stages due to the matching provided by directional couplers; • inserting a nonreciprocal element (isolator) between stages; • direct connection of the driver and output stages with an interstage matching network. The latter approach is followed in this paper, as it eliminates the loss due to couplers/isolator, reduces the required real estate, and allows a monolithically integrated circuit. III. HYBRID TWO-STAGE HIGH-EFFICIENCY PA DESIGN It is clear from the analysis in Figs. 2 and 3 that the efficiency of the second stage should be maximized. Class-E operation is chosen in this work since it requires slower devices than other switched modes and it is relatively insensitive to parameter variations [7], [8]. A. Class-E Output Stage Class-E theory applied to microwave amplifier design is presented in [1] and [9], assuming the active device is behaving as an ideal switch. For the microwave class-E amplifier design, a general-purpose GaAs MESFET (AFM04P2) manufactured by Skyworks Solutions, Inc., Woburn, MA, is chosen. It has a gate length of 0.25 m, with total gate periphery of 400 m and of 30 GHz. According to the device specifications, the an MESFET is able to deliver around 21 dBm of output power at 18 GHz, with a gain of 9 dB, while operating in class-A mode. Using given -parameters of the active device, a small-signal model is derived and the output capacitance is estimated to be pF. From the design formula given in [1] (5) and known output capacitance, the optimal class-E impedance is calculated at 10 GHz: . This assumes ideal

Fig. 5. (a) Output stage class-E PA. (b) Hybrid two-stage class-E PA after interstage matching network tuning. Both PAs are fabricated on Rogers TMM6 substrate ( = 6; h = 0:635 mm, t = 35 m).

(“open”) termination of the active device output at all higher harmonics. The operation of a realistic active device deviates from the ideal case, mainly due to the finite switching time, finite ON and OFF resistances, and presence of numerous parasitics in the active device output (transistor pad inductances and capacitances, mounting area parasitics, bond wire inductances, etc.). The available (TOM2) nonlinear model for the MESFET does not adequately model switched mode of operation, therefore, a harmonically terminated load–pull characterization was performed in the neighborhood of the theoretically determined load impedance. For the selected device, it is empirically determined [9] that it is sufficient to terminate the second harmonic frequency for approximate class-E operation. In the load– and source–pull measurements the reference plane is set to include packaging parasitics due to bonding and mounting. The resulting load and source-pull contours at 10 GHz are shown in Fig. 4. Based on impedances in Fig. 4, a class-E output stage PA, Fig. 5(a), was designed on Rogers mm, m). No TMM6 substrate ( additional post-production tuning was necessary. Measured power sweep characteristics of the connectorized PA are shown in Fig. 6. The input return loss for an input power of 13 dBm is measured to be 16 dB.

2902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

of the switch voltage has to be equal to the dc drain supply voltage (7) Due to the perfect harmonic termination in ideal class-E mode, the power delivered to the output matching network is (8) where

is the real part of the optimal class-E load impedance and is the magnitude of the output current . Substituting from (7) into (8), the power delivered to the matching network is found to be (9) Fig. 6. Measured power sweep characteristics of the output stage class-E PA at 10 GHz for a bias point V = 1:55 V and V = 4:2 V.

0

Fig. 7. Equivalent class-E output circuit, assuming the transistor is an ideal switch with a shunt output capacitance. The ideal RF choke provides a constant and bias voltage V for the transistor. The output matching bias current I network transforms the load resistance R into the optimal impedance Z required for class-E mode of operation. It also provides ideal harmonic terminations resulting in purely sinusoidal load current.

B. High-Efficiency Driver Stage Fig. 2 shows the efficiency tradeoffs in drive-stage operating mode choice. For this hybrid two-stage PA, a class-E driver stage is chosen, using the same GaAs MESFET as the output stage. However, a decrease in input power will cause a significant drop in the amplifier efficiency. This is a common problem for all high-efficiency classes of operation. Nevertheless, it can be shown that the bias point can be adjusted to maintain class-E operation at lower output power levels. Consider the output circuit of a typical ideal class-E amplifier, shown in Fig. 7. From the derivation presented in [1] the open-switch voltage is found as the time integral of the current through the output capacitor, under the assumption of soft turn-on and 50% current/voltage duty cycles (6) is the average drain curwhere is the angular switching frequency. If the dc supply rent, and voltage is provided through an ideal RF choke, the average value

If the output matching network is lossless, the output power is equal to the power delivered to the resistive load . Therefore, the voltage across the load is proportional to . As a result, the output power of a class-E PA can be varied by varying the bias with the following properties. • The power can theoretically range between zero and maximal available power. • For a realistic transistor, the drain bias should be kept above threshold to avoid significant power gain degradation [10], giving a lower limit to the power range; • The upper power range limit is given by the max V/I peak handling capability of the device, which also depends on the nonlinearity of the output capacitance [10]. • The optimal (ideal) efficiency is not affected when the bias is varied. Namely, the transistor voltage and current amplitudes change with bias voltage, but not their shape in time domain. Since the waveform shape is responsible for the high efficiency in class-E mode, the efficiency remains the same. • For the same reason, the optimal class-E load impedance remains the same. The lower power limitation is a practical constraint that can be avoided by using a smaller periphery device for the driver stage amplifier, which was not commercially available for the MESFET used in this work. However, this method is used in the monolithic PA presented in the next section, while the biascontrolled power method is used for the hybrid PA. In order to select an optimal bias point an automatic bias/power sweep measurement is performed. The required output power of the driver stage is between 12–13 dBm. The , and contours for dBm are constant is not shown in Fig. 8. This approach assumes that the component of a function of bias voltage. Although the varies with drain bias [11] these variations are small in the range of voltages chosen for the measurements in Fig. 8, and efficiency remains high even for low drain bias voltages. C. Two-Stage Switched-Mode Amplifier The block diagram of the directly coupled two-stage amplifier is shown in Fig. 1 and the fabricated two-stage hybrid amplifier is shown in Fig. 5(b). The interstage matching network transforms the input impedance of the output stage into the optimal

´ et al.: PAJIC

-BAND TWO-STAGE HIGH-EFFICIENCY SWITCHED-MODE PAs

2903

Fig. 8. Bias/power sweep contours of the designed class-E PA for input power (solid) and  of 5 dBm at 10 GHz. Shown are contours of constant P (dashed). Gain contours are omitted from the plot for the clarity and can be and P . As a result of a compromise between these inferred from the P three parameters the bias point for the driver stage is selected (arrows): V = 1:3 V and V = 1:8 V, resulting in expected G 7:5 dB, P 60%. 12:5 dBm and 

0





Fig. 10. PA.

Measured second and third harmonic power sweeps of the two-stage



Fig. 11. Frequency sweep of the two-stage amplifier characteristics. The P is adjusted to maintain the maximal PAE at each frequency point. Fig. 9. Measured power sweep of the two-stage switched-mode PA at 10 GHz. = 1:3 V and V = 1:8 V while The bias point for the first stage is V = 1:55 V and V = 4:2 V. the second stage is biased at V

0

0

class-E impedance for the first stage, and provides the second harmonic termination. For the initial interstage matching netis used. work design, the complex conjugate of Results of power-sweep characterization of the optimized two-stage PA are shown in Fig. 9. The data are measured for connectorized amplifier. During the optimization process, the fundamental frequency load impedance of the first stage is slightly changed from the initial class-E value. Therefore, the first stage operates in an alternative class-E mode, or perhaps in deeply saturated AB class, with an “open” termination at the second harmonic frequency. The two-stage amplifier has excellent input return loss of 18 dBc at the nominal input power level of 4 dBm, and second and third harmonic levels of 41 and 25 dBc, respectively (Fig. 10). High suppression of the second harmonic in the output signal is a result of the harmonic traps applied in both amplifier stages. The intermodulation

TABLE I MEASURED HYBRID TWO-STAGE CLASS-E AMPLIFIER PERFORMANCES

products are measured with a two-tone test signal at 10 GHz with 100-kHz frequency spacing. As expected, the class-E PA is nonlinear with third-, fifth-, and seventh-order products of 11, 19.7, and 32 dBc, respectively. The frequency sweep of amplifier parameters for maximum PAE is shown in Fig. 11. Table I summarizes measured performance of the two-stage PA. The performance comparison of the two stages when characterized separately is given in Table II. The output power of the first stage is estimated. From the given data it can be concluded that the main amplifier parameters of both stages are preserved after direct connection.

2904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE II SEPARATELY MEASURED FIRST- AND SECOND-STAGE PERFORMANCES COMPARED TO INTEGRATED HYBRID TWO-STAGE PA PERFORMANCES

Fig. 12.

Monolithic InP DHBT class-E output stage.

IV. MONOLITHIC BROADBAND TWO-STAGE PA DESIGN A. Active Device The device technology utilized for the MMIC class-E amplifier has been detailed in [12] and [13]. Briefly, the device is based on NGST indium-phosphide double heterojunction bipolar transistor (InP DHBT) technology and possesses many essential characteristics for high-efficiency amplifier operation, including low offset voltage, low knee voltage, high breakdown voltage, and high gain. Class-E operating characteristics at -band of a typical 1.5 m 30 m 4 emitter finger unit cell are detailed in [6] and [12]. Of primary importance is the and high gain capability of the device, possessing values of 80 and 150 GHz, respectively. The device is capable of 16-dB linear gain at 20 GHz. Higher gain translates to reduced input signal drive requirements in order to obtain switching behavior from the active device, as is required for class-E operation. A modified Gummel–Poon model was used to simulate the large-signal performance of the DHBT device. Model parameters were fit to measured dc and -parameter data across various bias conditions. Device model verification, under class-E operating conditions, is presented in [12]. The model appears to predict large-signal performance with reasonable accuracy. B. Two-Stage Amplifier Design For many pulsed radar applications, prime power is limited, leading to a need for highly efficient transmitter amplifiers. However, amplitude flatness is also required in order to keep

Measured power characteristics of the class-E output stage at V = = 4 V. (a) Frequency sweep at P = 13 dBm. (b) Input power sweep at 8 GHz.

Fig. 13.

0:55 V and V

range side lobes below an acceptable level. For this investigation, a two-stage MMIC class-E amplifier was designed to provide 24 0.5 dBm ( 250 mW) output power from 8 to 10 GHz, while maintaining high PAE. In order to maintain the required amplitude flatness, maximum PAE cannot be maintained over the entire bandwidth as compared to narrow-band amplifier performance. The class-E output stage consists of two 1.5 m 30 m 4 finger devices combined in parallel, resulting in a total emitter area of 360 m . The devices are combined reactively, taking care to provide odd-mode instability suppression resistors

´ et al.: PAJIC

-BAND TWO-STAGE HIGH-EFFICIENCY SWITCHED-MODE PAs

2905

Fig. 14. Monolithic high-efficiency InP DHBT two-stage PA.

between the base and collector of each transistor. Based upon the device model and estimates of device output resistance and capacitance ( pF), an output matching network provides adequate high-impedance terminations over the range of second harmonic values [6], while simultaneously providing the required fundamental matching over the frequency band of interest. A single-stage amplifier is designed to test the output stage performance by providing input matching to maximize gain. A photograph of the designed single-stage class-E monolithic PA is shown in Fig. 12. Measured power results for the output stage matched to 50 are shown in Fig. 13. Greater than 43% PAE and 10-dB gain are maintained over a 7.5–10-GHz bandwidth. A maximum PAE of 55% with a corresponding 11.7 dB of gain was achieved at 8 GHz. Based on the analysis given in Section II, in order to maintain a high overall gain, a class-AB mode of operation is deemed appropriate for the driver stage. The active component for the driver stage was chosen to be 1.5 m 30 m 2 finger device with a total emitter area of 90 m , providing a 4 : 1 ratio in emitter area between the driver stage and output stage. With this ratio, a PAE above 40% for the driver stage is maintained, while simultaneously providing adequate power to push the output stage deep into compression as required for switched-mode operation. The driver and output stages are combined utilizing appropriate impedance transforming networks. The main function of the interstage matching network is to transform the input impedance of the output stage to the required output impedance of the driver stage. The input of the driver stage is matched for maximum gain. Bias for both stages is provided on chip. Nonlinear modeling was utilized extensively to obtain the required output power flatness, while maintaining high PAE, over the frequency range of interest. A photograph of the two-stage class-E monolithic PA is shown in Fig. 14. Measured power results are shown in Fig. 15. Greater than 40% PAE is maintained over a 7.7–10.5-GHz bandwidth with a maximum of 52% at 8 GHz. The gain of the two-stage amplifier ranges from 24.6 to 23.7 dB over an 8–10-GHz bandwidth.

Fig. 15. Measured power characteristics of the two-stage class-E MMIC = 0:62 V, V = 4 V, V = 0:55 V, and power amplifier at V = 4 V. (a) Frequency sweep at P = 0 dBm. (b) Input power sweep V at 8 GHz.

Comparing the single-stage to the two-stage amplifier an average reduction in PAE of only 3% over 8–10 GHz is observed, with a maximum reduction of 5% at 8.5 GHz. However, over the same bandwidth, the average gain improves from 11.1 dB, for the single-stage amplifier, to 24.2 dB for the two-stage amplifier. Characteristics of single-stage and two-stage monolithic PAs at 8.5 GHz are compared in Table III.

V. DISCUSSION This paper has presented the design of two-stage directly cascaded high-efficiency -band PAs. The following two methods are employed to maintain high efficiency while optimizing gain: 1) using the same device for both stages of a hybrid MESFET class-E PA with backed-off first-stage bias;

2906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE III COMPARED MONOLITHIC OUTPUT STAGE CLASS-E PA AND MONOLITHIC TWO-STAGE CLASS-E PA PERFORMANCES AT 8 GHz

TABLE IV COMPARED HYBRID/MMIC TWO-STAGE CLASS-E PA PERFORMANCES

2) using a smaller periphery class-AB driver PA with a class-E larger-periphery device for the second stage in a monolithic high-efficiency PA fabricated by NGST. Table IV shows a comparison of measured results for the two amplifiers. 1) Both PAs demonstrate around 52% PAE and well-preserved individual-stage characteristics. Note that the the measurements of the hybrid PA include connector loss, while the monolithic PA was measured using a probe station. 2) The compressed gain of the monolithic PA is higher due to the higher linear gain of the HBT devices compared to the MESFET gain. 3) Due to the larger , InP DHBTs are well suited for this mode of operation. 4) The monolithic PA is designed using harmonic balance % with minimal gain simulations to have a and power variations over 31% bandwidth. In contrast, the hybrid PA is designed using basic theory augmented by load–pull at a single frequency. Although not designed to be broadband, it exhibits a 15% bandwidth for PAE 40%. The general conclusions that can be drawn as a result of this work, including some recommendations for two-stage efficiency-optimized PA design, are as follows. 1) Although the driver stage consumes less power than the output stage, it is important to optimize its efficiency, as it directly determines the total PAE. 2) The class of operation of the driver stage should be determined by the gain requirement: for higher gain, class AB will give optimal overall efficiency performance, while for highest overall efficiency, class E is recommended. 3) If different periphery devices are not available, it is possible to achieve very high total efficiency by bias adjustment of the driver stage, due to the unique properties of the class-E mode of operation. 4) Efficiency is optimized when the two amplifier stages are directly cascaded with an interstage network. The design of this network is not straightforward due to the bilateral character of both stages. 5) Hybrid and monolithic versions with different device types (e.g., MESFET and HBT in this study) can give

comparable efficiency results if all parasitics in the hybrid design are modeled appropriately. 6) The efficiency-optimized two-stage PA is nonlinear. Wellknown linearization techniques, such as envelope elimination and restoration (EER) [4], can be modified to apply to two stages. In summary, this paper experimentally demonstrated at -band that the total efficiency of a two-stage PA can approach that of a high-efficiency output stage, both for hybrid and monolithic amplifiers. Such amplifiers are good candidates for active antenna array transmit modules in radar, as well as communication transmitters in which the signals have constant envelope. By adding dynamic bias control [4], these amplifiers can also be used for signals with varying envelopes. ACKNOWLEDGMENT The authors are grateful to A. Gutierrez, D. Sawdai, E. Kaneshiro, W. Lee, and A. Oki, all of Northrop Grumman Space Technology, Redondo Beach, CA, for monolithic PA fabrication and many useful discussions. REFERENCES [1] T. B. Mader, E. W. Bryerton, M. Markovic´ , M. Forman, and Z. Popovic´ , “Switched-mode high-efficiency microwave power amplifiers in a freespace power-combiner array,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1391–1389, Oct. 1998. [2] F. H. Raab, P. Azbeck, S. Cripps, P. B. Kenington, Z. B. Popovic´ , N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [3] S. Pajic´ and Z. Popovic´ , “An efficient -band 16-element spatial combiner of switched-mode power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1863–1870, Jul. 2003. [4] N. Wang, X. Peng, V. Youzefzadeh, D. Maksimovic´ , S. Pajic´ , and Z. Popovic´ , “Linearity of -band class-E power amplifiers in EER operation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1096–1102, Mar. 2005. [5] H. Patterson, “Cascaded efficiency of power amplifiers,” Appl. Microwave Wireless, pp. 68–72, May 2002. [6] P. Watson, R. Neidhard, L. Kehias, R. Welch, T. Quach, R. Worley, M. Pacer, R. Pappaterra, R. Schweller, and T. Jenkins, “Ultra-high efficiency operation based on an alternative class-E mode,” in Proc. IEEE GaAs IC Symp., Nov. 2000, pp. 53–56. [7] N. O. Sokal and A. D. Sokal, “Class-E—A new class of high efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SSC-10, no. 3, pp. 168–176, Jun. 1975. [8] F. Raab, “Effects of circuit variations on the class-E tuned power amplifier,” IEEE J. Solid-State Circuits, vol. SSC-13, no. 2, pp. 239–247, Apr. 1978.

X

X

´ et al.: PAJIC

-BAND TWO-STAGE HIGH-EFFICIENCY SWITCHED-MODE PAs

[9] S. Pajic´ and Z. Popovic´ , “A 10-GHz high-efficiency active antenna subarray,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, 2002, pp. 1527–1530. [10] T. B. Mader, “Quasi-optical class-E power amplifiers,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. Colorado, Boulder, CO, 1995. [11] J. M. Golio, Microwave MESFET’s and HEMTs. Norwood, MA: Artech House, 1991. [12] T. K. Quach, P. M. Watson, W. Okamura, E. N. Kaneshiro, A. GutierrezAitken, T. R. Block, J. W. Eldredge, T. J. Jenkins, L. T. Kehias, A. K. Oki, D. Sawdai, R. J. Welch, and R. D. Worley, “Ultrahigh-efficiency power amplifier for space radar applications,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1126–1134, Sep. 2002. [13] T. Quach, W. Okamura, A. Gutierrez-Aitken, T. Jenkins, E. Kaneshiro, L. Kehias, A. Oki, D. Sawdai, P. Watson, R. Welch, R. Worley, and H. C. -band power ampliYen, “Ultra-efficient -band and linear efficient fiers using indium phosphide double heterojunction bipolar transistors,” in Proc. Int. Conf. Indium Phosphide and Related Materials, May 2001, pp. 501–504. [14] F. H. Raab, “Idealized operation of the class-E tuned power amplifier,” IEEE Trans. Circuits Syst., vol. CAS-24, no. 12, pp. 725–735, Dec. 1977. [15] A. D. Artym, “Switching mode of high frequency power amplifiers” (in Russian), Radiotekhnika, vol. 24, pp. 59–64, Jun. 1969. [16] V. V. Gruzdev, “Calculation of circuit parameters of single-ended switching-mode” (in Russian), Trudy MEI, vol. 2, pp. 124–128, 1970. [17] I. A. Popov, “Switching mode of single-ended transistor power amplifier” (in Russian), Poluprovodnikovye pribory tekh. svyazi, vol. 5, pp. 15–35, 1970. [18] V. B. Kozyrev, “Single-ended switching-mode tuned power amplifier with filtering circuit” (in Russian), Poluprovodnikovye pribory tekh. svyazi, vol. 6, pp. 152–166, 1971. [19] A. Grebennikov, “Class E high efficiency power amplifiers: Historical aspects and future prospects,” Appl. Microwave Wireless, pp. 64–71, Jul. 2002. [20] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999, pp. 24–43. [21] F. H. Raab, “Suboptimum operation of class-E power amplifiers,” in Proc. RF Technology Expo’89, Santa Clara, CA, Feb. 1989, pp. 85–98. [22] C.-C. Ho, C.-W. Kuo, C.-C. Hsiao, and Y.-J. Chan, “A fully integrated class-E CMOS amplifier with a class-F driver stage,” in Proc. Radio Frequency Integrated Circuits (RFIC) Symp., Jun. 2003, pp. 211–214. [23] S. Hung-Lung Tu and C. Toumazou, “Highly efficient CMOS class-E power amplifier for wireless communications,” in Proc. 1998 IEEE Int. Symp. Circuits and Systems (ISCAS’98), vol. 3, May 31–Jun. 3 1998, pp. 530–533. [24] T. Sowlati, C.A.T. Salama, J. Sitch, G. Rabjohn, and D. Smith, “Low voltage, high efficiency GaAs class E power amplifiers for wireless transmitters,” IEEE J. Solid-State Circuits, vol. 30, no. 10, pp. 1074–1080, Oct. 1995. [25] G. Gonzales, Microwave Transistor Amplifiers Analysis and Design. Upper Saddle River, NJ: Prentice-Hall, 1997, pp. 212–293.

X

Ka

Srdjan Pajic´ (S’02) received the Dipl. Ing. degree from the University of Belgrade, Belgrade, Serbia and Montenegro, in 1995, and the Ph.D. degree in electrical and computer engineering from the University of Colorado, Boulder, in 2005. From 1995 to 2000, he was a Research and Design Engineer with IMTEL Microwaves, Belgrade, Serbia and Montenegro, where he was involved with the development of PAs for radio and TV broadcast systems. His research interests include high-efficiency microwave PAs for active antennas, linear PAs for wireless communications, and spatial power-combining techniques.

2907

Narisi Wang (S’00) received the B.S. degree in electrical engineering from the Beijing University of Posts and Telecoms, Beijing, China, in 1999, the M.S. degree in electrical engineering from Colorado State University, Fort Collins, in 2001, and is currently working toward the Ph.D. degree at the University of Colorado at Boulder. Her master’s research concerned mathematical modeling of coaxial probe crack detection. Her doctoral research is in dynamic biasing of high-efficiency microwave PAs.

Paul M. Watson (M’01) received the B.S. and M.S. degrees from the University of Utah, Salt Lake City, in 1991 and 1993, respectively, and the Ph.D. degree from the University of Colorado at Boulder, in 1998, all in electrical engineering. He is currently a Research Engineer with the Sensors Directorate, Air Force Research Laboratory, Wright-Patterson AFB, OH. His research interests include microwave/millimeter-wave PAs and low-noise amplifier techniques.

Tony K. Quach (M’98) received the B.S.E.E. degree from Wright State University, Dayton, OH, in 1988, and the M.S.E.E. degree from the University of Dayton, Dayton, OH, in 1994. Since 1989, he has been involved with the research and development of solid-state microwave devices and integrated circuits at the Sensors Directorate, Air Force Research Laboratory, Wright-Patterson AFB, OH. He has been involved in the development of ultrahigh-efficiency PAs and low-power-drain/robust low-noise amplifiers for space-based radar applications. He is currently the Principal Investigator of the RFIC project for the Air Force Research Laboratory, engaging in the demonstration of receiver-on-a-chip for future U.S. Department of Defense phase array systems. He has authored or coauthored over 50 publications. He holds 12 patents on microwave device fabrication.

Zoya Popovic´ (S’86–M’90–SM’99–F’02) received the Dipl. Ing. degree from the University of Belgrade, Belgrade, Serbia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, in 1990. She is currently a Full Professor of electrical and computer engineering at the University of Colorado, Boulder. Her research interests include microwave and millimeter-wave quasi-optical techniques, high-efficiency microwave circuits, intelligent RF front ends, smart antenna arrays, RF-optical techniques, and wireless powering of sensor arrays and implanted sensors. She has coauthored (with her father) Introductory Electromagnetics (Upper Saddle River, NJ: Prentice-Hall, 2000) for the junior-level core course for electrical and computer engineering students. Dr. Popovic´ was the recipient of the 1993 IEEE Microwave Prize presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best journal paper. She was the NSF Presidential Faculty Fellow in 1993, and received the 1996 URSI Issac Koga Gold Medal and the 2001 ASEE/HP Terman Award for combined teaching and research excellence. In 2000, she spent six months at the Technical University of Munich as a recipient of the Humboldt Research Award for Senior U.S. Scientists from the German Alexander von Humboldt Stiftung.

2908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Open Resonator Technique for Measuring Multilayered Dielectric Plates Anatoli N. Deleniv and Spartak Gevorgian, Senior Member, IEEE

Abstract—A generalized formulation of an open resonator technique including multilayer dielectric plates is proposed. It is used for experimental characterization of the permittivity and loss tangent of one of the layers. An experimental measurement system is developed and used to measure the dielectric properties of highpermittivity ferroelectric films, which demonstrates the utility of the approach. The loss tangent of the layer studied is obtained with the analytical formula derived, which speeds the data processing procedure. It is experimentally shown that the air gap between the sample and the plate mirror may significantly reduce the accuracy of the open resonator technique. It is also shown that the formulation developed here provides the means to deal with the problems associated with the air gap. Index Terms—Loss tangent, multilayered dielectric plates, open resonator.

I. INTRODUCTION

T

HE application of open resonators for the microwave characterization of dielectrics is a well-established technique [1]–[7]. However, it is mostly restricted to measurement of onelayer samples with relatively low dielectric constants. A formulation based on the Gaussian scalar beam theory has been developed for open resonator loaded with a two-layered sample [8]. The objective of this work is to study the potential of the open resonator technique for the measurement of high-permittivity materials embedded in multilayered dielectric plates. It is motivated specifically by the necessity to characterize ferroelectric layers without electrodes. Only a few electrodeless characterization techniques useful for single-layer ferroelectric plates have been reported so far [9], [10]. The accuracy of methods in which electrodes are used is usually hindered by interfacial layers (between the electrode and ferroelectric) of unknown parameters. The effect of the layers on the overall losses and permittivity may be significant. Thus, the accurate measurement of intrinsic material losses and permittivity is possible only if the properties of the interface are known. Thick ferroelectric films used in tunable microwave components are usually fabricated on dielectric (alumina or MgO) or metal substrates. The open resonator technique is relatively Manuscript received October 31, 2004. This work was supported in part by the European Commission funding the project “MELODY” under Framework 5 and in part by the Swedish Science Foundation. A. N. Deleniv is with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE 412 96 Göteborg, Sweden (e-mail: [email protected]). S. Gevorgian is with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE 412 96 Göteborg, Sweden and also with the Microwave and High Speed Research Center, Ericsson AB, SE 41280 Göteborg, Sweden (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854242

simple and does not require special shapes for test samples. Hence, these two-layered ferroelectric–dielectric structures may be measured directly, which is very convenient and provides quick feedback for the processing. Despite the apparent simplicity of the open resonator technique, a few problems arise when dealing with measurements of thick ferroelectric films. These problems are examined and discussed in detail throughout the paper. In Sections I–IV, the vector field theory of an open resonator [6] was extended to cover multilayered dielectric plates. First, the trial fields for all dielectric layers are defined and matched to first order accuracy. Then, a variational formula is used to obtain the accurate resonance frequency of the loaded open resonator. Whenever possible, cross-checks with available published formulas are given to ensure the validity of the results obtained. In Section V, the measurements of a two-layered sample, comprising fused quartz part of well-known parameters and an air layer below it, are given to verify the accuracy of the theory presented. The experimental study of the effect of the air gap on the accuracy of the open resonator technique is presented in Section VI. The measurement results for two-layered alumina-ferroelectric plates are given in Section VII. II. VARIATIONAL FORMULA FOR RESONANCE FREQUENCY The cross section of the loaded resonator to be analyzed is given in Fig. 1. Each layer is characterized by thickness and for . This resonator is anarefractive index lyzed using the variational formula for the resonance frequency [6], which takes into account the imperfect field matching at interfaces between all adjacent dielectric layers

(1) By splitting the volume of the resonator into partial volumes given by each layer and introducing surfaces between dielectric layers (1) can be rewritten in a more convenient form

(2) with

0018-9480/$20.00 © 2005 IEEE

(3)

DELENIV AND GEVORGIAN: OPEN RESONATOR TECHNIQUE FOR MEASURING MULTILAYERED DIELECTRIC PLATES

Fig. 1.

2909

Cross section of the open resonator loaded with a multilayered sample.

(4)

where axe, and

is the transverse distance from the beam

(5) (8) (6)

The surface integrals (4) and (5) represent the effect of the electric and magnetic currents introduced at all interfaces to support the trial fields chosen.

Here, and denote the coordinate of the beam waist and . The first-order matching its radius, respectively, and of the fields across the interfaces is done in two steps. The matching of the radial variation of amplitude and phase requires

III. TRIAL FIELDS The analysis starts with the first-order matching of the electric and magnetic fields at the interfaces delimiting the layers. First, a traveling beam wave incident on the dielectric stack is considered. In general, for the th layer the beam-wave form can be written, using the standard notations, as

(9) It can be shown that (9) is satisfied if

(10) (7)

which also results in

at all interfaces.

2910

To calculate equality

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

, which is related to scale radius is used

, the

Condition (15) is indeed satisfied with a proper value of given by recursive formula (16). To derive the eigenvalue equation, the fields are matched at the th interface

(11) is the upper mirror curvature radius. Due to the symwhere metry, the location of the beam waist of the first dielectric layer . Hence, the recursive formula for will clearly be at in (10) is initialized with . It is easy to show that, for a one-layered substrate, (10) correctly reproduces the formulas reported in [6, eq. (15)]. Similarly, for a two-layered substrate, (10) can be reduced [8, eqs. (5) and (6)]. and components Next, general expressions for the of trial fields for the dielectric layers are derived. Expressions layers, while (13.a) (12.a) and (12.b) hold true for the first layer, where and (13.b) are given for the

(16)

(17) with (18)

(12.a)

and

(12.b)

(19) Equations (17)–(19) together with (10) and (16) contain the required information to obtain the initial value of the resonance . frequency The energy stored in the resonator is represented by (6), which is simplified to the required accuracy [6]

(13.a) (20)

Omitting all intermediate algebra the final result is with (13.b) (14) used in (12.a) and (12.b) the expresWith the exception of sions for the field components reproduce those given by Yu is introduced to and Cullen [6]. An additional phase shift interfaces. match the field components across the first The matching is fulfilled at the th interface if

(15)

(21) Here,

is calculated using the recursive formula (22.a)

with

(22.b)

DELENIV AND GEVORGIAN: OPEN RESONATOR TECHNIQUE FOR MEASURING MULTILAYERED DIELECTRIC PLATES

initialized with . The latter follows from and the field scaling given by . It can be readily verified that (21) produces the expression, which is identical to the one given for a single layer case [6, eq. (36)]. The upper mirror surface correction (5) is available [6]: (23) The effect of imperfect field matching is given by expression (4), which is simplified to the following form [6]:

A. Conductivity Losses As a first approximation the quality factor of the empty open mode is represented as resonator for the (29) where and designate the skin depths of the lower and upper mirrors, respectively. The length of the open resonator mode is denoted by . A similar formula can be at derived for the loaded open resonator. First, an effective length , is of the open resonator loaded with a multilayered plate, introduced

(24) The integrals involved in (24) are defined analytically; they are given by (25.a) and (25.b), shown at the bottom of this page, and (22.b). A ready-for-use result related to the evaluation of (3) is taken from [6] (26) From (17), (21), (23), (25.a) and (25.b), and (26), one obtains the final expression for the resonance frequency of a loaded open resonator

2911

(30) is the efBy comparing (30) and (21), it can be seen that fective length that preserves the same amount of energy stored in an empty resonator as in the resonator loaded with the multilayered plate. Since the magnitude of the magnetic field at the times higher than one of the empty open lower mirror is resonator, the quality factor of the open resonator loaded with a multilayered plate is given by (31)

(27) is the initial estimate of the resonance frequency obHere, is an accurate value that accounts for tained with (17), and imperfect field matching at the dielectric interfaces (25.a) and (25.b) and at the upper mirror surface (23) of a loaded open resonator. IV. COMPUTATION OF THE LOSSES

, (31) It is readily verified that for the one-layer case and is reduced to (32), which is identical to the one given by Jones [4] (32)

B. Dielectric Losses

A generalized expression for the quality factor of the loaded open resonator can be written in the following form:

To estimate the dielectric losses, the general formula for the quality factor of the resonator with no metal losses is recalled

(28) (33) where is a quality factor associated with the finite conductivity of the lower and upper mirrors. The dielectric loss of the , whereas holds for coupling sample is represented by with external circuitry. The latter is not considered hereafter since it is very high [4].

where (34)

(25.a) with (25.b)

2912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Here, is the power loss in a multilayered dielectric plate. The normalized electric field energy for the th layer is (35) The nominator of (35) should be defined using only the second term within the brackets of (20), which represents the energy of the electric field. It can be shown that the accurate estimation of (35) is given by

(36) and in analytic form by (37), shown at the bottom of this page. It is noted that the integrand of (36) does not contain the phase . Since this defines the deviation of the beam factor phase front from the plane, there is only second-order error in (36) and (37). After some simple algebra, expression (33) is simplified to (38)

C. Extraction of Layer Losses Until this point, all information needed to find the quality factor of the loaded resonator including conductor and dielectric losses is available. However, in practice it is necessary to obtain the loss tangent for the specific layer with the measured quality factor of the loaded open resonator and the parameters of the other layers available. Let us rewrite (38) as (39) Each th term in (39) represents the partial contribution of the th dielectric layer to the quality factor of the loaded open resonator. Then, by inserting (39) in (28), a closed-form formula for the unknown loss tangent of the th layer is derived

Fig. 2. Schematic cross section of the open resonator with temporary circular holder.

solid block of brass. Two input and output waveguides are soldered in it. The coupling is provided by two holes of 1.75 mm drilled in the 0.5-mm-thick wall separating the waveguides from the open resonator. The diameter of the upper mirror 140 mm. The aperture is 200 mm with a curvature radius actual radius is defined more accurately, as discussed later. The lower plane mirror, 67.5 mm, is made of copper and moves inside a circular holder, 70 mm; the sample can be placed on its top. The distance between the sample and lower mirror is measured with an accuracy 0.5 m by using a digital micrometer attached to the lower mirror. It is important to note that the circular holder is a temporary arrangement used in this work only to verify the model of an open resonator loaded with a multilayered sample. All measurements described below are made using an HP 8510C vector network analyzer. First, the behavior of the empty resonator is examined and the measured properties are compared with those predicted by the theory. The identification of the proper mode is made in the following way. At a fixed frequency of measurement, the length of the for the dominant mode is calculated resonator solving the transcendental equation

(40)

(41)

The open resonator apparatus is schematically shown in Fig. 2. The upper hemispherical mirror is machined from a

In (41), a correction factor for the upper mirror is added [6]. It is can also used in the analysis of the loaded resonator. Here, be measured approximately to set the value of unambiguously. Assuming that the resonance obtained belongs to the

V. APPARATUS AND VERIFICATION OF MODELING

 = 1 1+ W 2

sin 2

n k

h

08

h

+

h

+9

0 sin 2 2n kh

n k

h

08

h

+

h

+9 (37)

DELENIV AND GEVORGIAN: OPEN RESONATOR TECHNIQUE FOR MEASURING MULTILAYERED DIELECTRIC PLATES

TABLE I MEASURED QUALITY FACTORS OF THE EMPTY OPEN RESONATOR WITH THE LOWER MIRRORS MADE OF COPPER AND BRASS (f = 35 GHz). THE SKIN DEPTHS ARE CALCULATED USING (29)

TABLE II MEASURED DIELECTRIC CONSTANT AND LOSS TANGENT FUSED QUARTZ (35 GHz)

2913

OF THE

“reference” mode, the mode resonance is searched by shifting the lower plane mirror upwards (42) resonance indicates that the wrong The absence of and, therefore, mode was identified as the “reference” the procedure is repeated again with another “reference” until mode is found. This is a quick and straightforthe ward way to identify the mode to be used. of the upper In the next step, the correct curvature radius mirror is defined. This is done using a procedure developed in mm for our set of experimentally [7], which yields obtained data. It is noted that the value obtained is very close to the expected 140 mm. The skin depths (conductivities) of the upper and lower mirrors are defined in the next step with the measured quality . Since the mirrors are made factor of the empty resonator, of different materials, it is not possible to uniquely define their skin depths unless one of them is known. To do so, we make additional measurements using a temporary lower mirror made of brass (i.e., the same material as the upper mirror). With these measurements the skin depth of brass is uniquely defined using (29). In the next step, the measurements with a permanent copper mirror are made, followed by a calculation of the skin depth of copper. The results of these measurements are summarized in Table I. The values of the measured skin depths seem to be high compared with those predicted from theoretical m and dc conductivities of copper and brass: m. This is explained by the increased surface resistivity caused by machining, e.g., surface roughness and defected microstructure. Next, the distance between the upper hemispherical mirror and the surface of the circular holder, , is measured, Fig. 2. resoThis is made in two steps. First, an arbitrary nance is identified so the length of the resonator and the reference level are established. Next, a metal bar is placed on top of the circular holder and the frequency is scanned to find any resonance. The lower flat mirror is then lifted up using the micrometer until it touches the metal bar. The contact is detected by changes in the resonance frequency. In this way the distance is accurately defined with respect to the reference level chosen. A test measurement by the length variation method is then made using an 11.25-mm-thick fused quartz plate placed on top of the circular holder. A two-layered structure (air–quartz) is established if there is no contact between the flat mirror and quartz plate, while there is only one layer if the plate rests on top of the flat mirror. Two TEM resonances are found for each

Fig. 3.

Cross section of the arrangement.

case discussed above. The dielectric constants are then obtained by searching the values, which yield the resonance frequency using (27). In the next step, the loss tangent is calculated (40) and the results of the measurements are summarized in Table II. The latter indicates that the data obtained with and without mirror-to-sample contact are quite consistent. The small discrepancy in the loss tangent may be caused by the slightly different conductivity of the lower copper mirror. The was obtained with the “reference” measurement value of of the open resonator with upper and lower mirrors made of brass, both assumed to be of the same quality. However, their conductivities may differ slightly, leading to slightly different in comparison with those given in Table I. This values of would result in different conductor losses of the lower mirror, with and without the sample on it, as given by (31). The data given in Table II indicate that both the formulation and the codes developed for an open resonator loaded with a layered sample are correct. VI. ESTIMATING THE EFFECTIVE AIR-GAP SIZE A specific arrangement was devised to carry out the measurements, Fig. 3. It consists of two parts: a supporting base made of copper with both surfaces polished and a hollow lid. The sample is placed between these two parts and tightly bolted. To avoid damaging the sample the lid is equipped with a rubber , to ensure gasket. The diameter of the opening in the lid that the beam is not intercepted. Experience shows that such an arrangement significantly reduces the air gap, between the sample and the lower mirror, and its deviation from measurement to measurement. Three 96% alumina samples with thicknesses of 0.253, 0.507, and 0.960 mm where chosen to define the effective air-gap size in the measurements. Although the air gap cannot be measured, it can be accurately estimated, since the dielectric constants of the samples are the same. Ten measurements were made for each sample to set the mean value of the required mirror shift and an associated standard deviation. These values where then used to define the effective air-gap size. The model of an open resonator loaded with a two-layered sample is used with the first

2914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE III DETAILS OF MEASUREMENT OF ALUMINA/FERROELECTRIC SAMPLES. AIR GAP IS 20 7 m AT 35 AND 39 GHz

6

Fig. 4. Effect of an air gap on the value of extracted dielectric constant at 35 GHz.

layer being reserved for an air gap of unknown thickness . Then, the dielectric constant is found as a function of the air-gap size for all samples. The data obtained are presented in Fig. 4. The air-gap effect is well defined for electrically thin samples and decreases as the thickness of the samples increases. This is clearly reflected by the slopes in the plots. For the samples with a thickness close to the half of the wavelength, the effect of the air gap is negligible; hence, the most accurate measurement can be made by proper choice of measurement frequency or sample thickness. The data obtained for the thickest sample ( mm) show a rather weak dependence on the air gap, which indicates that the accurate value of the permittivity is . The deviation is about % within the 0–40- m range of the air gaps considered. The necessity to account for the air gap is well demonstrated by the data obtained with the thinnest sample ( mm) showing nearly 22% error if the gap is disregarded. From analysis of the plots, it may be concluded that the mean value of the air gap is different from sample to sample, but it is in the range 15–25 m. Similar results are found from measurements using alumina substrates of other thicknesses. Hence, the specified range seems to be typical for this class of materials. The standard deviation of the extracted dielectric constant is obtained from the deviation of the measured mirror shift, which is mainly due to the air-gap size uncertainty; this was found numerically to be m. This value is assumed to be a typical one for the alumina substrates used. VII. MEASUREMENT OF ALUMINA-FERROELECTRIC SAMPLES The frequency range of the open resonator is defined by a band 33–50 GHz available from the feeding waveguides. Although one might expect that open resonator measurements could be made at an arbitrary frequency, this is not true if a lossy ferroelectric film is involved. It appears that only a part of this range can be utilized for measurements. It is well known that the accuracy of open resonator technique depends on the location of the sample with respect to the maximum or minimum of the electric field, which is very critical for electrically

thin samples. If the sample is located within the minimum of the electric field, than only a small part of the electric field is confined in it and the sample has relatively small loading effect on open resonator. If the sample is placed within the electric field maximum, it produces a maximal loading effect and higher accuracy of measurements can be expected. For two-layered samples, the positioning of the ferroelectric film with respect to the minimum or maximum of the electric field is defined by the electric thickness of the dielectric substrate. The one used in this work is 1-mm-thick 96% alumina with in the 33–50-GHz range. At higher frequencies and the film approaches the electric field minimum. Above a certain frequency, the ferroelectric film cannot provide sufficient loading and an open resonator progressively loses sensitivity. Thus, the measurements above this frequency are associated with large errors and should not be used. At lower frequencies the film approaches the electric field maximum and provides heavy loading for an open resonator, which increases the transmission losses. Below a certain frequency, the level of the resonant peak becomes comparable with the noise floor of the network analyzer and the accuracy of -factor measurements degrades. Hence, the upper and lower frequency limits of the measurements are given by the required accuracy and are specific for given samples and the network analyzer used. Low-temperature co-fired ceramic-compatible Ba Sr TiO wt%BL (BSTO) ferroelectric films with thicknesses of 22, 30, and 43 m on 1.02-mm-thick 96% alumina substrates were chosen for these experiments. The details of the films are available in [11]. The details of measurements at two frequency points are summarized in Table III. The thicknesses of the BSTO layers have 2- m deviation over the sample area. This value along with 7- m standard deviation for the air-gap size is used to define the errors of extracted dielectric constant and loss tangent of the BSTO layer. The 2- m standard deviation of the air gap obtained in the previous section is increased by 5 m to account for the uncertainty in the mean value of the air gap. The same measurement data were used to extract the film parameters neglecting the air gap. The results are summarized in Table IV. A comparison of the results given in Tables III and IV clearly shows the negative impact of the air gap. Ignoring the gap leads to 15% reduction in the mean value of the extracted dielectric permittivity and loss factor. It follows from both tables that errors in dielectric

DELENIV AND GEVORGIAN: OPEN RESONATOR TECHNIQUE FOR MEASURING MULTILAYERED DIELECTRIC PLATES

2915

TABLE IV DETAILS OF MEASUREMENT OF ALUMINA/FERROELECTRIC SAMPLES AT 35 AND 39 GHz. AIR GAP IS NEGLECTED

permittivity are mostly due to 2- m uncertainty in ceramic thickness and are reduced as the thickness of the ceramic layer grows. The errors are higher for measurement at 39 GHz (see Table III), which is explained by greater sensitivity of the open resonator to the air gap. In Table IV, the errors are similar for both measurement frequencies. As expected, the loading effect produced by the sample at 35 GHz is higher than the one at 39 GHz. This can be seen from the lower loaded quality factor and the larger difference in the required mirror shift between any two samples at 35 GHz. Generally, reasonably good agreement for extracted dielectric constants was obtained for all three samples at two frequency points; this is slightly worse for the loss factor. The linear interpolation (typical for ferroelectrics) of the loss tangent measured at 35 GHz gives 12% lower value at 39 GHz than the measured one. A possible explanation for the discrepancy may lie in more complex structure of the samples. In reality, the structures do not have two layers as it was assumed processing the measurements data. The scanning electron microscopy image of sample A3 (Table III) is shown in Fig. 5 where an interfacial diffusion layer, 15- m thick, between the ceramic film and the alumina substrate may clearly be seen. The dielectric properties of this layer are not known. Other images show that this layer has the same thickness for all samples studied. It is obvious that the presence of this additional layer affects the extracted dielectric constant and the loss tangent. In principle, if the measured thick films above were homogeneous in thickness and repeatable in parameters, it should be possible to obtain the properties of both the diffusion and thick film layers. However, under present circumstances ( 2- m deviation in ceramic thickness and questionable reproducibility of parameters) such an attempt would be worthless. On the other hand, the effect of this diffusion layer should be less for thicker films. This is clearly seen from measurement of the thickest sample (see Table III), which shows the best agreement between the expected and measured loss tangents at 39 GHz. The measurements discussed above closely approach the limits of the useful frequency range. A wider measurement band may be obtained by manipulating the ferroelectric film position with respect to electric field minimum or maximum. This manipulation can be accomplished by using thicker or thinner substrates or by lifting the sample above the lower mirror. By doing so one can place the film arbitrarily with respect to the

Fig. 5.

Scanning electron microscopy image of sample A3.

electric field maximum or minimum at any specified frequency, thus improving the accuracy of the method. However, this is beyond the scope of this paper. VIII. CONCLUSION A generalized formulation for an open resonator was proposed for multilayered dielectric plates based on the vector field theory. Measurements were carried out to verify the validity of a model developed. For the first time, the open resonator technique was applied to measurements of ferroelectrics. It was shown that the proximity of the thick ceramic film to the electric field minimum and the dynamic range of the network analyzer are two factors that limit measurements in frequency. Experiments also showed that the impact of the air gap between the sample and the lower plate mirror may be high; hence, this should be carefully accounted for when using the proposed model. Despite comparatively low accuracy 15%) obtained with the test samples chosen, the potential of the open resonator technique for measurement of specified materials is high. ACKNOWLEDGMENT The authors wish to thank the Filtronic Comtek (U.K.) group for preparation of the basic BST powder, and Dr. H. Jantunnen and Dr. T. Hu, both of Oulu University, Oulu, Finland, for fabrication of test samples and their scanning electron microscopy images. REFERENCES [1] H. Kogelnik and T. Li, “Laser beams and resonators,” Proc. IEEE, vol. 54, no. 10, pp. 1312–1329, Oct. 1966. [2] A. L. Cullen and P. K. Yu, “The accurate measurement of permittivity by means of an open resonator,” Proc. R. Soc. Lond. A, Math. Phys. Sci., vol. 325, pp. 493–509, 1971. [3] R. J. Cook, R. G. Jones, and C. R. Rosenberg, “Comparison of cavity and open-resonator measurements of permittivity and loss angle at 35 GHz,” IEEE Trans. Instrum. Meas., vol. IM-23, no. 6, pp. 438–442, Dec. 1974. [4] R. G. Jones, “Precise dielectric measurements at 35 GHz using an open microwave resonator,” Proc. Inst. Elect. Eng., vol. 123, pp. 285–290, Apr. 1976. [5] R. N. Clarke and C. B. Rosenberg, “Fabry-Perot and open resonators at microwave and millimeter wave frequencies, 2–300 GHz,” J. Phys. E., Sci. Instrum., vol. 15, pp. 9–24, 1982.

2916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

[6] P. K. Yu and A. L. Cullen, “Measurement of permittivity by means of an open resonator. I. Theoretical,” Proc. R. Soc. Lond. A, Math. Phys. Sci., vol. 380, pp. 49–71, 1982. [7] B. Komiyama, M. Kiyokawa, and T. Matsui, “Open resonator for precision dielectric measurements in the 100 GHz band,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 10, pp. 1792–1796, Oct. 1991. [8] S. Wang, D. Xu, and L. Hu, “Open resonator technique for measuring multi-layer dielectrics,” in Proc. Asia–Pacific Microwave Conf., 1997, pp. 885–888. [9] A. Deleniv, S. Gevorgian, H. Jantunnen, and T. Hu, “Microwave characterization of ferroelectric films in the -band,” in Proc. EuMC’04, Amsterdam, The Netherlands, 2004, pp. 541–544. [10] Microwave Dielectric Spectroscopy of Ferroelectrics and Related Materials, vol. 9. New York, 1996. [11] T. Hu, H. Jantunnen, and S. Leppävuori, “Co-firing of ferroelectric tapes and commercial LTCC’s for tunable microwave components,” in Proc. EuMC’03, Munich, Germany, 2003, pp. 121–130.

X

Anatoli N. Deleniv was born in the Ukraine, in 1969. He received the Radio Engineering Diploma (with honors) and Ph.D. degrees from St. Petersburg Electrotechnical University, St. Petersburg, Russia, in 1996 and 1999, respectively. Since 2000, he has been with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg, Sweden, where he is currently a Project Leader. His research interest is mainly the development of models for planar microwave structures suitable for computer-aided design (CAD) of microwave integrated circuits and design of passive microwave components based on high-temperature superconductors and ferroelectric materials.

Spartak Gevorgian (M’96–SM’97) received the M.S. degree in radioelectronics from Yerevan Polytechnic, Yerevan, Armenia, in 1972, and the Ph.D. and Dr. Sci. degrees from the Electrotechnical University, St. Petersburg, Russia, in 1977 and 1991, respectively. From 1972 to 1993, he held different research and teaching positions with the Polytechnic Institute and Electrotechnical University. From 1993 to 1998, he had research positions with Chalmers University of Technology, Göteborg, Sweden. Since 1998, he has been a Professor at Chalmers University of Technology. Since 1996, he has also worked part time with Ericsson Microwave Systems AB, Mölndal, Sweden. He has authored or coauthored over 220 papers and conference presentations. He holds over 30 patents/patent applications. He has been or is currently engaged in research projects supported by different national (Russia, Armenia, Sweden) and European Union (EU) projects. His research interests are in physics, design, and experimental investigation of microwave devices and components including tunable filters, delay lines, phase shifters, etc., based on bulk and thin-film ferroelectrics integrated with silicon substrate, silicon RF integrated circuits (RFICs) and MMICs, optimization of passive components in foundry-based MMICs (voltage-controlled oscillators (VCOs), amplifiers, etc.), microwave photonic devices (optically controlled components based on silicon, photonic generation of microwaves), and modeling of passive coplanar and CPS components based on conformal mapping. Dr. Gevorgian was the recipient of scholarships from University College London (1981–1982) and the Electrotechnical University (1988–1991).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2917

Improved Y -Factor Method for Wide-Band On-Wafer Noise-Parameter Measurements Luuk F. Tiemeijer, Ramon J. Havens, Randy de Kort, and Andries J. Scholten

Abstract—A new noise-figure measurement method, which combines the simplicity of the “classical” -factor method with the accuracy of the widely used “cold noise-source” method, is reported. Implemented in our fully automated wide-band 1–18-GHz on-wafer noise-parameter measurement system, accurate results are obtained using a small set of precharacterized source impedances. We illustrate our method and its accuracy with data taken on a low-noise GaAs pseudomorphic high electron-mobility transistor device, and quantify the impact of the instrumental uncertainties on the extracted noise parameters. Index Terms—Calibration, deembedding, integrated circuits, on-wafer microwave noise measurements, reverse error analysis.

I. INTRODUCTION

II. NOISE-FIGURE MEASUREMENT The noise figure of a two-port network is the ratio of the to the signal-tosignal-to-noise power ratio at the input . The input noise power noise power ratio at the output is the thermal noise from a source with a reference temperK. This noise figure is ature (1) where denotes the noise power added by the network, is the bandwidth, and is the available network gain. The noise figure of a two-port network depends on the source admitthrough the well-known equation tance

T

HE EMERGENCE of new wireless communication standards, requiring low-noise circuitry at ever increasing frequencies, creates a strong demand for accurate on-wafer noiseparameter measurements. Numerous groups have reported their approaches over time [1]–[9] and, today, the fairly complicated “cold-source” method [5]–[9] is regarded as more accurate than the classical “ -factor” method [3], [4], which relies on the assumption that the noise-source impedance is sufficiently equal for its hot and cold states. In the “cold-source” method, the majority of the noise power measurements over source state are done while the noise source is maintained at the cold state [5]. Since changes in device gain have to be accounted for, compared to the -factor method, system calibration becomes more critical, and the requirements on instrumental accuracy and stability increase in order to avoid that the extracted noise parameters are less accurate than they could have been. In this paper, we first show that the classical -factor method provides an “effective” noise figure, depending on both the hot and cold state source impedances, as well as on the actual -factor. We then discus the “tunerless” setup used in this study, and demonstrate that this “effective” noise figure, when taken for a few different source impedances and frequencies, allows the extraction of the desired noise parameters with excellent accuracy, while accounting for the variation in noise-source admittance seen between the hot and cold states. We conclude this paper with a reverse error analysis, which allows us to quantify the instrumental uncertainties encountered in our setup, and their impact on the extracted noise parameters.

Manuscript received November 5, 2004. The authors are with the Philips Research Laboratories, 5656 AA Eindhoven, The Netherlands (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854243

(2) where is the minimum noise figure of the two-port, is is the optimum source admittance the noise resistance, and at which the minimum noise figure is achieved. By measuring the noise figure for at least four different source admittances , the noise parameters and can be extracted. A. Direct Method In practice, extracting the minimum noise figure from direct measurements of the noise power emitted by the network is hampered by the fact that the network gain also strongly varies with the source admittances , which implies that tuning the source impedance for minimum emitted noise power is not . Instead, the network gain, the mismatch sufficient to find between the network and the noise receiver, the gain of the noise receiver, and the noise added by the noise receiver should all be measured and taken into account to obtain the correct noise figures at each source admittance. As a result, almost 40 measured quantities affect the final values of the noise parameters [7], and errors are easily made. B.

-Factor Method

The need to measure absolute power levels and account for changes in the network and noise receiver gains with source adis avoided in the hot–cold noise source or -factor mittance method, where the effective noise temperature of the source terand , and only the ratio mination is switched between between the noise power levels and measured at the output of the device-under-test (DUT) is recorded. This method is implemented in some high-end commercial noise-figure characterization systems, where a simple calibration measurement

0018-9480/$20.00 © 2005 IEEE

2918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

taken on a through connection allows the noise added by the noise receiver to be corrected for. Accuracies down to 0.2 dB have been reported by groups using (extensions of) this method [3], [4]. In these systems, the noise source is a low capacitance diode, generating thermal noise in the cold state, and generating a large amount of excess noise in the hot state where the device is reverse biased into avalanche. Since the diode admittance is proportional to its bias current, the noise-source admittance differs significantly between the hot and cold states. A resistive matching network reduces the differences in noise-source admittances seen between the hot and cold states down to a few and . percent at the expense of the difference between Nevertheless the basic assumption of the “classical” hot–cold noise source or -factor method, which is that the noise power added by the network is the same for both states of the noise source, is somewhat corrupted. This is a problem for accurate noise measurements on low-noise devices, where the added and noise figure are highly dependent on the noise power source admittance . C. Cold-Source Method After approximately 1990, when this issue became more widely recognized [2], [5], [6], and the use of isolators [4] was considered less practical, the “classical” -factor method was largely abandoned. Instead, many groups adopted the “cold noise-source” method [5], a direct approach where most of the measurement time is spent on noise power measurements where the noise source is maintained at the cold state [5]–[9]. The hot state of the noise source is then only used to find the factor of the noise receiver [7], which is needed so-called to the correct value to scale the minimum noise figure [5]. Although the “cold noise-source” method is clearly more complex than the “classical” -factor method, it is widely considered to be more accurate since it at least deals with the differences in impedance of the noise source between the hot and cold states. D. Improved

-Factor Method

Now we will show that there is actually no need at all to abandon the classical -factor method since we can make the corrections for the noise-source impedance changes in the parameter extraction stage. In our improved -factor method, the ratio between the detected noise power levels with the noise source biased in the hot and cold states, respectively, is

Fig. 1. Noise-figure measurement setup used in this study. Instead of the usual impedance tuner, three selectable impedance standards are used to realize different source reflection coefficients.

and rearranged as (5) denotes the effective -factor and denotes the where corresponding effective excess noise ratio (ENR) (6) Substituting (2) in (5) and rearranging, one obtains the effective noise figure

(7) which is a -factor weighted average of the noise figures obtained and , seen in the hot and cold states, reat the admittances spectively. When we know the effective -factors and effective noise figures for at least four different source admittances, we can and use this result to extract the noise parameters using well-known least square fitting procedures [8], as described in the Appendix. As can be seen in the Appendix, to be able to accurately deembed the noise added by a DUT, we need to measure its -parameters, and characterize our system to a similar level as in the “cold-source” method. The advantage of our improved -factor method, however, is that many of these system parameters are now only needed for relative minor corrections, and that most of the uncertainties and drifts in the system gains cancel out due to the fact that we are recording a noise power ratio instead of an absolute noise power level. III. MEASUREMENT SETUP

(3) to account for the miswhere we use the transducer gain match between the noise receiver and network, and where and denote the noise-source admittances seen in the hot and cold states. This relation can be simplified into

(4)

The noise-figure measurement setup used in this study is shown schematically in Fig. 1. To reduce measurement time under full automation, and justified by the results presented in [5], that it is more advantageous to increase the averaging time for a given constellation of source admittances than to add intermediate points, the number of source admittances presented to the device was squeezed to the absolute minimum. Since the uncertainty in the extracted noise parameters decreases when the differences in source reflection coefficients are maximized [5], instead of the usual impedance tuner, a broad-band (1–20 GHz) 10-dB coupler [3] terminated with three selectable impedance standards is used to realize the different source admittances.

TIEMEIJER et al.: IMPROVED

-FACTOR METHOD FOR WIDE-BAND ON-WAFER NOISE-PARAMETER MEASUREMENTS

2919

Fig. 3. Magnitude of source reflection coefficients seen by the DUT versus frequency.

Fig. 2. Constellation of source reflection coefficients seen by the DUT at 2 GHz.

A. Smith Chart Coverage The three selectable impedance standards provide a constellation of one matched and two highly reflective source states with a 180 angular difference. Due to the distance between the selectable impedance standards and the DUT, the angular orientation of this three-point constellation rotates with approximately 2.4 /MHz at the DUT input plane. Considering results measured at three adjacent frequencies with 50-MHz difference, a nine-point constellation is obtained, which is sufficient to extract all noise parameters with good accuracy. For this extraction technique to work, it is not necessary that these noise parameters remain constant over the 100-MHz frequency range considered. Instead, it is sufficient (see the Appendix) that the DUT noise parameters – change linearly with frequency, a condition that is easily met over such a small frequency range. A typical constellation of source reflection coefficients seen by the DUT at 2 GHz is depicted in Fig. 2. The typical magnitude of these source reflection coefficients over frequency is shown in Fig. 3. B. Equipment The risk of device oscillation is minimized, and compared to using an output tuner [4], the measurement time is reduced by directly terminating the output of the DUT in a broad-band low-noise amplifier (LNA). The system is completed with an N4002A noise source, an N8975A 10-MHz–26-GHz noise-figure analyzer, and an E8364B 10-MHz–50-GHz vector network analyzer.

Fig. 4. Noise figure extracted for 50- source impedance versus frequency with (bottom) and without (top) accounting for the variation in noise-source admittance seen between the hot and cold states.

ings, the short-term reproducibility was found to be better than 0.01 dB. After recovering the -factors from the uncorrected noise-figure readings provided by the noise-figure analyzer, the DUT noise parameters are extracted using the procedure described in the Appendix. In this new extraction procedure, the differences in noise-source admittances between the hot and cold state are explicitly taken into account. The impact of this is illustrated in Fig. 4 showing the DUT noise figure extracted for a 50- source impedance with and without accounting for the variation in noise-source admittance seen between the hot and cold states. The lower trace, obtained accounting for the measured differences in reflection coefficients between these hot and cold noise-source states, essentially displays no ripple.

C. Measurement Procedure To characterize a DUT, first its -parameters are measured over frequency. The noise figure is then measured over the same frequency range for the three selectable source reflection coefficients. Averaging over 128 uncorrected noise-figure read-

D. Test Structures To be able to extract the noise parameters with good accuracy, the on-wafer test structures have to be optimized for this type of measurement. First of all, the length and width of the DUT

2920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

have to chosen sufficiently large that the magnitude of the reflection coefficient seen at the optimum source admittance falls within the magnitude range of the reflection coefficients of the source admittances applied in the measurements, and that the power delivered by the DUT to the LNA is sufficiently large. For bipolar transistors, good results were obtained with emitter widths and lengths in the 0.3–0.5- and 20–50- m range [10] and operating currents around 20 mA. For MOSFET devices, good results were obtained with gate lengths and gatewidths in the 0.13–0.5- and 100–400- m range [12], respectively, and operating currents around 100 mA. To avoid excessive noise being added by either base or gate resistances, these device sizes usually require multifinger layouts. Although the noise added by the test-structure parasitics can be corrected for using deembedding procedures based on noise correlation matrices [15], on silicon it is better to first minimize the noise added by the test-structure parasitics by using a shield-based test fixture along the lines of [16], employing a bottom metal shield plate below the signal pad and signal lines to prevent the thermal noise generated in the substrate from entering into the DUT.

Fig. 5. Minimum noise figure versus frequency measured at 10-mA drain current. Symbols: extracted using the nine-point source admittance constellation. Solid line: linear noise model fit.

IV. RESULTS Wide-band on-wafer noise-parameter measurements using the improved -factor method reported here have already successfully been used to verify our advanced bipolar and MOSFET noise modeling theories [10]–[14]. Therefore, in this paper, new measurements taken on a 12-GHz low-noise GaAs pseudomorphic high electron-mobility transistor (pHEMT) device will be presented to illustrate the accuracy of our method. This is a very challenging device to characterize, firstly because of its low minimum noise figure, and secondly because, at all frequencies, the magnitude of the optimum source reflection coefficient is beyond the reach of the source reflection coefficients that can be realized in the measurements. Due to the isolating GaAs substrate, the impact of the pad parasitics on the minimum noise figure is marginal and a noise deembedding step, as described in the Appendix, is not necessary.

Fig. 6. Noise resistance versus frequency measured at 10-mA drain current. Symbols: extracted using the nine-point source admittance constellation. Solid line: linear noise model fit.

A. Two Extraction Strategies

B. Noise Parameters

To get a feeling for the accuracy and consistency of the noise measurements, noise parameters obtained using two different extraction strategies will be compared where we either fit a set of noise parameters to a subset of nine noise-figure measurements taken at three frequencies spaced 50 MHz apart or to the entire collection of 1020 noise-figure readings taken at 340 frequencies in the 1–18-GHz band. The first case represents the standard procedure, where we assume that the DUT noise parameters – (see the Appendix) change linearly with frequency over the 100-MHz band considered, which allows us to extract the four noise parameters for the center frequency. For the second case, the frequency dependence of the noise parameters is assumed to take the form

The symbols in Figs. 5–7 represent the extracted values for the minimum noise figure, the noise resistance, and the optimum source admittance for a 0.15- m gate length and 2 50 m gatewidth device operated at the 10-mA drain current using the standard nine-point source admittance constellation obtained considering measurements taken at three adjacent frequencies. Despite some spread with frequency, the set of noise parameters depicted by the symbols in these figures was found to reproduce the actual noise-figure measurements taken on the DUT with an average relative error of only 0.01 dB. The solid lines in Figs. 5–7 represent the linear noise model fit to the entire collection of experimental data. With these lines, the actual noise-figure measurements taken on the DUT are reproduced with an average relative error of 0.03 dB. We found that adding additional free parameters to this linear noise model, for inor higher order frequency depenstance, to capture dencies, could not notably reduce this figure. Noise parameters at 12 GHz extracted at 5-, 10-, and 20-mA drain current using the linear noise model fit are given in Table I.

(8) (9) (10) which we will refer to as the linear noise model.

TIEMEIJER et al.: IMPROVED

-FACTOR METHOD FOR WIDE-BAND ON-WAFER NOISE-PARAMETER MEASUREMENTS

2921

Fig. 8. Impact of the error in the actual ENR value of the noise source on the minimum noise figure obtained with the linear noise model fit.

Fig. 7. Optimum source admittance seen at the device bond pads versus frequency measured at 10-mA drain current. Symbols: Extracted using the nine-point source admittance constellation. Solid line: linear noise model fit. TABLE I NOISE PARAMETERS AT 12-GHz VERSUS DRAIN CURRENT, EXTRACTED USING THE LINEAR NOISE MODEL FIT

V. DATA SPREAD As shown in Appendix-B, in the improved -factor method, the spread with frequency in the final values of the extracted noise parameters is mainly coming from the reproducibility of the -factor readings, the errors made in establishing the input section loss (ISL), and the uncertainty in the ENR value provided with the noise source. To illustrate, for instance, the impact of the 0.07-dB standard uncertainty in the ENR value provided with the noise source on the extracted noise figures, Fig. 8 shows a plot of the minimum noise figure at 12 GHz and extrapolated to 0 Hz, obtained with the linear noise model fit, when the ENR value of the noise source is varied across its 95% uncertainty range ( 0.15 dB). As expected from (31), an almost one-to-one correspondence between the ENR value of the noise source and the extracted minimum noise can be seen in this figure. Although this implies that the 0.07-dB standard uncertainty of the ENR value should be applied on the minimum noise figures depicted in Table I, this ENR uncertainty alone cannot explain the spread with frequency seen in Figs. 5–7. A. Reverse Error Analysis To gain further insight here, we start with the spread with frequency observed in the extracted noise parameters, and then reverse the analysis to see which measurement or calibration error could be their root cause. We will impose the “true” minimum noise figure for this pHEMT device to extrapolate to 0 dB at 0 Hz

and assume all uncertainties to cancel out over frequency. First, the linear noise model fits were repeated with set to zero. The results of these five parameter model fits are sufficiently close to the “true” DUT noise parameters for our purpose. Using these fitted values for the DUT noise parameters, the noise-source ENR ratio’s required to explain all the actual noise-figure meter readings taken for the three different source reflection coefficients at the three different drain currents were calculated. Comparing data taken at the same frequency and the same source admittance, but at different drain currents, the noise-source ENR ratio’s required to explain the actual noise-figure meter readings showed an rms spread of only 3 mdB. This figure increased to 9 mdB when readings taken at different source admittances were included. Both figures are significantly less than the overall spread of 22 mdB seen when the noise-source ENR ratio’s required to explain the actual noise-figure meter readings were compared against the values provided with the noise source. The latter difference is depicted in Fig. 9. In this figure, nine curves, each containing 340 data points, and obtained at three different drain currents and three different source reflection coefficients almost lie on top of each other. B. Instrumental Uncertainties Considering (31), we may conclude from the reverse error analysis that the spread with frequency in the extracted noise parameters shown in Figs. 5–7 can be traced back to a 3-mdB standard reproducibility of the actual -factor readings, a 9-mdB standard uncertainty on the calibration of the ISL and a 22-mdB standard uncertainty on the ENR value supplied with our noise source. Overall, these instrumental uncertainties are low and well within expectations. Nevertheless, their impact on the uncertainty in the extracted noise parameters is significant, as may be evident from results shown in the Figs. 5–7. In particular, when the optimum source reflection coefficient is larger than the ones probed in the measurements and close to the edge of the Smith chart, due to the parabolic dependence of the device noise figure on source admittance, an error in the extracted optimum source admittance is more likely to produce a minimum noise figure, which is too low than one which is to high, as can be seen in Fig. 5.

2922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

VI. CONCLUSION

Fig. 9. Noise-source ENR error required to explain the differences between the actual and calculated noise readings. In this figure, nine curves, each containing 340 data points, and obtained at three different drain currents and three different source reflection coefficients almost lie on top of each other (see text for details). The dashed lines represent the 0, 1, and 2  ENR error boundaries supplied with the noise source.

TABLE II STANDARD (rms) SPREAD  OF THE EXTRACTED NOISE PARAMETERS ACROSS THE FULL 1–18-GHz BAND WITH AND WITHOUT CORRECTION FOR ERRORS MADE IN THE ENR AND/OR ISL CALIBRATIONS (SEE TEXT)

In this paper, we have presented a new wide-band automated noise-figure measurement method, which combines the simplicity of the “classical” -factor method with the accuracy of the widely used “cold noise-source” method. In particular, we have shown that the usual procedure to extract the four noise parameters from noise-figure measurements obtained at different source admittances can be generalized to work for the “effective” noise figures obtained with the -factor method. With this new solution to handle the difference in admittance of the noise source for the hot and the cold state in the noise-parameter extraction stage, all sources of systematic errors can now be corrected for and the inherent robustness of the -factor method can be fully exploited. The relative simplicity of the -factor method facilitates a reverse error analysis, where we estimate the errors made in the extracted noise parameters by comparing results obtained at different frequencies, and use these to establish the errors made in the calibration of the ISL, and in the ENR of the noise source. This reverse error analysis, when applied on our wideband noise-figure measurements, is a powerful tool to quantify the impact of system calibration uncertainties on a particular set of extracted noise parameters, and allows advanced noise models to be more confidently verified than by a direct comparison to the extracted noise parameters alone. APPENDIX A. Noise-Parameter Extraction

C. Impact on Data Spread To quantify the impact of the instrumental uncertainties on the extracted noise parameters, the noise parameters extracted using the nine-point source admittance constellation obtained considering data taken at three adjacent frequencies were compared with those obtained using the full six parameter model fit. To compensate for the impact of the 9-mdB standard uncertainty on the measured ISL and/or the 22-mdB standard uncertainty on the ENR value supplied with our noise source, the noise-source ENR ratio’s required to explain the actual noise-figure meter readings taken at 5- and 20-mA drain current were averaged and used to correct the noise-parameter extraction at 10-mA drain current. As shown in Table II, removing the 9-mdB calibration error in the ISL, was much more effective than eliminating the 22-mdB calibration error on the ENR value supplied with our noise source. Given that this type of corrections is not usually available, we conclude that, for the case of our low-noise GaAs pHEMT device, the accuracy of the extracted noise parameters is mainly determined by the errors made in the calibration of the ISL, and to a smaller extend by that in the ENR of the noise source. Nevertheless, even without these corrections, the spread in the minimum noise figure is already as low as 0.12 dB, better than the 0.2 dB reported in [3] and 0.3 dB reported in [7].

To be able to extract the noise parameters of the DUT using (7), we need both the effective noise figure, as well as the effective -factor. Prior to this, we first need to correct for the noise added by the passive input and output sections and the LNA and calculate the actual source admittances seen by the DUT in the hot and cold states of the noise source. The uncorrected noise figure provided by the N8975A noise-figure analyzer is (11) where source

is taken from the ENR table provided with the noise (12)

and is taken from the temperature sensor in the noise source. The -factor measured by the instrument can be recovered through (13)

This is converted into the effective

-factor using (14)

where is the transducer gain of the input-section/DUT/ output-section chain. The next step is to calculate the sepaand of the input section, the rate available gains DUT, and the output section for the hot and cold states of

TIEMEIJER et al.: IMPROVED

-FACTOR METHOD FOR WIDE-BAND ON-WAFER NOISE-PARAMETER MEASUREMENTS

the noise source from their measured -parameters. Since the input and output sections are noninsertable networks, their -parameters have been obtained by replacing the DUT by a “thru” calibration standard and measuring the -parameters of the input-section/thru-standard/output-section chain for the three selectable source reflection coefficients. Using one-port measurements on the “open,” “short,” and “load” calibration standards, the -parameters of the output section are then derived, after which the -parameters of the input section can be found by de-cascading the input-section/thru-standard/output-section chain. Substituting Friis law (15)

2923

in a highly temperature controlled environment, a second temperature sensor is connected to the 10-dB coupler of the input section to measure its effective noise temperature, and all calibrations and measurements are only performed after the entire setup and, in particular, the temperature profile of the input section has been allowed to stabilize. After correction for the noise added by the passive input and output sections and the LNA, the four noise parameters are extracted using the modified Lane approach [8], where the corrected equivalent of (7) is rearranged into the linear form (22) with

in (5), we are able to correct for the noise contributions coming from the input and output sections and the LNA and obtain (16) where (17)

(23) where and denote the input admittances seen by the DUT in the hot and cold states and

where (18) and where

(24) and where

(19) where (20)

(25) Our task is now to find a set of parameters fitting error

that minimizes the

(26)

and can be obtained from the wellThe noise figures known expression for the noise figure of a passive network (21) where is the network temperature, whereas the LNA noise figures and are calculated from the LNA noise parameters, which have been extracted before in a similar procedure using a simplified setup where the RF probes and the bias-tee’s have been removed and the 10-dB coupler is directly connected to the LNA. Finding the effective temperature of the input section does require some attention since the power dissipated when the noisesource diode is biased into avalanche causes its cold temperature to increase approximately 10 over the laboratory temperais measured ture. Although in the N4002A noise source the by the noise-figure analyzer, due to the mechanical connection of the noise source to the input section, there is a temperature gradient going from the noise source to the DUT, and the average temperature of the input section is also enhanced by a few degrees. To avoid introducing errors here, the whole setup is placed

where is a weighting function that minimizes the relative fitting error, and is the number of noise-figure measurements considered. Summation of results taken at three adjacent frequency points gives for our case, and allows us to solve the parameters from (27) which is a simple matrix equation of the form (28) After solving for , the noise parameters are recovered from

(29)

2924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

It is not always possible to derive physical meaningful noise parameters from the parameters , which minimize the fitting error. When, for instance, , the noise parameter cannot be found. We handle this by enhancing until exist. On the other hand, we decided to allow . Although this is obviously an unphysical result, for it does not impose any numerical problems, and it provides a consistency check. The extracted noise parameters include the noise added by the test structure parasitics. To correct for this, it has become common practice to use the correlation matrix representation of noisy two-ports [15]. In the chain representation, this correlation matrix is

(30)

Using the impedance and admittance representations [15] of this noise correlation matrix, the well-known “open-short” deembedding technique [17] can be used to obtain the noise parameters of the intrinsic device. B. Standard Error Analysis As can be seen from the equations given in Appendix-A, in principle, 38 measured quantities could affect the final values of the extracted noise parameters. These include three sets of two-port -parameters, three reflection coefficients, three temperatures, the LNA noise parameters, and the ENR of the noise source. Most of them are measured only once during calibration of the setup. However, due to the benefits of the -factor method and the fact that the measured noise figure is dominated by the noise added by the input section and the DUT, only a few measured quantities really matter. When we take the noise added by the output section and the LNA to be small compared to the noise originated in the input section and DUT, and use and , (24) reduces to (31) This shows that the errors in the DUT noise figure are mainly coming from the uncertainty in the ISL , the uncertainty in the ENR value provided with the noise source, and the accuracy of the -factor readings. The ISL is calculated with the usual expression for the available gain. Given that the noise-source and, thus, , it simplifies impedance is adjusted to to (32) The uncertainty in the ISL is thus mainly coming from the and . Evaluating the impact on the uncertainties in DUT noise figure, we see that only the errors in the measured values of and , the accuracy of the -factor reading, and the uncertainties in the ENR value provided with the noise source really matter.

REFERENCES [1] R. Q. Lane, “The determination of device noise parameters,” Proc. IEEE, vol. 57, no. 8, pp. 1461–1462, Aug. 1969. [2] Y. Adamian and A. Uhlir, Jr., “A novel procedure for receiver noise characterization,” IEEE Trans. Instrum. Meas., vol. IM-22, no. 6, pp. 181–182, Jun. 1973. [3] J. W. Archer and R. A. Batchelor, “Fully automated on-wafer noise characterization of GaAs MESFET’s and HEMT’s,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 209–216, Feb. 1992. [4] R. A. Pucel, W. Strubble, R. Hallgren, and U. L. Rohde, “A general noise de-embedding procedure for packaged two-port linear active devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 11, pp. 2013–2024, Nov. 1992. [5] A. C. Davidson, B. W. Leake, and E. Strid, “Accuracy improvements in microwave noise parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1973–1978, Dec. 1989. [6] I. Rolfes, T. Musch, and B. Schiek, “Cryogenic noise parameter measurements of microwave devices,” IEEE Trans. Instrum. Meas., vol. 50, no. 4, pp. 373–376, Apr. 2001. [7] M. Kantanen, M. Lahdes, T. Vaha-Heikkila, and J. Tuovinen, “A wideband on-wafer noise parameter measurement system at 50–75 GHz,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1489–1495, May 2003. [8] L. Escotte, R. Plana, and J. Graffeuil, “Evaluation of noise parameter extraction methods,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 382–387, Mar. 1993. [9] S. Long, L. Escotte, J. Graffeuil, F. Brasseau, and J. L. Cazaux, “On-band,” wafer noise characterization of low-noise amplifiers in the IEEE Trans. Instrum. Meas., vol. 52, no. 10, pp. 1606–1610, Oct. 2003. [10] J. C. J. Paasschens, R. J. Havens, and L. F. Tiemeijer, “Modeling the correlation of the high frequency noise of (heterojunction) bipolar transistors using charge-partitioning,” in Proc. IEEE Bipolar/BiCMOS Circuits Technology Meeting, Sep. 2003, pp. 221–224. [11] A. J. Scholten, L. F. Tiemeijer, R. van Langevelde, R. J. Havens, V. C. Venezia, A. T. A. Zegers-van Duijnhoven, B. Neinhüs, C. Jungemann, and D. B. M. Klaassen, “Compact modeling of drain and gate current noise for RF CMOS,” in IEEE Int. Electron Devices Meeting Tech. Dig., Dec. 2002, pp. 129–131. [12] A. J. Scholten, L. F. Tiemeijer, R. van Langevelde, R. J. Havens, A. T. A. Zegers-van Duijnhoven, and V. C. Venezia, “Noise modeling for RF CMOS circuit simulation,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 618–632, Mar. 2003. [13] C. Jungemann, B. Neinh`s, C. D. Nguyen, B. Meinerzhagen, R. W. Dutton, A. J. Scholten, and L. F. Tiemeijer, “Hydrodynamic modeling of RF noise in CMOS devices,” in IEEE Int. Electron Devices Meeting Tech. Dig., Dec. 2003, pp. 867–870. [14] R. van Langevelde, J. C. J. Paasschens, A. J. Scholten, R. J. Havens, L. F. Tiemeijer, and D. B. M. Klaassen, “New compact model for induced gate current noise,” in IEEE Int. Electron Devices Meeting Tech. Dig., Dec. 2003, pp. 871–874. [15] H. Hillbrand and P. H. Russer, “An efficient method for computer aided noise analysis of linear amplifier networks,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 4, pp. 235–238, Apr. 1976. [16] T. E. Kolding and C. R. Iversen, “Simple noise deembedding technique for on-wafer shield-based test fixtures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 11–15, Jan. 2003. [17] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high frequency characterization,” in Proc. IEEE Bipolar/BiCMOS Circuits and Technology Meeting, Sep. 1991, pp. 188–191.

Ka

Luuk F. Tiemeijer was born in Son en Breugel, The Netherlands, in 1961. He received the M.S. degree in experimental physics from the State University of Utrecht, Utrecht, The Netherlands, in 1986, and the Ph.D. degree in electronics from the Technical University of Delft, Delft, The Netherlands, in 1992. In 1986, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he has conducted research on InGaAsP semiconductor lasers and optical amplifiers. Since 1996, he has been involved in the RF characterization and modeling of advanced integrated-circuit processes.

TIEMEIJER et al.: IMPROVED

-FACTOR METHOD FOR WIDE-BAND ON-WAFER NOISE-PARAMETER MEASUREMENTS

Ramon J. Havens was born in Nijmegen, The Netherlands, in 1972. He received the Bachelor’s degree from Eindhoven Polytechnic, Eindhoven, The Netherlands, in 1995. His current research involves on-wafer RF characterization of the various active and passive devices found in advanced integrated-circuit processes.

Randy de Kort was born in The Netherlands, in 1974. He received the M.S. degree in experimental physics and Ph.D. degree from the University of Nijmegen, Nijmegen, The Netherlands, in 1997. In 2001, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he is currently working on RF characterization and modeling of advanced integrated-circuit technologies.

2925

Andries J. Scholten received the M.Sc. and Ph.D. degrees in experimental physics from the University of Utrecht, Utrecht, The Netherlands, in 1991 and 1995, respectively. In 1996, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he is involved with compact MOS models for circuit simulation with a focus on RF and noise modeling.

2926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

A Shield-Based Three-Port De-Embedding Method for Microwave On-Wafer Characterization of Deep-Submicrometer Silicon MOSFETs Ming-Hsiang Cho, Guo-Wei Huang, Member, IEEE, Lin-Kun Wu, Member, IEEE, Chia-Sung Chiu, Yueh-Hua Wang, Kun-Ming Chen, Member, IEEE, Hua-Chou Tseng, and Tsun-Lai Hsu

Abstract—A general three-port -parameter de-embedding method using shield-based test structures for microwave on-wafer characterization is presented in this paper. This method does not require any physical equivalent-circuit assumption for the surrounding parasitics of a device-under-test. We use one open and three thru dummy devices to remove the parasitic components connected to the gate, drain, and source terminals of a MOSFET. By shielding the lossy silicon substrate, the cross-coupling from port to port can be significantly mitigated, and thus, the parasitics of probe pads and interconnects at each port can be separately subtracted. The MOS transistor and its corresponding dummy structures fabricated in a 0.18- m CMOS process were characterized up to 20 GHz. Compared with the two-port cascade-based de-embedding method, the proposed three-port de-embedding procedure can further eliminate the parasitics associated with the dangling leg in the source terminal. The impacts of the accuracy of the de-embedding technique on device modeling and simulation are also discussed. Index Terms—De-embedding, MOSFET, parasitics, scattering parameters.

I. INTRODUCTION

W

ITH the downscaling of device channel length in the deep-submicrometer technology, the accuracy of the on-wafer calibration and parasitic de-embedding techniques has become an extremely important issue for the device characterization and modeling in the radio frequency (RF)/ microwave regime. Since the fabrication of the precise 50load or well-defined 50- transmission lines is still difficult with the current integrated circuit (IC) technologies [1], the classical calibration procedures, such as short-open-load-thru (SOLT), line-reflect-match (LRM), etc., are impractical for the wafer-level measurements conducted on silicon substrates. For this reason, the de-embedding techniques have been frequently used in conjunction with the on-wafer calibration procedure to remove the unwanted parasitics. In general, the parasitic Manuscript received November 6, 2004; revised February 20, 2005. M.-H. Cho, G.-W. Huang, C.-S. Chiu, and K.-M. Chen are with National Nano Device Laboratories, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). L.-K. Wu and Y.-H. Wang are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]). H.-C. Tseng and T.-L. Hsu are with the United Microelectronics Corporation, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854245

components of a device-under-test (DUT) fabricated on silicon substrate mainly come from the probe pads, metallic interconnects, and semiconducting substrate. In order to obtain the intrinsic device performance from the raw measurement data, the dummy patterns should be carefully designed to reproduce and subtract the extrinsic parasitics of a fixtured device. In previous research [2]–[7], several de-embedding techniques based on lumped equivalent-circuit models have been developed and extensively used over the past years. These physical equivalent-circuit models consist of probe-pad and interconnect parasitics connected in parallel-series configurations. After and subtracting the parasitic components in admittance domains, the impacts of unwanted parasitics on impedance device characterization can be substantially reduced. However, as the operation frequency enters the multi-gigahertz regime and/or the interconnects become longer, these equivalent-circuit models may not work well, because they only take the resistive and inductive effects of the interconnects into account. Recently, a de-embedding technique based on cascade configurations [8], [9] has been developed to overcome the difficulties in the physics-based de-embedding methods. This method models the probe pads, interconnects, and intrinsic device in cascade connection, and does not use any equivalent-circuit representation for the parasitics. Although the two-port cascade-based de-embedding method using ABCD parameters can accurately calculate and eliminate the pad and interconnect parasitics, which comprise the resistive, inductive, capacitive, and conductive elements in both input (gate) and output (drain) ports, it still neglects the parasitics of the dangling leg. In this paper, we propose a three-port -parameter de-embedding procedure based on multiport network analysis for on-wafer characterization of MOSFETs. With the application of the substrate-shielded technique [10], [11], the electromagnetic wave is confined in the vicinity of the microstrip-like transmission line, so that each port of the device can be treated as an individual port without mutual coupling from other ports. Consequently, the redundant parasitics in the gate, drain, and source terminals of a MOSFET can be separately subtracted, and the intrinsic device parameters can be calculated. To substantiate the proposed method, the MOS transistor and dummy structures fabricated using a 0.18 m six-level CMOS technology were characterized up to 20 GHz with a multiport -parameter measurement system, and the full-wave electromagnetic simulation based on the method of moment (MoM) was also accomplished.

0018-9480/$20.00 © 2005 IEEE

CHO et al.: A SHIELD-BASED THREE-PORT DE-EMBEDDING METHOD

2927

Fig. 1. Conventional two-port cascade-based de-embedding method. (a) DUT ] and its corresponding dummy structures. (b) Schematic diagram. [A and Y are the ABCD matrix of the thru dummy and the admittance parameter of the open dummy, respectively.

II. THREE-PORT DE-EMBEDDING THEORY A. Substrate-Shielded On-Wafer Test Structures Fig. 1 illustrates the two-port cascade-based de-embedding method. After subtracting the pad effects of the thru dummies by matrix operation, shown in Fig. 1(b), the distributed parasitic elements in the gate (port 1) and drain (port 2) of a MOSFET can be calculated and then removed. It should be noted that the parasitics of the dangling leg between the device and the ground trace are ignored in this method. For large multifinger MOSFETs, the length of the dangling leg is short, and thus its parasitic effects are negligible. For small-sized MOS devices, nevertheless, the parasitic effects of the dangling leg would be considerable, and should be also taken into account. Fig. 2 shows the proposed three-port de-embedding method. The source terminal (port 3) of a MOSFET is connected to probe pads, instead of connecting to a ground. Here we introduce one open and three thru dummies for subtracting the additional parasitics in the gate, drain, and source terminals of a MOSFET. To minimize the substrate interaction and the crosstalk from port to port, the silicon bulk is shielded using the bottom metal , and the gate, drain, and source ports are placed on the west, east, and south sides, respectively. Then the three-terminal MOSFET can be viewed as an uncoupled system, and each port of it can be de-embedded individually. B. Microwave Network Analysis Consider the three-port network depicted in Fig. 2(b), where the primed quantities are referenced to the probing planes, and and are the amplitudes of incident and reflected waves at

Fig. 2. Proposed three-port de-embedding method. (a) DUT and its corresponding dummy structures. (b) Schematic diagram.

scattering matrix of the DUT meaport , respectively. The . After de-embedding sured at probing planes is denoted by the parasitics associated with the probe pads and interconnects , the reference planes are from the measured -parameters shifted to the terminal planes of the intrinsic device (dotted box), and the de-embedded scattering matrix is denoted by . From the definition of the scattering matrix, we then have (1)

(2) As mentioned in the previous subsection, all three ports of the DUT can be approximately isolated by shielding the silicon scattering matrices of the netsubstrate. Accordingly, the works, composed of probe pads and interconnects between the probing planes and the terminal planes of the intrinsic device, can be written in relation to the incident and reflected waves as (3)

2928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

(4)

(17)

(5) , and represent the scattering matrices where of the parasitics in the gate, drain, and source terminals, respectively. chain scattering matrices ( -maWe can calculate the , and , which include the parasitics of trices) probe pads and interconnects in cascade at the gate, drain, and source ports, as (6) (7) (8) , and are, respectively, where the -matrices of the THRU1, THRU2, and THRU3 dummies can be calculated from the shown in Fig. 2(a), and scattering matrices (9) where is the one-port -parameter of the OPEN dummy shown in Fig. 2(a). It should be noted that here we can ignore the coupling effects between the probe pads at ports 1 and 2 of a thru dummy, because of the use of the bottom shielding. And , and can be converted then the -parameters to -parameters , and . The relationship between the - and -parameters is as follows [12]: (10) (11) Once the , and (3)–(5) can be rewritten as

are measured and calculated,

(12)

(13) Now from (1), (2), (12), and (13), we can relate the de-embedded scattering matrix , which is the desired result, to the measured as one (14) where (15)

(16)

(18)

C. De-Embedding Procedure The proposed parasitic de-embedding procedure for threeport -parameter characterization of MOSFETs is summarized as follows. 1) Measure the scattering matrices , and of the DUT, OPEN, THRU1, THRU2, and THRU3 shown in Fig. 2(a), respectively. from (9) and convert 2) Calculate the -matrix to its -matrix using (11). , and to their 3) Convert -matrices , and , respectively. , and , which in4) Calculate -matrices clude the pad and interconnect parasitics at the gate, drain, and source ports, using (6)–(8). , and to their scattering parame5) Convert ters , and using (10). 6) Calculate the intrinsic scattering parameters of the DUT using (14)–(18). III. RESULTS AND DISCUSSION A standard 0.18 m six-metal-layer CMOS process was used to fabricate the DUT and its corresponding dummy open and thru devices. An 800-nm-thick oxide was deposited on a 10–20 cm p-type silicon substrate, and the top metal and the other metal layers were respectively fabricated using 2 m-thick and 0.6 m-thick aluminum alloys. The thickness of each intermetal dielectric (IMD) is 1.4 m, and the distance from top metal to silicon substrate is 7.8 m. As shown in Fig. 2(a), the NMOS transistor with the dimensions of channel length m and channel width m (5 m 22 fingers) was connected in a three-port ground-signal-ground (GSG) configuration. And the dimensions of the interconnects between pads and transistor are: THRU1 ( m, m); THRU2 m, m); and THRU3 ( m, ( m). The on-wafer three-port -parameter measurements were performed with Agilent N1953B Physical Layer Test System (PLTS), which includes the E8362B Performance Network Analyzer (PNA) and the N4419B test set. Before -parameter measurements, the measurement system was calibrated using the four-port SOLT calibration procedure with Cascade Microtech HPC GSG probes and a ceramic impedance standard substrate (ISS). It should be noted that here we ignore the effects of direct coupling between the RF probes, since the distance between any two signal tips of them is at least 200 m. However, if the dimensions of the RF probes can be decreased so that the distance between them can be shortened, the impacts of the direct coupling between the RF probes on on-wafer measurements should be also taken into account [13].

CHO et al.: A SHIELD-BASED THREE-PORT DE-EMBEDDING METHOD

2929

Fig. 5. Equivalent-circuit representation of a reciprocal three-port network.

Fig. 6. Real and imaginary parts of pad admittances for nonshielded and substrate-shielded three-port open devices.

Fig. 3. Illustration of (a) conventional nonshielded and (b) substrate-shielded three-port open devices (not in scale).

and input capacitances Fig. 7. Pad capacitances C and substrate-shielded three-port open devices.

Fig. 4. Insertion losses of nonshielded and substrate-shielded three-port open devices.

A. Characteristics of Substrate-Shielded Test Structures As has been discussed in the previous literature [14], [15], the forward coupling is mainly owing to the lossy silicon substrate. Therefore, here we adopt the bottom metal to isolate the semiconducting substrate. As shown in Fig. 3, the conventional nonshielded and substrate-shielded three-port open devices have been fabricated and characterized. The shield was connected to the ground plates, which were composed of six shunting metal layers with dense via arrays, to ensure an accurate ground reference. Fig. 4 demonstrates the insertion

C

of nonshielded

losses and of the two structures ( is not shown for symmetry). We find that the forward coupling between each two-ports is significantly reduced by isolating the probe pads from the silicon substrate. The coupling from port 2 to port 1 is slightly lower than that from port 3 to port 1, due to the larger distance between them. For a reciprocal three-port open device, its admittance elements can be described using the equivalent circuit shown in Fig. 5, in which is the pad admittance of the GSG pads at each port, and , and are the coupling admittances among the three ports. Fig. 6 exhibits the conductive and capacitive behavior of the probe pads, i.e., and . For the nonshielded open device, the pad admittances mainly consist of the oxide capacitance, substrate parasitics at each port, and substrate leakage between each two-ports, and hence, show a strongly lossy behavior. For the substrate-shielded open device,

2930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 8. Measured and de-embedded S -parameters of the fixtured MOSFET = 18:77 mA). (a) S and biased at V = V = 1 V and V = 0 V (I S . (b) S and S .

Fig. 9. TLM for the parasitics of the dangling leg. R 48:2 pH, C = 49:7 fF.

= 0:595 ; L =

however, the pad admittances only comprise the capacitive components distributed in the air and the oxide layer, and hence, exhibit a low-loss dielectric property. Fig. 7 illustrates the influences of forward coupling on the probe-pad capacitance, where the pad capacitance is , input capacitance is , and is the angular frequency.

Fig. 10. S -parameters obtained from the proposed de-embedding method with additional TLM to include the parasitics of the dangling leg. The results of the conventional de-embedding method are also shown for comparison. (a) S and S . (b) S and S . The MOSFET was biased at V = V = 1 V and V = 0 V (I = 18:77 mA).

Fig. 11. Comprehensive small-signal equivalent-circuit model for the silicon MOSFET. R = 6:2 ; R = 8:5 ; R = 6:9 ; L = 27:8 pH, L = 0 pH, L = 11:9 pH, C = 47:8 fF, C = 18:2 fF, C = 43:9 fF, = 0:67 fF, R = 568:18 ; G = 21:2 mS,  = 50 fs, C = 26 fF, C = 1271 . R

The considerable difference between the frequency-dependent pad capacitance and input capacitance of the nonshielded open device is observed, and it is believed that the coupling via

CHO et al.: A SHIELD-BASED THREE-PORT DE-EMBEDDING METHOD

Fig. 12.

2931

Real and imaginary parts of the simulated and de-embedded Y -parameters obtained from the fixtured MOS model. The interconnect lengths are l =

150 m, l = 150 m, l = 160 m. (a) Y . (b) Y . (c) Y . (d) Y .

silicon substrate indeed contributes to the input capacitance. By employing the bottom shielding, the pad capacitance and the input capacitance of the substrate-shielded open device are nearly identical, and close to a constant value over the entire frequency range. It implies that the coupling effects among the three ports are efficiently mitigated. Accordingly, for the substrate-shielded open device, we can use the input capacitance instead of the pad capacitance, or, in other words, use the GSG probe pads instead of the multiport open device. These results also support the assumptions mentioned in the proposed three-port de-embedding theory. Based on the above results, it is concluded that the shielding technique can be used to isolate the silicon substrate, and thus, the procedure of parasitic de-embedding can be simplified. B. Effects of External Parasitics on Device Characteristics To substantiate the proposed three-port de-embedding method, we measured the -parameters of the substrateshielded DUT and dummy structures shown in Fig. 2(a). The surrounding parasitics of the MOSFET biased at V, V, and V ( mA) were deembedded using the conventional two-port and proposed three-port de-embedding methods, respectively. It should be noted that here the conventional two-port de-embedding method uses the same DUT and dummy patterns, except for the THRU3 dummy for the dangling leg, as the proposed three-port one does for measurement consistency. If the parasitics in the source terminal remained, the three-port de-embedding method would degenerate to the conventional two-port de-embedding

method. After respectively subtracting the parasitic effects using these two methods, we then converted the de-embedded three-port networks into the common-source MOSFET configurations to investigate the effects of the parasitics of the dangling leg on device characteristics. Fig. 8 compares the measured and de-embedded -parameters of the MOSFET. The large difference between the measured and de-embedded results is observed. It is because the raw measurement data contain not only the intrinsic device parameters, but also the probe-pad and interconnect parasitics, which are comparable to the intrinsic device parameters. In addition, the difference between the conventional and proposed de-embedding methods due to the parasitics of the dangling leg is also demonstrated. The redundant parasitics of the source terminal will lower the accuracy of the extracted small-signal model parameters for silicon MOSFETs. For instance, the inductive parasitics of the probe pads and interconnects in the source terminal will affect the extracted values of source inductance and intrinsic capacitances of a MOSFET model [16]. The transmission-line model (TLM) illustrated in Fig. 9 was inserted between the source terminal and the ground reference of the de-embedded network using the proposed method, to simulate the additional source parasitics of the de-embedded network using the conventional method. As shown in Fig. 10, the calculated -parameters obtained from the proposed de-embedding method with additional TLM are in excellent agreement with the conventional de-embedding method over the entire frequency range. It should be noted that the “ ” curves used to represent the results of the conventional de-embedding method are the same

2932

Fig. 13.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Real and imaginary parts of the simulated and de-embedded Y -parameters obtained from the fixtured MOS model. The interconnect lengths are l =

150 m, l = 150 m, l = 60 m. (a) Y . (b) Y . (c) Y . (d) Y .

in Figs. 8 and 10. The circuit parameters of this TLM extracted by curve-fitting techniques are pH, and fF. C. Verification Using Electromagnetic Simulator In this subsection, Agilent Momentum, a MoM-based full-wave simulator, was used in conjunction with an intrinsic device of known behavior to adequately check the validity of the proposed three-port de-embedding method, and fairly compare it with the conventional two-port de-embedding method. As shown in Fig. 11, a comprehensive small-signal model was used as the intrinsic device, and its circuit parameters were extracted from a NMOSFET fabricated in a 0.18 m six-metal-layer CMOS process, with the dimensions of channel length m and channel width m [17]. The substrate-shielded DUT and dummy structures shown in Fig. 2(a) have been simulated by setting the substrate resistivity equal to 20 cm, and the metal conductivity equal to S/m, respectively. To investigate the impacts of the parasitics of the dangling leg on device characteristics, the DUT and dummy structures with two different interconnect dimensions listed below were designed and simulated. m, m, m. Case 1: m, m, m. Case 2: Figs. 12 and 13 show the simulated and de-embedded -parameters as functions of frequency obtained from the two different fixtured devices. It is quite obvious that the proposed de-embedding method gives much better consistency between

itself and the intrinsic device than the conventional de-embedding method does. These results also indicate that the longer the dangling leg is used for interconnection between the intrinsic device and the ground reference, the more parasitic effects it will have on the high-frequency device characteristics. IV. CONCLUSION In this paper, a three-port parasitic de-embedding theory using a shielding technique for microwave on-wafer characterization has been derived and validated. We introduced the substrate-shielded test structures to prevent the substrate leakage and the forward coupling between each two-ports. For this reason, each port of the DUT can be viewed as an isolated port without cross-coupling from other ports, and thus, the external parasitics in each port can be individually de-embedded to calculate the intrinsic device parameters. Compared with the conventional two-port cascade-based de-embedding method, the proposed method, using one open and three thru dummy structures, can further subtract the additional parasitics of the source terminal of a fixtured MOS transistor. Consequently, the impacts of the surrounding parasitics on device modeling and simulation can be completely removed, and the intrinsic device performance can be obtained. ACKNOWLEDGMENT The authors would like to thank the staff members of UMC for the fabrication of samples, and S. D. Wu, S. C. Wang, X. F. Shao, and Y. Cho for useful discussions.

CHO et al.: A SHIELD-BASED THREE-PORT DE-EMBEDDING METHOD

2933

REFERENCES [1] M. B. Jenner and T. E. Kolding, “Test structures and techniques for on-wafer CMOS TRL calibration,” in Proc. IEEE Int. Conf. Microelectron. Test Struct., Mar. 2001, pp. 137–141. [2] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar Circuits Technol. Meeting, 1991, pp. 188–191. [3] H. Cho and D. E. Burk, “A three-step method for the de-embedding of high-frequency S -parameter measurements,” IEEE Trans. Electron Devices, vol. 38, no. 6, pp. 1371–1375, Jun. 1991. [4] S. Lee, B. R. Ryum, and S. W. Kang, “A new parameter extraction technique for small-signal equivalent circuit of polysilicon emitter bipolar transistors,” IEEE Trans. Electron Devices, vol. 41, no. 2, pp. 233–238, Feb. 1994. [5] T. E. Kolding, “A four-step method for de-embedding gigahertz on-wafer CMOS measurements,” IEEE Trans. Electron Devices, vol. 47, no. 4, pp. 734–740, Apr. 2000. [6] E. P. Vandamme, D. M. M.-P. Schreurs, and C. V. Dinther, “Improved three-step de-embedding method to accurately account for the influence of pad parasitics in silicon on-wafer RF test structures,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 737–742, Apr. 2001. [7] L. F. Tiemeijer and R. J. Havens, “A calibrated lumped-element de-embedding technique for on-wafer RF characterization of high-quality inductors and high-speed transistors,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 822–829, Mar. 2003. [8] C. H. Chen and M. J. Deen, “A general procedure for high-frequency noise parameter de-embedding of MOSFET’s by taking the capacitive effects of metal interconnections into account,” in Proc. IEEE Int. Conf. Microelectron. Test Struct., Mar. 2001, pp. 109–114. , “A general noise and S -parameter de-embedding procedure for [9] on-wafer high-frequency noise measurements of MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1004–1005, May 2001. [10] T. E. Kolding, “Shield-based microwave on-wafer device measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1039–1044, Jun. 2001. [11] T. E. Kolding and C. R. Iversen, “Simple noise deembedding technique for on-wafer shield-based test fixtures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 11–15, Jan. 2003. [12] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1997, ch. 1. [13] W. Steiner, M. Pfost, H.-M. Rein, A. Sturmer, and A. Schuppen, “Methods for measurement and simulation of weak substrate coupling in high-speed bipolar ICs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1705–1713, Jul. 2002. [14] T. E. Kolding, “Impact of test-fixture forward coupling on on-wafer silicon device measurements,” IEEE Trans. Microw. Guided Wave Lett., vol. 10, no. 2, pp. 73–74, Feb. 2000. , “General accuracy considerations of microwave on-wafer silicon [15] device measurements,” in Dig. IEEE MTT-S Int. Microwave Symp., vol. 3, Jun. 2000, pp. 1839–1842. [16] S. Lee, H. K. Yu, C. S. Kim, J. G. Koo, and K. S. Nam, “A novel approach to extracting small-signal model parameters of silicon MOSFETs,” IEEE Trans. Microw. Guided Wave Lett., vol. 7, no. 3, pp. 75–77, Mar. 1997. [17] S. C. Wang, G. W. Huang, K. M. Chen, A. S. Peng, H. C. Tseng, and T. L. Hsu, “A practical method to extract extrinsic parameters for the silicon MOSFET small-signal model,” in Proc. Workshop Compact Modeling, 2004, pp. 151–154.

Guo-Wei Huang (S’94–M’97) was born in Taipei, Taiwan, R.O.C., in 1969. He received the B.S. degree in electronics engineering and the Ph.D. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1991 and 1997, respectively. In 1997, he joined National Nano Device Laboratories, Hsinchu, Taiwan, R.O.C., where he is currently a Researcher. His current research interests focus on microwave device design, characterization and modeling.

Lin-Kun Wu (S’81–M’86) was born in Hsinchu, Taiwan, R.O.C., in 1958. He received the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Kansas, Lawrence, in 1982 and 1985, respectively. From November 1985 to December 1987, he was a Post-Doctoral Research Associate at the Center for Research Inc., University of Kansas, where he was involved with microwave remote sensing and computational electromagnetics. In 1988, he joined the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, R.O.C., where he is currently a Professor. His current research interests include computational electromagnetics, biological effects and medial applications of electromagnetic energy, and electromagnetic compatibility.

Chia-Sung Chiu was born in Taipei, Taiwan, R.O.C., in 1978. He received the M.S. degree in electronics engineering from Chung Yuan Christian University, Chung Li, Taiwan, R.O.C., in 2002. He is currently an Assistant Researcher with National Nano Device Laboratories, Hsinchu, Taiwan, R.O.C. His current research interests focus on RF device/circuit testing and surface acoustic sensing.

Yueh-Hua Wang was born in I-Lan, Taiwan, R.O.C., in 1971. He received the electrical engineering Diploma degree from the National Taipei Institute of Technology, Taipei, Taiwan, R.O.C., in 1991, and the M.S. degree in communication engineering from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1995, where he is currently working toward the Ph.D. degree. He is currently a Technical Manager with RF Micro Devices, Taipei, Taiwan, R.O.C. His current research focuses on power amplifier design and high linearity characterization.

Ming-Hsiang Cho was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degree in electronics engineering from the National Taipei University of Technology, Taipei, Taiwan, R.O.C., in 1999, and the M.S. degree in communication engineering from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C. in 2001. He is currently an Assistant Researcher with National Nano Device Laboratories, Hsinchu, Taiwan, R.O.C. His present research interests include the microwave de-embedding technique, characterization of high-speed interconnects, and electromagnetic simulation. Mr. Cho is a member of Phi Tau Phi.

Kun-Ming Chen (M’01) received the M.S. and Ph.D. degrees in electronics engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1996 and 2000, respectively. He joined the National Nano Device Laboratories, Hsinchu, Taiwan, R.O.C., in 2000 as an Associate Researcher. He was engaged in research on the microwave device process and characterization.

2934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Hua-Chou Tseng received the B.S. and Ph.D. degrees in electronic engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1991 and 1997, respectively. After graduating from NCTU, he joined United Microelectronics Corporation (UMC), Hsinchu, Taiwan, R.O.C., in 1997, and developed the low-power 6T-SRAM, including the process integration and the device tuning of 0.25 m, 0.18 m, and 0.15 m generations. He is currently the Group Leader of RF-CMOS and SiGe-BiCMOS technology development at UMC. His research interests have included low-temperature Si/SiGe selective epitaxial growth and gas source doping for ultrashallow junction formation. Dr. Tseng is the International Technical Committee Member of the International SiGe Technology and Device Meeting (ISTDM).

for poly-emitter BJT.

Tsun-Lai Hsu received the M.S. and Ph.D. degrees in electrical engineering from National Tsing Hua University, Hsinchu, Taiwan, R.O.C., in 1992 and 1996, respectively. He is currently with United Microelectronics Corporation, Hsinchu, Taiwan, R.O.C., where he has been working on RF active/passive devices analysis/design for RF-CMOS and SiGe-BiCMOS technology development since 2001. His research interests have included reliability studies, base resistance physical analysis, and 1=f noise measurement

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2935

Electromagnetic Bandgap Power/Ground Planes for Wideband Suppression of Ground Bounce Noise and Radiated Emission in High-Speed Circuits Tzong-Lin Wu, Senior Member, IEEE, Yen-Hui Lin, Ting-Kuang Wang, Chien-Chung Wang, and Sin-Ting Chen

Abstract—A power/ground planes design for efficiently eliminating the ground bounce noise (GBN) in high-speed digital circuits is proposed by using low-period coplanar electromagnetic bandgap (LPC-EBG) structure. Keeping solid for the ground plane and designing an LPC-EBG pattern on the power plane, the proposed structure omnidirectionally behaves highly efficiently in suppression of GBN (over 50 dB) within the broad-band frequency range (over 4 GHz). In addition, the proposed designs suppress radiated emission (or electromagnetic interference) caused by the GBN within the stopband. These extinctive behaviors of low radiation and broad-band suppression of the GBN is demonstrated numerically and experimentally. Good agreements are seen. The impact of the LPC-EBG power plane on the signal integrity for the signals referring to the power plane is investigated. Two possible solutions, differential signals and an embedded LPC-EBG power plane concept, are suggested and discussed to reduce the impact. Index Terms—Electromagnetic bandgap (EBG), electromagnetic interference (EMI), ground bounce noise (GBN), high-speed digital circuits, radiation, signal integrity (SI), simultaneously switching noises (SSN).

I. INTRODUCTION

W

ITH the trends of fast edge rates, high clock frequencies, and low voltage levels for the high-speed digital computer systems, the ground bounce noise (GBN) or simultaneously switching noise (SSN) on the power/ground planes is becoming one of the major challenges for designing the highspeed circuits. Because of the parallel-plate waveguide structure between power and ground planes in the advanced high-speed packages, the resonance modes of the parallel-plate waveguide can be excited by the GBN. Research has shown the resonance noise propagating between the power and ground planes could cause serious signal integrity (SI) or power integrity (PI) problems for the high-speed circuits [1]–[3]. Moreover, due to the cavity resonance effect between the power/ground planes, the GBN also results in significant radiated emissions or electromagnetic interference (EMI) issues [3].

Manuscript received November 29, 2004; revised May 18, 2005. This work was supported by the National Science Council, Taiwan, R.O.C., under Grant NSC 93-2213-E-110-010. T.-L. Wu is with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Y.-H. Lin, T.-K. Wang, C.-C. Wang, and S.-T. Chen are with the Department of Electrical Engineering, National Sun Yat-sen University, Kaohsiung 80424, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.854248

Several researchers have contributed to the mitigation of the GBN. Adding decoupling capacitors between power and ground planes is a typical way to eliminate the GBN and reduce the EMI, but they are not effective above a few hundred megahertz due to the unavoidable lead inductance. Although using the isolation moat [3] on the power or ground plane or selecting the location of the via ports to eliminate the excitation of the GBN [4] could suppress the GBN at higher frequencies, these approaches are suitable only to suppress the GBN at specific locations. Recently, a new idea for eliminating the GBN is proposed by using a photonic bandgap (PBG) [5] or electromagnetic bandgap (EBG) structure on the ground plane to form a high-impedance surface (HIS) [1], [2]. By designing the forbidden bandgap of the EBG structure within the resonant mode frequencies of the power and ground planes, this structure offers an efficient suppression of the GBN propagating in omnidirection of the planes. However, multilayer substrates with specially designed via are required in the EBG structure for achieving the HIS on the ground plane [2]. This paper proposes a novel power/ground planes design using a low-period coplanar EBG structure (LPC-EBG). Although a similar EBG structure designed on the ground plane has been used in filter or antenna design in the microwave range (above 10 GHz) [6], it has not been applied in the elimination of the GBN on the power/ground planes of the high-speed digital circuits. The key features of this new concept is keeping solid or continuous for the ground plane and designing the LPC-EBG structure on the power plane. Due to the periodic inductor and capacitor (LC) networks realized by the combining effect of the solid ground and the LPC-EBG power plane, the bandstop behavior can be achieved. This design is suitable for applying in high-speed circuits with GBN dominantly existing in the low-frequency range below 6 GHz [1]. The advantages of this design are broad-band suppression of the GBN due to the combining effect of the LPC-EBG structure on the power plane and the continuous plane on the ground plane, low EMI caused by the GBN because of the continuous ground reference, and low cost due to the compatibility with the conventional printed circuit board (PCB) or package substrate manufacturing process. This paper is organized as follows. Section II describes the design concept and corresponding theoretical model of the proposed LPC-EBG structure. In Section III, the distinctive behavior of GBN elimination, both in frequency and time domains, is measured and compared with simulation by the three-dimensional (3-D)-finite-difference time-domain (FDTD) method. The broad-band EMI suppression performance is also

0018-9480/$20.00 © 2005 IEEE

2936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

of one square metal pad and four connecting narrow bridges. The corresponding geometrical parameters of the unit cell are , where is the unit cell period, is denoted as the bridge length, is the bridge width, is the half-gap beis the gap between the metal tween adjacent unit cells, and pad and the bridge. The corresponding parameters for the designs in Fig. 1(a) and (b) are (30, 5, 1, 1, and 1 mm) and (18, 3, 1, 1, and 1 mm), respectively. The main differences of these and the bridge length . two designs are the cell period It is noted that these five geometrical parameters significantly influence the bandstop behavior. The parameters of these two designs are obtained through an optimal process for achieving wider stopband bandwidth. As will be shown in the next section, these two examples perform broader stopband than our previous design [5]. B. Equivalent Model and Stopband Prediction Fig. 1. Schematic diagram of proposed test boards. (a) 9-cell LPC-EBG board. (b) 25-cell LPC-EBG board.

Fig. 2. (a) Two unit cells of the LPC-EBG and its corresponding parameters. (b) Equivalent circuit model for the two connecting unit cells in Fig. 2(a).

presented in this section. The impact of the LPC-EBG structure on the SI is discussed, and corresponding solutions are suggested in Section IV. Conclusions are drawn in Section V. II. DESIGN AND MODEL OF THE LPC-EBG POWER PLANE A. Structure Design In high-speed digital circuit design, power and ground planes are embedded in multilayer substrate of PCB to provide the return current for the high-speed signals and supply the necessary dc voltage. From the SI point of view, keeping the reference planes continuous is important, to have a good signal quality. Therefore, in our design, the ground plane is kept continuous, and the LPC-EBG structure is applied on the power plane. Fig. 1(a) and (b) show two power/ground plane designs with 9 (3 by 3) and 25 (5 by 5) unit cells on a two-layer FR4 PCB substrate. The dimension of the substrate is 90 mm 90 mm with 0.4 mm thickness. Fig. 2(a) shows two unit cells of the LPC-EBG connected by the bridges. Each unit cell consists

Although the proposed structure is constructed under a two-dimensional (2-D) concept, a simple 1-D wave propagation model is developed to efficiently predict the bandwidth and center frequency of the stopband for the LPC-EBG structure. Fig. 2(b) shows the equivalent circuit model for two connecting unit cells shown in Fig. 2(a). Each unit section of the equivalent model consists of two parts. The first part describes the propagation characteristics between the square metal pad on the power plane and the continuous ground plane, using an equivalent inductance and capacitance . The second part describes the connecting characteristics of the two adjacent unit cells, which include the bridging effect with the bridge inductance , bridge capacitance , and the capacitive gap coupling effect between two adjacent unit cells. It is assumed that infinite unit sections are periodically cascaded, as shown in Fig. 2(b), to represent the EBG structure. Next, the dispersion behavior for the current on this periodic circuit is derived. As shown in Fig. 2, the current on the first and the second part (sum of the current on and ) part and . The electric charges of section are denoted as and of section are denoted as and . The on relations between the currents and node charges can be derived as (1) (2) (3) (4) Differentiating (3) and (4) with time, and combining with (1) and (2), yields

(5)

(6)

WU et al.: ELECTROMAGNETIC BANDGAP POWER/GROUND PLANES

2937

TABLE I ESTIMATED PAD/BRIDGE/GAP INDUCTANCE AND CAPACITANCE OF THE LPC-EBG STRUCTURE

Considering the periodic conditions of the EBG, it is assumed and in (5) and (6) have the that the wave solutions for same frequency and wave number but different amplitudes, and are expressed as (7) (8) . where Substituting (7) and (8) into (5) and (6), and setting the deand to be zero, we obtain terminant of the coefficients of the dispersion relation between and as

(9) The values of the parameters , and are easily obtained by the transmission line theory for the microstrip is calculated as line. The gap-coupling capacitance , where is the width of the square corner pad, and is the distance between the centers of the neighboring corner pads [7]. The corresponding parameter values for the 9-cell and 25-cell LPC-EBG boards are listed in Table I. Employing (9), Fig. 3(a) and (b) show the dispersion diagrams ( as a function of ) for 9-cell and 25-cell LPC-EBG boards, respectively. As shown in Fig. 3, there are two modes solved by (9), and a bandgap is clearly seen between these two modes. The bandwidth of the stopband predicted by the 1-D circuit model for the 9-cell board is 4.2 GHz, ranging from 1 to 5.2 GHz, and for the 25-cell board is 5.7 GHz, ranging from 2 to 7.7 GHz. Validity of this simple model will be checked by the measurement in the next section. III. NUMERICAL AND EXPERIMENTAL RESULTS A. GBN Suppression 1) Frequency Domain: We first see the bandstop behavior for eliminating the GBN in frequency domain. Fig. 4(a) and for the 9-cell (b) show both the measured and simulated and 25-cell LPC-EBG boards, respectively. The behaviors of the reference board with both power and ground plane being solid (or continuous) are also included in these two figures for comparison. The 3-D-FDTD approach is used to simulate the GBN behavior for all power/ground plane structures. As shown in Fig. 4(a) and (b), good agreement between the measurement

Fig. 3. Dispersion diagrams (f as a function of k ). (a) 9-cell LPC-EBG board. (b) 25-cell LPC-EBG board.

and 3-D-FDTD prediction is obtained. Slight discrepancy between them occurs at resonant peaks and at higher frequencies, where the numerical prediction is higher than the measured results. The reasons are that the dispersion property of the FR4 structure and the conductor loss due to skin effect is not considered in our FDTD modeling. Comparing both LPC-EBG boards with the reference board, it is clearly seen that the proposed LPC-EBG power/ground planes significantly eliminate the GBN with, on average, an over-50-dB suppression in a broad-band frequency range. Here, the bandwidth is defined lower than dB. The simulated and as the range of the measured stopband bandwidth for 9-cell board is 3.9 and 4.1 GHz, respectively, and is 5.7 and 6.3 GHz, respectively, for the 25-cell board. The simulated and measured center frequency is 2.9 and 3.0 GHz, respectively, for the 9-cell board, and is 5.2 and 5.3 GHz for the 25-cell board. It is seen that the measured bandwidth and center frequency of the stopband are slightly higher than the simulated one for both boards. The reason could

2938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Measured GBN suppression behavior for the noise excited at different locations; ports 2, 3, and 4, respectively.

Fig. 4. Comparison of jS j obtained by 3-D-FDTD and measurement. (a) 9-cell LPC-EBG board. (b) 25-cell LPC-EBG board. TABLE II BANDWIDTH

AND CENTER FREQUENCY COMPARISON FOR THE PROPOSED LPC-EBG STRUCTURE

also be that the conductor loss and the dielectric dispersion broaden the stopband at a higher frequency range, i.e., near 5 GHz for the 9-cell board and near 8 GHz for the 25-cell board. Table II compares the bandwidth and center frequency obtained by the 3-D-FDTD, the measurement, and the 1-D equivalent circuit model. It is found that the 1-D circuit model has good accuracy in predicting the stopband behavior of the LPC-EBG structure. As shown in Table II, the difference from either the 3-D-FDTD approach or the measurement is all , and for below 8%. Fig. 5 shows the measured the 9-cell board, where the receiving port (port1) is located at (15 mm, 76 mm), and the noise is, respectively, excited at different locations, port2 (45 mm, 72 mm), port3 (48 mm, 45

mm), and port4 (48 mm, 12 mm). The left lower corner of the board is defined as the zero point of the coordinate. It is seen that the proposed design provides the similar broad-band GBN suppression behavior for different positions of the noise. This behavior demonstrates that the proposed power/ground planes can omnidirectionally eliminate the GBN on the power plane. 2) Time Domain: Next, we try to understand the GBN suppression capability in the time domain for the proposed power plane. The power/ground planes of those test boards are excited by a pulse-pattern generator (Anritsu MP1763C) to emulate the GBN on the power plane, and the coupling noise at the receiving port is measured in the time domain by the a signal analyzer (Tektronix CSA8000). All test boards, including the reference, 9-cell, and 25-cell boards are measured. Fig. 6(a) shows the waveform of the excitation waveform launched from the pattern generator. It is a periodic square-like wave with frequency 2.25 GHz and amplitude 125 mV. The locations of the excitation ports are (45 mm, 45 mm) for all test boards, and receiving ports are (15 mm, 75 mm) for both reference and 9-cell boards and (27 mm, 63 mm) for 25-cell board. Fig. 6(b), (c), and (d) show the measured GBN at the receiving port for the reference, 9-cell, and 25-cell boards, respectively. It is seen that peak-to-peak amplitude of the coupling noise is about 44, 7, and 11 mV, respectively, for these three boards. Compared with the reference board, the GBN can be reduced about 84% and 75%, respectively. It is clearly seen that the GBN is significantly reduced by the proposed LPC-EBG power planes. B. Radiation (or EMI) Elimination Previous literature showed that the microstrip line on the EBG structure may cause significant leakage radiation on the stopband, due to an imperfect reference plane [8]. Low radiation or EMI is important in high-speed circuits for the compliance of the strict electromagnetic compatibility (EMC) regulations. This subsection numerically and experimentally investigates the EMI performance of the proposed LPC-EBG power/ground plane structure by comparing with the reference board. Fig. 7 shows the EMI measurement setup in an EMC fully anechoic chamber. The test board is put on the wooden table,

WU et al.: ELECTROMAGNETIC BANDGAP POWER/GROUND PLANES

2939

Fig. 6. Measured GBN suppression behavior in the time domain for the proposed power plane. (a) The waveform of the excitation source launched from a pattern generator. (b) Coupling GBN at the receiving port for the reference board. (c) Coupling GBN at the receiving port for 9-cell LPC-EBG board. (d) Coupling GBN at the receiving port for 25-cell LPC-EBG board.

Fig. 7. Measurement setup for EMI in 3 m fully anechoic chamber.

and the RF signal of 20 mV generated by the signal source (HP 8324) is launched into the power plane of the board through the high-frequency connector. The height of the receiving antenna and test board is fixed at 1.2 m from the floor of the chamber, and the distance between them is 3 m. The radiated E-field below 1 GHz is measured by a bi-log antenna (Chase

CBL611 B), and above 1 GHz, the horn antenna (R&S HF906) is employed. The wooden table with test board is rotated in 360 at the speed of 4.5 /s for each excited frequency point, and the maximum radiated E-field is recorded by the spectrum analyzer (R&S FSP) with 100 kHz resolution bandwidth. The simulated radiated E-field in 3-D-FDTD modeling is obtained by the near-field and far-field transformation of Kirchhoff’s surface integral [9] and Fourier transforms with source normalization method [3]. Fig. 8(a) and (b) show the simulated and measured EMI radiation at 3 m distance for the 9-cell and 25-cell LPC-EBG boards, respectively. The reference board with both power and ground plane being solid is also included in both figures for comparison. Only the EMI behaviors below 4 GHz are measured, due to the limitation of our signal generator. It is seen that the agreement between the measurement and the simulation is reasonably good. For the reference board, there are several radiation peaks with strength over 55 dB V/m at 1.6, 2.3, 3.3, and 3.7 GHz, which are corresponding to the resonance frequencies of the cavity modes for the 9 cm board. However, for the 9-cell and 25-cell boards, all of the radiation peaks have been suppressed with the average radiation strength under 40 dB V/m. It is clearly seen that the proposed power/ground plane design performs with significantly low EMI behavior at the designed bandgap frequency ranges, although several etched slots are designed on the power planes.

2940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 9. Four-layer structure with transmission line transient between the 9-cell LPC-EBG power plane and solid ground plane. (a) Single-ended trace. (b) Differential traces.

Fig. 8. Simulated and measured EMI radiation at 3 m. (a) 9-cell LPC-EBG board. (b) 25-cell LPC-EBG board.

IV. IMPACT ON THE SI AND POSSIBLE SOLUTIONS Although the proposed power/ground planes designs show excellent performance on eliminating the GBN and corresponding EMI at broad-band frequency ranges, the power planes with etched slots would degrade the signal quality for the signal traces referring to the imperfect power plane [10]. This section will discuss the impact of the proposed LPC-EBG power plane on the SI, and two possible solutions to improve the SI are discussed. A. Single-Ended and Differential Signals Fig. 9(a) and (b) show the single-ended and differential traces, respectively, of 60 mm passing from the first (top) layer to the fourth (bottom) layer and back to the first layer, with two via transitions along the signal path. The second and third layers are the 9-cell power plane and solid ground plane, respectively. It is known that via transitions and imperfect reference plane are two of the main factors to influence the signal quality for the highspeed signals. This setup in Fig. 9 tries to evaluate the impact of the LPC-EBG power plane on the signal quality for the signals, both referring to the power plane and with via transitions. The traces are designed as 50 for the single-ended signal, and 100 for the differential impedance. Eye patterns for evaluating the

signal quality are obtained following three steps. First, the twoport and four-port -parameters for the single-ended and differential cases, respectively, are simulated by the 3-D-FDTD. The broad-band SPICE-compatible models are then extracted by the commercial tool SPEED2000 (the boardband SPICE module) using the solved -parameters. According to the broad-band SPICE models, the eye patterns at the output side are finally generated in the HSPICE environment by launching a pattern source of - pseudorandom bit sequence (PRBS), nonreturn to zero (NRZ), coded at 2.5 GHz. The bit-sequence swing and the nominal rise/fall time are 500 mV and 120 ps, respectively, for the single-ended case, and 250 mV and 100 ps for the differential cases. Fig. 10(a) and (b) show the simulated eye patterns for the reference board with continuous power plane and the 9-cell board, respectively. Two parameters, maximum eye open (MEO) and maximum eye width (MEW), are used as metrics of the eye pattern quality. It is seen that for the reference board, MEO mV and MEW ps, and for the 9-cell board, MEO mV and MEW ps. Compared with the reference board, the degradation of the MEO and MEW for the 9-cell board is about 17% and 4.6% in the single-ended case. It is believed that this mild degradation is acceptable in practical high-speed circuits. Furthermore, through suitable components placement and layout designs, such as routing lower speed signals on the top layer and keeping high-speed traces on the bottom layer, keeping high-speed traces shorter, or avoiding the high-speed signals crossing the cells, the overall SI performance will be significantly better than the previously simulated case. However, if long and high-speed signals are still necessary on the first layer, the differential signaling approach is a good solution in the LPC-EBG power/ground planes design. Fig. 10(c) shows the eye patterns of the differential signals at the outside of the configuration in Fig. 9(b). The MEO and MEW are 471 mV and 389 ps, respectively. Compared with the single-ended case on the 9-cell LPC-EBG board, the improvement of the MEO and MEW is about 30% and 5% in the differential-signal case. It is seen that the SI performance of the differential approach is significantly better than the single-ended cases, both in the 9-cell boards.

WU et al.: ELECTROMAGNETIC BANDGAP POWER/GROUND PLANES

2941

Fig. 11. Schematic of embedded 9-cell LPC-EBG power plane with ground via stitching structure.

Fig. 12. Comparison of jS j between embedded 9-cell LPC-EBG power plane and embedded solid power-plane structure obtained by 3-D-FDTD and HFSS.

Fig. 10. Simulated eye patterns. (a) Reference board (continuous power plane) with single-end trace. (b) 9-cell LPC-EBG board with single-end trace. (c) 9-cell LPC-EBG board with differential traces.

B. Embedded LPC-EBG Power Planes Another idea to solve the SI issues for the EBG-power plane is adding one more ground plane above the EBG power plane. As shown in Fig. 11, the LPC-EBG power plane is embedded between two solid ground planes with the vias electrically connecting these two planes. To keep the performance of the broad-band GBN suppression, a suitable design for the vias distribution and the substrate thickness between the added ground plane and the power plane is needed.

As shown in Fig. 11, an embedded 9-cell LPC-EBG board is designed with the added substrate thickness being 0.4 mm. There are 16 vias on each unit cell; each corner pad has four via uniformly distributed with distance 7.5 mm. Fig. 12 shows the frequency-domain response of the embedded LPC-EBG power plane. The reference board with the continuous embedded power plane is also presented for comparison. The results are simulated both by the 3-D-FDTD method and the HFSS, based on the finite-element method. The agreement between these two approaches shows the validity of the simulated GBN-suppression behavior. It is seen that the designed embedded LPC-EBG power plane still maintains broad-band GBN suppression in the frequency range from 900 MHz to 4.8 GHz. Compared with the performance using a two-layer design (one EBG power and one ground plane) shown in Fig. 5, the embedded power plane using three layers still keep excellent GBN elimination capability. The main advantage of this design is that the signal quality will be better than the previous design, because both reference planes are now continuous, but the design cost will be increased because one more layer is needed. V. CONCLUSION A novel power/ground planes design using an LPC-EBG structure is proposed to eliminate the GBN or SSN in high-speed circuits. Two example designs, 9-unit cell and 25-unit cell LPC-EBG boards, are implemented, and their extinctive performance of efficient and wideband GBN suppression is theoretically and experimentally demonstrated both in time and frequency domains. It has been shown the LPC-EBG power plane behaves over a 4-GHz stopband with an average

2942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

of over 50-dB reduction of the GBN. A simple 1-D equivalent circuit model with the periodic boundary conditions is also developed to predict their stopband characteristics. In addition, the proposed design suppresses low radiated emission (or EMI) resulting from the GBN at the designed stopband, although there are several etched slots on the power plane. The impact of the LPC-EBG power plane on the SI for the signal traces referring to the power plane is investigated. Two possible solutions, differential signals and embedded LPC-EBG power planes, are proposed to decrease the influence on the SI.

Yen-Hui Lin was born in Chiayi, Taiwan, R.O.C., on February 8, 1977. He received the B.S.E.E. degree in 1999, and the Ph.D. degree in 2005, both from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C. His research interests include the signal integrity (SI) and EMI designs in high-speed digital circuits and numerical EM field analysis for EMC problems. Dr. Lin received the Best Paper Award from the Taiwan Print Circuit Association (TPCA) in 2004.

REFERENCES [1] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [2] T. Kamgaing and O. M. Ramahi, “A novel power plane with integrated simultaneous switching noise mitigation capability using high impedance surface,” IEEE Microw. Compon. Lett., vol. 13, no. 1, pp. 21–23, Jan. 2003. [3] T. L. Wu, S. T. Chen, J. N. Huang, and Y. H. Lin, “Numerical and experimental investigation of radiation caused by the switching noise on the partitioned dc reference planes of high-speed digital PCB,” IEEE Trans. Electromagn. Compat., vol. 46, no. 1, pp. 33–45, Feb. 2004. [4] G.-T. Lei, R. W. Techentin, and B. K. Gilbert, “High frequency characterization of power/ground-plane structures,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 562–569, May 1999. [5] T. L. Wu, Y. H. Lin, and S. T. Chen, “A novel power planes with low radiation and broadband suppression of ground bounce noise using photonic bandgap structures,” IEEE Microw. Compon. Lett., vol. 14, no. 7, pp. 337–339, Jul. 2004. [6] R. Coccioli, F. R. Yang, K. P. Ma, and T. Itoh, “Aperture-coupled patch antenna on UC-PBG substrate,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2123–2130, Nov. 1999. [7] D. F. Sievenpiper, “High-impedance electromagnetic surfaces,” Ph.D. dissertation, Dept. Elect. Eng., Univ. California at Los Angeles, Los Angeles, CA, 1999. [8] N. Shino and Z. Popovic, “Radiation from ground-plane photonic bandgap microstrip waveguide,” in Dig. IEEE MTT-S Int. Microw. Symp., Jun. 2002, pp. 1079–1082. [9] O. M. Ramahi, “Near-field and far-field calculation in FDTD simulations using Kirchhoff surface integral representation,” IEEE Trans. Antennas Propag., vol. 45, no. 5, pp. 753–759, May 1997. [10] Y. H. Lin and T. L. Wu, “Investigation of signal quality and radiated emission of microstrip line on imperfect ground plane: FDTD analysis and measurement,” in Proc. IEEE Int. Symp. Electromagn. Compat., Montreal, QC, Canada, Aug. 2001, pp. 319–324.

Tzong-Lin Wu (S’93–M’98–SM’04) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1991 and 1995, respectively. From 1995 to 1996, he was a Senior Engineer with Microelectronics Technology, Inc., Hsinchu, Taiwan, R.O.C. From 1996 to 1998, he was with the Central Research Institute, Tatung Company, Taipei, Taiwan, R.O.C., where he was involved with the analysis and measurement of EMC/EMI problems of high-speed digital systems. From 1998 to 2005, he was with the Electrical Engineering Department, National Sun Yat-Sen University (NSYSU), Kaohsiung, Taiwan, R.O.C. He is currently an Associate Professor with the Department of Electrical Engineering amd Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include design and analysis of fiber-optic components, EMC and signal-integrity design, and measurement for high-speed digital/optical systems. Dr. Wu received the Excellent Research Award and Excellent Advisor Award from NSYSU in 2000 and 2003, respectively, the Outstanding Young Engineers Award from the Chinese Institute of Electrical Engineers in 2002, and the Wu Ta-You Memorial Award from the National Science Council (NSC) in 2005. He was also listed in Marquis Who’s Who in the World in 2001. He is a member of the Chinese Institute of Electrical Engineers.

Ting-Kuang Wang was born in Tainan, Taiwan, R.O.C., on December 27, 1980. He received the B.S.E.E. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree at the same university. His current research interest is the power-integrity design in high-speed circuits.

Chien-Chung Wang was born in Tainan, Taiwan, R.O.C., in 1979. He received the B.S.E.E. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in electrical engineering at the same university. His research interests include the EMI/SI measurement for high-speed digital circuits and numerical EM field analysis for EMC problems.

Sin-Ting Chen was born in Pingtung, Taiwan, R.O.C., in 1980. He received the B.S.E.E. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002. He is currently working toward the Ph.D. degree in electrical engineering at the same university. His research interests are modeling and measurement for the power integrity of high-speed package and printed circuit boards.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2943

Fractal-Shaped Microstrip Coupled-Line Bandpass Filters for Suppression of Second Harmonic Il Kwon Kim, Student Member, IEEE, Nickolas Kingsley, Student Member, IEEE, Matt Morton, Student Member, IEEE, Ramanan Bairavasubramanian, Student Member, IEEE, John Papapolymerou, Senior Member, IEEE, Manos M. Tentzeris, Senior Member, IEEE, and Jong-Gwan Yook, Member, IEEE

Abstract—In this paper, microstrip coupled-line bandpass filters using a Koch fractal shape are proposed for the first time. These filters are fabricated on a liquid crystal polymer (LCP) substrate -band. Conventional microstrip coupled-line filters are very for popular for RF front ends because they can be fabricated easily. However, their large second harmonic causes the shape of the passband to be asymmetric in the upper band and it worsens the skirt properties. By proper design, the second harmonic of fractal filters can be significantly suppressed through the use of fractal shapes. In this paper, using LCP, the maximum harmonic suppression was almost 42 dB. This type of filter can be used to suppress the second harmonic without any additional devices and regardless of the substrate. Index Terms—Fractal-shaped bandpass microstrip coupled line filter, Koch fractal geometry, liquid crystal polymer (LCP), second harmonic suppression.

I. INTRODUCTION

T

RADITIONALLY, microstrip coupled line filters have been used to achieve narrow fractional bandwidth bandpass filters (BPFs) due to their relatively weak coupling [1]. This type of filter has desirable advantages such as low-cost fabrication and easy integration. However, despite these advantages, this type of filter has some problems, such as a large second harmonic. This parasitic second harmonic contributes to an asymmetric passband shape and degrades the upper band skirt properties. In addition, a large second harmonic signal can degrade the performance of other system components such as mixers. The large second harmonic is generated by the large difference between the even- and odd-mode effective dielectric constants of the microstrip coupled lines. The phase velocity for each mode is significantly different due to the inhomogeneous characteristics of the microstrip structure. This problem is more pronounced when filters are fabricated on high dielectric-constant materials such as silicon or GaAs

Manuscript received December 2, 2004. This work was supported in part by the International Research Internship Program of the Korea Science and Engineering Foundation and by the Georgia Electronic Design Center. I. K. Kim is with the Department of Electric and Electronic Engineering, Yonsei University, Seoul, Korea 120-749 and also with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]). N. Kingsley, M. Morton, R. Bairavasubramanian, J. Papapolymerou, and M. M. Tentzeris are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). J.-G. Yook is with the Department of Electric and Electronic Engineering, Yonsei University, Seoul, Korea 120-749 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854263

[2]. To overcome this second harmonic problem, Koch fractal geometry has been applied to the coupled section of the filter in this paper. Several fractal geometries (Koch curve, Sierpinski gasket, Hilbert curve, etc.) have been widely studied to develop various microwave devices, such as antennas, frequency selective surfaces (FSSs) [3], and photonic-bandgap (PBG) devices [4]. All of these fractal-shaped devices have several advantages including miniaturization and broad-band/multiband operation. These characteristics are given by the fractal shape’s two unique properties: space filling and self-similarity. A fractal shape can be filled in a limited area as the order increases and occupies the same area regardless of the order. This is due to the space-filling property. By self-similarity, a portion of the fractal geometry always looks the same as that of the entire structure. Predominantly, fractal research in microwave engineering is concentrated on antennas because the above two properties enable the development of miniaturized and multiband antennas. The space-filling property is useful to miniaturize physical dimensions and the self-similar property is advantageous to designing multiband/broad-band antennas [5]–[8]. Conventionally, there are two methods used to solve the second harmonic problem in microstrip coupled-line structures: by making the phase velocity of even and odd modes the same or by compensating the different electrical lengths of both modes by modifying the line shape. To date, researchers have further added reactive components, lumped loads, defect ground structures (DGSs) [9], and dielectric overlays [10]. An approach where both of the above methods were used together has also been reported [2]. However, in these cases, the components become complicated and have a leaky wave problem due to discontinuities in the ground plane. To overcome this, the second method was introduced, which involves making optimum line structures by inserting periodic shapes, such as grooved, wiggly, and inter-digitized lines into conventional coupled lines [11]–[14]. These periodic structures can be used to create Bragg reflections to suppress the second harmonic. Alternatively, further transmission zero or transmission modulation can be performed by adopting additional parasitic capacitances or PBGs. In this paper, Koch fractal-shaped microstrip BPFs are proposed for the first time. Due to the space-filling property of the fractal geometry, this structure can adjust the location of the transmission zero. These fractal-shaped filters are fabricated on an 8-mil-thick liquid crystal polymer (LCP) substrate. The center frequency of the designed fractal-shaped filter is approximately 13 GHz. It has been found that by applying

0018-9480/$20.00 © 2005 IEEE

2944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 1. Koch fractal island shape whose iteration factor is 1/4. (a) Zeroth iteration order. (b) First iteration order. (c) Second iteration order.

Koch fractal geometry to a coupled-line microstrip BPF, the second harmonic can be greatly suppressed without adding any reactive components.

Fig. 2. Layout of proposed geometry (one-pole case). 1: first iteration order. 2: second iteration order.

K

K

K 0: zero iteration order.

TABLE I PHYSICAL PARAMETERS OF KOCH ZERO COUPLED-LINE FILTER

II. KOCH FRACTAL SHAPE AND ITS APPLICATION ON COUPLED-LINE BPF A. Koch Fractal Geometry and the Space-Filling Property Koch fractal geometry, named after the mathematician Helge von Koch, is a well-known feature that has been applied to miniaturize various conventional antennas [6]–[8]. This is characterized by two factors: the iteration factor (fractal factor) and the iteration order. The iteration factor represents the construction law of fractal geometry generation and the iteration order depicts how many iteration processes are carried out. Fig. 1 shows the configuration of a Koch island whose edge is a Koch curve. We can define this structure as pre-fractal. The zeroth iteration of the Koch Island structure is a conventional square. Increasing the iteration order of the fractal geometry infinitely would produce the ideal fractal. Due to its space-filling property, the Koch geometry is principally used to miniaturize antennas [6], [7]. These geometries exhibit well-known features that have been used to construct miniaturized monopole, loop, and patch antennas. However, when applied to antennas for miniaturization, there is a limitation on the fractal order because the variation of the electrical length is relatively small compared to that of the operating frequency [8]. The space-filling property can have a larger effect on the harmonic mode than the fundamental mode because its electrical length at the harmonic is shorter than that of the dominant mode. Therefore, this property can be used to control the higher harmonic. B. Design of Koch Fractal-Shaped BPFs Fig. 2 shows zeroth-, first-, and second-order one-pole Koch shape BPFs with an iteration factor of 1/4. The edges of the filter-coupled sections have a Koch curve shape. All one-pole fractal filters considered in this paper have the same configuration as Fig. 2 (iteration factor is 1/4 and fractal order is extended to second order). Also, these filters were extended to two- and three-pole configurations to investigate their properties. The Koch zero iteration filter, a conventional BPF, is designed by using traditional coupled-line BPF theory. The . The linewidth and electrical length of all coupled lines is gap dimensions are determined as in [1] and the results for the one-, two-, and three-pole filter configuration are summarized in Table I. All even- and odd-mode impedances are smaller

than 50 due to LCP fabrication tolerances. Therefore, these filters need to be matched at the end of filter. This was realized transformer. by using a The first- and second-order iteration filters were designed by applying the relative order of the Koch shape to conventional coupled sections. For the first iteration, the Koch shape represents a rectangular slit engraved on the center of the coupled microstrip lines. The slit length can be calculated by multiplying the iteration factor by the electrical length of the coupled line and the slit width can be calculated by multiplying the iteration factor (1/4) by the width of the coupled line. For the second iteration, the slits were positioned on the center of every edge and their length was calculated the same way as that of the previous order. This procedure follows the traditional method to generate fractal geometries whose fractal factor is 1/4 [15]. In this paper, the minimum feature size of the fractal filter was 100 m due to LCP fabrication tolerances. III. PROPERTY OF KOCH FRACTAL-SHAPED COUPLER To investigate the Koch fractal-shaped filter property, a Koch fractal-shaped coupler is introduced and its characteristics are evaluated using IE3D, a method of moments (MOM) simulation tool. Fig. 3 shows the configuration of two-port fractal-shaped couplers. These couplers are also designed on LCP, which has a

KIM et al.: FRACTAL-SHAPED MICROSTRIP COUPLED-LINE BPFs FOR SUPPRESSION OF SECOND HARMONIC

Fig. 3. order.

2945

Koch fractal-shaped coupler. (a) Zeroth order. (b) First order. (c) Third

Fig. 5. Fabricated fractal-shaped filters. (a) Fabricated fractal filters. (b) Flexibility.

Fig. 4. Simulated insertion loss of fractal-shaped coupler. (a) Magnitude. (b) Phase.

permittivity of 3.1 and a thickness of 8 mil. All of these coupled lines have 1/4 fractal iteration factor and zeroth, first, and second iteration orders. The electric length of each coupled section is and the center frequency is 13 GHz. The simulated insertion loss is shown in Fig. 4. From the insertion loss of the coupler, we observe that the first null point shifts lower as the iteration number increases. For the zero iteration case, the null point is located far away from the second harmonic frequency, but in the Koch fractal coupler case, the null point is near the second harmonic and also decreases as the iteration number increases. This is due to the space-filling property of fractal geometry. The electrical length at higher frequencies is more effected by this property. As the iteration order increases, the length around the perimeter increases. Also, as the frequency increases and the wavelength decreases, the physical perimeter length remains constant, but the effective electrical length increases. This causes the transmission zero point to shift lower as the iteration order increases. This can be further demonstrated by evaluating the phase for

each iteration order. As the electrical length decreases, the corresponding phase should also decrease. This is indeed the case, as shown in Fig. 4(b). These properties can be used to suppress the second harmonic of conventional coupled line filters by controlling the location of the first null point. As shown in Fig. 4, the position of the null point can be located at the second harmonic, creating a stopband. These results show that the space-filling property of fractal geometry can be adapted to suppress the second harmonic. IV. FRACTAL-SHAPED FILTER ON LCP A. Design of Fractal-Shaped Filter on LCP LCP is well suited to be applied in microwave and millimeterwave devices due to its low cost compared to that of low-temperature co-fired ceramic (LTCC) and other RF materials. It has ranges from 0.002 several advantages, such as low-loss ( to 0.004 for frequencies less than 35 GHz), flexibility, and near hermetic nature (water absorption is less than 0.004%) [16]. All of these advantages make it appealing for high-frequency applications where excellent performance is required for minimal cost. Fig. 5 shows fabricated one-, two-, and three-pole LCP fractal-shaped filters for zeroth, first, and second iteration order,

2946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE II SUMMARY OF THE RESULTS (LCP)

B. Fabrication and Experiment

Fig. 6. Simulation results. (a) One-pole. (b) Two-pole. (c) Three-pole.

respectively. The filter dimensions are 20.91 mm 5.504 mm for the three-pole filter, 17.415 mm 4.867 mm for the two-pole filter, and 13.926 mm 3.281 mm for the one-pole filter. The Koch zero iteration filter is a conventional filter designed by using traditional Butterworth filter design theory. Its center frequency is 13 GHz and the fractional bandwidth is 10%. The specifications of the fabricated filters are shown in Table I. The simulated insertion and return losses of the one-, two-, and three-pole fractal-shaped filters for the zeroth, first, and second iteration order are shown in Fig. 6. The zero iteration Koch filter has a large second harmonic of 5 dB at 26.2 GHz. The simulation results for these filters are summarized in Table II.

To verify the simulation results, these filters were fabricated on an LCP substrate. The measured insertion- and return-loss results are shown in Fig. 7, which agree closely with the simulation results. Measurements were taken using an Agilent 8510 network analyzer. A thru-reflect-line (TRL) calibration was used to deembed the cable, probe, and coplanar waveguide (CPW) to microstrip transition losses. For the one-pole filter, the second harmonic suppression is 7.56 dB for the zero iteration, 27.49 dB for the first iteration, and 25.75 dB for the second iteration. For the two-pole filter, the second harmonic suppression is 11.81 dB for the zero iteration, 40.47 dB for the first iteration, and 38.19 dB for the second iteration. Finally, for the three-pole filter, the second harmonic suppression is 15.36 dB for the zero iteration, 39.38 dB for the first iteration, and 42.59 dB for the second iteration. As shown in Figs. 6 and 7, as the iteration order of the fractal increases, the second harmonic frequency shifts slightly lower and the second harmonic suppression becomes greater than that of the lower fractal order filter because, as demonstrated in Fig. 4, the first null point of the coupled section shifts lower. Additionally, the filter bandwidth becomes narrower as the iteration number increases due to weak coupling. These filters suppress the second harmonic by 20 dB or more compared to that of the zero iteration filters. In addition, the first zero frequency of the filter becomes closer to the fundamental center frequency, which is also shown in Figs. 6 and 7. Consequently, the skirt property of the filter improves in the frequency region of interest. The center frequency also becomes slightly lower than that of the conventional filter, but the fundamental frequency shift is not significant. Therefore, this filter can be used to suppress the second harmonic without significantly changing the fundament filter properties. From these results, it has been shown that as the iteration number increases, the

KIM et al.: FRACTAL-SHAPED MICROSTRIP COUPLED-LINE BPFs FOR SUPPRESSION OF SECOND HARMONIC

2947

fractal geometry increases, the second harmonic insertion loss increases. The proposed method can be applied to various substrates. From all numerical and experimental results, fractalshaped filters could be an ideal solution for RF systems that require a reduced second harmonic component without an additional second harmonic rejection filter. REFERENCES

Fig. 7.

Measurement results. (a) One-pole. (b) Two-pole. (c) Three-pole.

second harmonic of the fractal-shaped filter can be suppressed and the distance between the center frequency and the first zero point narrows, which improves the upper skirt performance. V. CONCLUSION In this paper, Koch fractal-shaped coupled filters have been proposed and investigated using numerical and experimental methods for the first time. It has been shown that the second harmonic of fractal-shaped filters can be suppressed as the fractal order increases. The maximum suppression is 27.5 dB for onepole, 40.5 dB for two-pole, and 42.6 dB for three-pole filters. It has also been determined that as the iteration order of the

[1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 474–485. [2] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [3] J. Romeu and Y. Rahmat-Samii, “Fractal FSS: A novel dual-band frequency selective surface,” IEEE Trans. Antennas Propag., vol. 48, no. 7, pp. 1097–1105, Jul. 2000. [4] J.-H. Kim, I. K. Kim, J.-G. Yook, and H.-K. Park, “A slow-wave structure using Koch fractal slot loops,” Microwave Opt. Technol. Lett., vol. 34, no. 2, pp. 87–88, Jul. 2002. [5] S. R. Best, “On the performance properties of the Koch fractal and other bent wire monopoles,” IEEE Trans. Antennas Propag., vol. 51, no. 6, pp. 1292–1300, Jun. 2003. [6] N. Cohen and R. G. Hohfeld, “Fractal loops and small loop approximation,” Commun. Quart., pp. 77–78, Winter 1996. [7] C. P. Baliarda, J. Romeu, and A. Cardama, “The Koch monopole: A small fractal antenna,” IEEE Trans. Antennas Propag., vol. 48, no. 11, pp. 1773–1781, Nov. 2000. [8] I. K. Kim, J.-G. Yook, and H.-K. Park, “Fractal-shape small size microstrip patch antenna,” Microwave Opt. Technol. Lett., vol. 34, no. 1, pp. 15–17, Jul. 2002. [9] J.-S. Park, J.-S. Yun, and D. Ahn, “A design of the novel coupled-line bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002. [10] J.-T. Kuo and M. Jiang, “Enhanced microstrip filter design with a uniform dielectric overlay for suppressing the second harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 419–421, Sep. 2004. [11] M. del Castillo Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [12] T. Lopetegi, M. A. G. Laso, J. Hernandez, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly-line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [13] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martin, J. Bonache, J. Garcia, L. Perez-Cuevas, M. Sorolla, and M. Guglielmi, “Microstrip ‘wigglyline’ bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [14] B. S. Kim, J. W. Lee, and M. S. Song, “An implementation of harmonic-suppression microstrip filters with periodic grooves,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 413–415, Sep. 2004. [15] B. B. Mandelbrot, The Fractal Geometry of Nature. San Francisco, CA: Freeman, 1983. [16] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. Il Kwon Kim (S’02) received the B.S. degree in radio communication engineering and the M.S. degree in electrical and computer engineering from Yonsei University, Seoul, Korea in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree in electrical and electronic engineering at Yonsei University. Since April 2004, he has been a Research Scholar with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. His research interests include fractal structure application on microwave device/antenna, antenna design on LTCC/LCP substrates for millimeter-wave applications, and antenna diversity schemes.

2948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Nickolas Kingsley (S’02) received the B.S. and M.S. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. He currently performs research on the integration and packaging of RF microelectromechanical systems (MEMS) switches into various microwave devices on LCP and silicon substrates. Mr. Kingsley is a student member of IEEE Antennas and Propagation Society (IEEE AP-S), the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and Order of the Engineer. He was the recipient of the 2002 President’s Undergraduate Research Award presented by the Georgia Institute of Technology and the 2001 Armada Award presented by the Compaq Computer Corporation.

Matt Morton (S’00) received the B.S.E.C.E. degree from the University of Kansas, Lawrence, in 2002, the M.S.E.E. degree from the Georgia Institute of Technology, Atlanta, in , 2003, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. -band phase His research interests include shifters for single-chip radar transmit/receive (T/R) modules, broad-band RF MEMS packaging, and nanoparticle magnetic thin films.

X

Ramanan Bairavasubramanian (S’04) received the B.E. degree in electrical and electronic engineering from Anna University, Chennai, India, in 2001, the M.S.E.E. degree from the Georgia Institute of Technology, Atlanta, in 2002, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. His research interests include development of multilayer reconfigurable phased antenna arrays on LCP, as well as design and fabrication of compact RF filters, passives, diplexers, and artificial magnetic conductors on organic substrates.

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. In August 2001, he joined the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Assistant Professor. He has authored or coauthored over 80 publications in peer reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave, and terahertz circuits, and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates (LCP, LTCC) for high-frequency applications. Dr. Papapolymerou was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China (August 17–19, 2002), and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA. He currently serves as the secretary for Commission D of the U.S. National Committee of URSI.

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany. He has authored or coauthored over 170 papers in refereed journals and conference proceedings and eight book chapters. He has helped develop academic programs in highly integrated packaging for RF and wireless applications, microwave MEMS, system-on-package (SOP)-integrated antennas and adaptive numerical electromagnetics (finite difference time domain (FDTD), multiresolution algorithms). He is the Georgia Tech National Science Foundation (NSF)-Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the Leader of the Novel Integration Techniques Sub-Thrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. Dr. Tentzeris is member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA. He is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He was the recipient of the 2003 IEEE CPMT Outstanding Young Engineer Award, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China), the 2002 Georgia Tech-Electrical and Computer Engineering (ECE) Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award, and the 1997 Best Paper Award, International Hybrid Microelectronics and Packaging Society.

Jong-Gwan Yook (S’86–M’97) was born in Seoul, Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, Korea, in 1987 and 1989, respectively, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1996. He is currently an Associate Professor with the Department of Electric and Electronic Engineering, Yonsei University. His main research interests are in the areas of theoretical/numerical electromagnetic modeling and characterization of microwave/millimeter-wave circuits and components, very large scale integration (VLSI) and monolithic-microwave integrated-circuit (MMIC) interconnects, and RF MEMS devices using frequency- and time-domain full-wave methods, signal integrity issues in high-speed digital printed circuit boards (PCBs), and development of numerical techniques for analysis and design of high-speed high-frequency analog and digital circuits with emphasis on parallel/super computing and wireless communication applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2949

Broad-Band 180 Phase Shifters Using Integrated Submillimeter-Wave Schottky Diodes Zhiyang Liu, Member, IEEE, Jason C. Midkiff, Haiyong Xu, Student Member, IEEE, Thomas W. Crowe, Senior Member, IEEE, and Robert M. Weikle II, Member, IEEE

Abstract—A proof-of-concept broad-band 180 phase shifter operating at 220 GHz and based on planar integrated Schottky diodes is presented. The phase shifter is a monolithic implementation incorporating impedance transformers designed to yield broad-band operation and compensate for amplitude imbalance between bias states of the diodes. The circuit described in this 15 over a 55-GHz paper has yielded a phase shift of 180 band with average insertion loss of 5.5 dB. Moreover, the phase shifter is demonstrated and tested as a sideband generator where it has exhibited an average carrier-to-single sideband conversion loss of 10 dB. The circuit architecture is scalable to submillimeter frequencies where phase shifters are often employed for sideband generation and parametric upconversion. Index Terms—Parametric upconversion, phase shifter, Schottky diodes, sideband generator.

I. INTRODUCTION

Fig. 1. General circuit architecture of a balanced hybrid-coupler phase shifter with identical reflective terminations of variable reactance . Also shown are the integrated impedance transformers used in this study.

X

[7]. In this study, we augment these development efforts by presenting a proof-of-concept broad-band phase shifter that is based on integrated planar Schottky diodes and is scalable to the submillimeter band. II. CIRCUIT ARCHITECTURE AND DESIGN

T

UNABLE PHASE shifters are ubiquitous at microwave and millimeter-wave frequencies; they are essential components in systems such as phased arrays, phase shift-keying (PSK) modulators, and heterodyne receivers. While White [1] and Parker and Zimmerman [2] have provided excellent overviews of fundamental phase-shifter architectures, recent trends in phase-shifter implementation have focused on monolithic microwave integrated circuits (MMICs) for broad-band operation [3], [4] and microelectromechanical systems (MEMS) realizations for low loss and true time-delay phase response [5], [6]. Unfortunately, few of these techniques and concepts have been extended to the submillimeter band (generally regarded as ranging from 300 GHz to 3 THz), where basic components and subsystems (such as tunable phase shifters) remain scarce. The limited availability of electronic components and measurement instrumentation has long been recognized as a major impediment to the use of the submillimeter spectrum. To date, the major efforts in submillimeter electronics development have largely been driven by the needs of radio astronomy and have focused on technologies for detection and harmonic generation

Manuscript received December 6, 2004. This work was supported by the National Science Foundation under Grant ECS 9979357 and Grant AST-9987104, by the U.S. Army Research Office under Grant ARO-MURI-DAAD19-00-R-0010, and by the U.S. Army National Ground Intelligence Center under Grant DASC01-01-C-0009. Z. Liu is with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904 USA (e-mail: [email protected]). J. C. Midkiff is with Semicoa Inc., Costa Mesa, CA 92626 USA. H. Xu, T. W. Crowe, and R. M. Weikle II are with the School of Engineering and Applied Science, University of Virginia, Charlottesville, VA 22904 USA. Digital Object Identifier 10.1109/TMTT.2005.854262

The phase shifter presented in this study is based on a well-known balanced architecture consisting of a 3-dB 90 hybrid and a pair of identical reflective terminations (Fig. 1) [8]. These terminations are realized with integrated Schottky barrier varactors with device parameters designed to yield a reasonable tradeoff between bandwidth, insertion loss, and amplitude balance. The operating band of this prototype is chosen as 170–260 GHz (waveguide designation WR-4) to permit measurement and characterization using commercially available instrumentation. Furthermore, the circuit is designed to yield a 180 phase shift over its operating band, a requirement for efficient sideband generation [9]. In principle, any 3-dB hybrid may be used to realize the balanced phase shifter. Although Lange couplers are attractive because they exhibit wide-band operation (typically greater than an octave), the requirement for air-bridge contacts and small separation distances ( 3 m) between adjacent fingers make fabrication of these structures difficult at submillimeter wavelengths [10]. Multisection branchline hybrids offer an alternative approach to yield broad bandwidths, but these require highimpedance transmission lines and occupy larger area, thus presenting a potential for excitation of spurious modes [11]. Consequently, a conventional single-section branchline hybrid is chosen for this proof-of-concept demonstration. Starski has discussed in some detail the need for proper matching of the varactors in the balanced phase shifter to reduce phase error over a given bandwidth and to correct for the unequal losses associated with different bias states of the diodes [12]. The bandwidth and loss of a balanced phase shifter primarily depend on two factors, i.e., the frequency response and insertion loss of the hybrid and the quality factor

0018-9480/$20.00 © 2005 IEEE

2950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 2. Comparison of a balanced phase shifter with and without two-section quarter-wave transformers. n denotes the ratio of the line impedances (Z =Z ) for the transformer. In all cases, the diodes are treated as ideal switches with the “on” and “off” states corresponding to a perfect short and open circuits, respectively.

of the varactors. In his study, Starski describes a relatively simple approach to this matching problem that assumes an ideal (frequency-independent) coupler, incorporates the parasitics of a packaged (p-i-n) diode, and uses a single section of the circuit. of transmission line to reduce the effective While this approach has proven to be practical at microwave frequencies, it neglects the bandwidth limitations imposed by most 3-dB hybrid architectures. In addition, implementation of the approach for the submillimeter range requires monolithic integration of the diodes into the surrounding circuit and the tailoring of their physical geometry to synthesize the necessary embedding impedances to compensate for the frequency dependence of both the hybrid and varactors. Fig. 2 compares the performance of two ideal balanced phase shifters, each based on the architecture shown in Fig. 1 and utilizing lossless branchline (quadrature) hybrids with identical “perfect” switching elements as the reflective terminations. The switching elements in this illustrative example are two-state devices that present either perfect open or short circuits at their ports. It is a straightforward exercise to analyze the circuit using even/odd-mode analysis and the details will not be repeated here. Without compensation, the phase shifter exhibits an error of 5 over a 2% band around the center (design) frequency. By incorporating a pair of quarter-wave impedance transformers, the fractional bandwidth of the circuit can be increased significantly (over tenfold). For the integrated phase shifter described below, a two-section transformer (with line impedances of and ) is used to provide flexibility and allow simultaneous compensation for the frequency dependence and amplitude imbalance of the phase shifter. In addition, the transformer is used to increase the effective impedance tuning range presented by the diodes. This permits a full 180 of phase shift to be realized using varactors with more modest capacitance modulation ratios. A. Circuit Layout The phase-shifter circuit consists of four basic components, i.e., 1) a pair of waveguide-to-microstrip transitions; 2) a hybrid

Fig. 3. (a) Integrated balanced phase-shifter chip mounted in the WR-4 waveguide housing. The integrated module consists of waveguide probes, branchline hybrid, a dc-bias network, and two integrated GaAs Schottky barrier varactors. (b) Schematic of the phase-shifter chip. All dimensions are in micrometers.

coupler; 3) a pair of varactor diodes; and 4) a pair of impedance transformers. Fig. 3(a) shows a photograph of the completed phase-shifter circuit mounted in a WR-4 (170–260 GHz) waveguide housing. Dimensions (in micrometers) of the various components are given in Fig. 3(b). The system characteristic impedance is 100 and the impedance transformer between the diodes and hybrid is designed to have an impedance ratio of 2. As indicated in Fig. 2, this choice ideally yields a 20% bandwidth with phase error no greater than 5 . A pair of flared probes provide transitions between the waveguide mode and the microstrip circuit. The triangular flare is not strictly required to achieve matching over the full waveguide band. However, the taper facilitates manual alignment of the chip in the waveguide block. The flare angle of the probe is 70 and it protrudes 300 m into the waveguide. A simple bias choke consisting of a quarter-wave high-impedance line and pair of radial open-circuited stubs is included on the substrate to allow dc biasing of the varactors. Fused quartz is chosen as the substrate material due to its low cost, rigidity, relatively low dielectric constant, and to permit monolithic integration of GaAs varactor diodes using a substrate bonding process developed at the University of Virginia, Charlottesville [13]. The substrate thickness (50 m) and microstrip channel cross-sectional dimensions (230 m 480 m) are designed to allow sufficient room for the hybrid and other circuit components without exciting high-order modes. The calculated cutoff frequencies for the lowest order and modes (or hybrid and modes) in the microstrip channel (modeled as a partially filled rectangular waveguide) are 280 and 587 GHz, respectively [14]. Each of the passive components that comprise the phase shifter are simulated individually using Ansoft’s finite-element electromagnetic solver High Frequency Structure Simulator (HFSS),1 to model their performance. Sub1Ansoft

Inc., Pittsburgh, PA. [Online]. Available: http://www.ansoft.com

LIU et al.: BROAD-BAND 180 PHASE SHIFTERS

2951

TABLE I DEVICE PARAMETERS OF THE VARACTOR DIODES

Fig. 4. (a) Layout of the integrated varactor. All dimensions are in micrometers. (b) Scanning electron micrograph of an integrated varactor fabricated in the Microfabrication Laboratory, University of Virginia. The passive circuitry lies on quartz and all unnecessary GaAs has removed. (c) Lumped-element circuit model for the varactor diode.

sequently, the scattering parameters obtained from HFSS are imported into Agilent’s Advanced Design System (ADS) software where varactor diode models can be included for final design of the phase shifter. B. Device Design A layout for the varactor diodes fabricated for the phase shifter is shown in Fig. 4(a). The material parameters (epitaxial layer doping and thickness) and anode geometry of the diodes are chosen to produce a capacitance modulation ratio (2 : 1) needed for 180 of phase shift at 220 GHz while minimizing the associated series resistance. Moreover, the surrounding geometry of the diode (the air-bridge finger and diode contact pads) are adjusted to minimize the effect of parasitic fringing capacitance and series inductance. In addition, the geometry of the transition to the impedance transformer is tailored to minimize phase error (with respect to 180 ) and amplitude difference between the bias states over the WR-4 waveguide band. The process is carried out by iterating the diode and transformer geometry with Ansoft’s HFSS and performing numerical optimization on the circuit with Agilent’s ADS. The final material and equivalent-circuit parameters of the diodes are summarized in Table I. In this table, and

are the doping concentration and thickness of the modulation and are the buffer layer doping epilayer, while concentration and thickness. Typically, millimeter-wave diodes are modeled using a lumped-element equivalent circuit with simple linear compo, parasitic shunt nents representing the series resistance capacitance , and finger inductance. Table I provides estimated values for these components that are calculated from the diode material parameters, as well as values obtained from dc measurements. The measured values are found by correcting for the residual resistance and capacitance of the dc probes. In Fig. 4(c), the diode finger is modeled as a short section of and high-impedance transmission line with impedance length of 25 m. Although the finger is short enough to permit modeling it as a lumped inductor (of approximately 10 pH), a distributed model becomes more appropriate as the operating frequency approaches the terahertz range. The lumped element model of Fig. 4(c) was used as a starting point in the design process and nominal values for the circuit components were obtained using HFSS. The geometric dimensions of the diode’s surrounding circuit were then adjusted iteratively to achieve an acceptable tradeoff between amplitude imbalance and phase error over the operating band of the circuit. The final step of the device design consisted of simulating the entire diode structure in HFSS to take full account of the parasitics associated with the contact pads and the transition to the two-step transformer. In this step, the diode junction was modeled by adjusting the material parameters (conductivity and dielectric constant) associated with the anode region to reproduce the resistance and capacitance corresponding to the two bias states of the device. This approach permitted the device to be fully modeled for a given impedance state (or, equivalently, bias) without resorting to an approximate circuit model. An alternative approach is to define a port at the diode’s anode and to import the resulting impedance matrix into a nonlinear circuit simulator (such as ADS) to allow modeling of the diode junction [15]. Both methods were utilized in this design and yielded identical results. C. Fabrication and Assembly Fabrication of the integrated phase-shifter chip utilizes a substrate bonding method in which the GaAs wafer is thermal-compression bonded to a quartz substrate using a spin-on dielectric (Filmtronics 400FA). Subsequently all unneeded GaAs is removed by lapping and wet chemical etching to an AlGaAs etch-stop layer, leaving only the buffer and modulation epilayers necessary for diode formation. The basic steps of this process

2952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

have been reported elsewhere [13] and the details will not be repeated here. A major consideration in the phase-shifter design and fabrication process is the parasitic shunt capacitance between the finger and anode contact pad. This parasitic element limits the capacitance tuning range of the diode, thus, its minimization is paramount. It was determined from electromagnetic simulations that the parasitic capacitance could be reduced to an acceptable level (below 1 fF) by raising the finger above the anode (by 1 m) so that it would not rest on the oxide that typically surrounds the anode. This oxide is used to define and protect the anode during device fabrication and its removal risks compromising the integrity of the anode contact in subsequent processing steps. Incorporating the air-bridge finger necessitated a simple augmentation of the fabrication process to include a sacrificial layer of photoresist. A scanning electron micrograph of a completed diode is shown in Fig. 4(b). After dicing, the completed circuits measure 460 m 50 m. These chips are manu3700 m ally aligned and inserted into the WR-4 waveguide housing, as shown in Fig. 3. Gold bonding wire (18- m diameter) is used to connect a dc bias to the circuit and indium solder is packed between the diode contact pads and housing to provide an RF/dc ground for the circuit.

Fig. 5. Measured insertion loss back-to-back waveguide probes joined with a 100- microstrip line.

III. MEASUREMENTS Performance of the integrated phase shifter was characterized and evaluated using an HP8510C vector network analyzer with Oleson Microwave Laboratories (OML) Inc.’s millimeter-wave extension units.2 The OML extension units cover the 140–325-GHz range in two standard waveguide bands, WR-5 (140–220 GHz) and WR-3 (220–325 GHz). Consequently, the measurements presented below represent a concatenation of the measurements performed over both bands. It should be noted that these measurements include the small junction mismatch between the WR-3 and WR-5 flanges of the OML extension modules and the WR-4 flange of the phase shifter. Based on simulations using HFSS, the return loss associated with this mismatch is estimated to be no worse than 20 dB. A. Phase-Shifter Scattering Parameters Initial measurements were performed on a waveguide “through” consisting of back-to-back probes joined by a 100microstrip line fabricated on quartz. This circuit, which did not include the branchline hybrid or bias network, was used to evaluate the performance of the probes and to estimate the insertion loss contributed by the microstrip line. Fig. 5 shows the insertion loss measured over the 180–260-GHz range. The average insertion loss up to 250 GHz is 1.5 dB with less than 0.1 dB attributable to mismatch between the waveguide flanges. The increase in measurement uncertainty above 220 GHz is due to the reduction in available power associated with changing from the WR-5 to the WR-3 OML extension module. Three variations of the integrated phase shifter were fabricated, mounted into the waveguide block, and evaluated. 2OML,

Morgan Hill, CA. [Online]. Available: http://www.oml-mmw.com

Fig. 6. Phase shift between the two bias states of the diodes as a function of frequency. The phase shift is shown for three design variations corresponding to different offset lengths (denoted by the labels) between the diode’s anode and ground.

These circuits differed in the distance between the transformer impedance step and the transition to the diode’s anode contact pad [ in Fig. 4(a)]. These variations were included because simulations demonstrate that the frequency response of the circuit is most sensitive to this parameter. Fig. 6 shows the meacorresponding to two impedance sured phase difference of states of the diodes: One at a bias point of 7.5 V (the “off” state) and the other at a bias of 0.25 V (the “on” state). Note that the “on” state is well below the diode “knee” voltage of 0.5 V, defined as the forward bias voltage that results in 1 A of current. The broadest bandwidth, defined with respect to this phase difference, is obtained with the shortest offset length m. Fig. 7 compares the measured response of the circuit with the smallest phase variation with that predicted using Agilent’s ADS software. Over a range of 195–250 GHz, the measured phase error (referred to 180 ) is less than 15 . Differences between the measured and predicted phase response for the circuit can be attributed to several factors, including variations between the Schottky diodes and errors in placement of the circuit module within the waveguide channel. A primary source for discrepancy, however, is the soft-packed indium used to ground the chip to the waveguide block. The geometry

LIU et al.: BROAD-BAND 180 PHASE SHIFTERS

2953

Fig. 7. Comparison between the measured and predicted phase offset of the integrated phase shifter.

of this connection cannot be controlled with great accuracy and the parasitics associated with it are difficult to model. A superior approach would incorporate a beam lead processing technology that has been developed recently at the University of Virginia [16] and elsewhere [17] to allow an intimate and precisely defined ground connection between the chip and waveguide housing. Fig. 8 shows the insertion and return losses of the phase shifter measured from 190 to 250 GHz. The average insertion loss is approximately 5.5 dB over a 40-GHz band (200–240 GHz) and the amplitude imbalance between the “on” and “off” states is better than 0.5 dB between 220–240 GHz. Again, the measured performance is somewhat degraded from that predicted by equivalent-circuit modeling, but the general agreement between measurement and theory is good. Approximately 2.0 dB of loss can be attributed to series resistance of the Schottky diodes (based on simulation). Table II summarizes the known factors contributing to the phase-shifter insertion loss and their estimated values based on electromagnetic simulation shown in Fig. 8(b) is or measurement. The return loss generally below 8 dB and is expected to be no better than 20 dB due to the mismatch between the OML modules and the WR-4 waveguide of the phase shifter. B. Sideband Generation An important motivation for developing broad-band submillimeter phase shifters is sideband generation. A sideband generator, also known as an upconverter, is a modulator designed to produce tunable submillimeter radiation by mixing a fixed-frequency terahertz source (such as a far-infrared molecular gas laser) with a tunable microwave oscillator [18]. To date, the best result obtained from a terahertz sideband generator employed a simple tank circuit for modulating the phase of the reflection coefficient of an incident submillimeter carrier (at 1.6 THz) and produced 55 W of sideband power with 14-dB carrier-to-sideband conversion loss [9]. This circuit demonstrated the advantages of using phase modulation for sideband generation, but suffered from a number of drawbacks, including a relatively narrow bandwidth (a few percent) and added complexity associated with separating the input and reflected carrier signals from the desired output sideband.

Fig. 8. (a) Measured and predicted insertion loss of the phase shifter for bias state 1 (“on”) and bias state 2 (“off”). (b) Measured and predicted return loss of the phase shifter for bias state 1 (“on”) and bias state 2 (“off”).

TABLE II BREAKDOWN OF CONTRIBUTIONS TO PHASE-SHIFTER INSERTION LOSS

The integrated phase shifter described in this paper addresses several of the shortcomings of these reflection-type sideband generators. Most importantly, the balanced configuration of the circuit effectively converts a one-port reflective terminal into a transmission-type phase shifter with separate input and output ports. This facilitates isolating the input carrier from the output sideband. To quantify and evaluate the phase-shifter performance as a sideband generator, the measurement setup shown in Fig. 9 was assembled. A carrier signal at 210 GHz is generated from a microwave source followed by a chain of frequency multipliers and a second microwave oscillator is used as the low-frequency modulation (or pump) signal. The output of the phase shifter is downconverted using a subharmonic Schottky diode mixer and the resulting output is measured with a spectrum analyzer (model HP-8562A). The subharmonic downconverter (with measured single-sideband conversion loss of 12 dB) used for this measurement utilizes WR-5 waveguide,

2954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

A major consideration with reflection-type sideband generators is leakage (or reflection) of the input carrier to the output port. Typically, the carrier represents the largest reflected component and must be filtered out using a cascade of silicon etalons [18]. Fig. 10(b) shows the measured carrier leakage (defined as the ratio of the output carrier power to that available at the input) as a function of the modulation frequency. Over the measured frequency band, the output carrier power is more than 10 dB below the sideband components, representing a significant improvement over the performance of reflection-based sideband generators reported in the literature. Fig. 9. Diagram of the measurement setup used to characterize the integrated phase shifter as a sideband generator.

IV. DISCUSSION This paper has presented an integrated phase shifter designed to yield broad-band operation with minimal phase error and amplitude imbalance over a 20% bandwidth at 220 GHz. In addition, the use and application of the phase shifter for sideband generation (an important need at submillimeter frequencies) has been demonstrated. To our knowledge, the balanced phase shifter presented here is the first to be demonstrated in the 170–260-GHz range using integrated planar Schottky diodes. Although such a phase shifter has numerous potential applications, this component was designed primarily as a “proof-of-concept“ prototype for scaling to frequencies near 1 THz. The fundamental architecture of the phase shifter is not new, but the design incorporates some important and necessary contributions including frequency-compensation using stepped-impedance transformers and the use of circuit/device co-design and fabrication to reduce and mitigate the effects of parasitics. Moreover, the work described here represents an initial step in extending circuit concepts commonly exploited in the microwave spectrum to the submillimeter region. ACKNOWLEDGMENT

Fig. 10. (a) Measured carrier-to-sideband conversion loss for the integrated phase shifter. (b) Measured carrier leakage (ratio of carrier power at the output port to available carrier power at the input) as a function of modulation frequency.

setting an upper frequency limit of 220 GHz—hence, the choice of a 210-GHz carrier. At each frequency point of measurement, the pump power and dc bias provided to the phase shifter are adjusted to maximize the power in both the upper and lower sidebands. The resulting conversion loss to each sideband is shown in Fig. 10(a). The average carrier-to-sideband conversion loss is approximately 10 dB, with a minimum of 8 dB for the upper sideband at 217 GHz (a pump frequency of 7 GHz). This is comparable to the best results that have been obtained in this frequency range with integrated reflection-type sideband generators [19].

The authors thank W. L. Bishop and G. S. Schoenthal, both of Virginia Diodes Inc., Charlottesville, VA, for their expertise and advice in Schottky diode fabrication. The authors further acknowledge Prof. N. S. Barker, University of Virginia, Charlottesville, for providing helpful suggestions and comments during the preparation of this paper’s manuscript. REFERENCES [1] J. F. White, “Diode phase shifters for array antennas,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 6, pp. 658–674, Jun. 1974. [2] D. Parker and D. C. Zimmerman, “Phase arrays—Part II: Implementation, applications, and future trends,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 688–698, Mar. 2002. [3] E. G. Erker, A. S. Nagra, Y. Liu, P. Periaswamy, T. R. Taylor, J. Speck, and R. A. York, “Monolithic GaAs phase shifter circuit with low insertion loss and continuous 0 –360 phase shift at 20 GHz,” IEEE Microw. Guided Wave Lett., vol. 9, no. 1, pp. 31–33, Jan. 1999. [4] K. Miyaguchi, M. Hieda, K. Nakahara, H. Kurusu, M. Nii, M. Kasahara, T. Takagi, and S. Urasaki, “An ultra-broad-band reflection-type phaseshifter MMIC with series and parallel LC circuits,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2446–2452, Dec. 2001. [5] N. S. Barker and G. M. Rebeiz, “Optimization of distributed MEMS transmission-line phase shifters—U -band and W -band designs,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1957–1966, Nov. 2000.

LIU et al.: BROAD-BAND 180 PHASE SHIFTERS

W

[6] J. B. Rizk and G. M. Rebeiz, “ -band CPW RF MEMS circuits on quartz substrates,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1857–1862, Jul. 2003. [7] P. H. Siegel, “THz technology: An overview,” Int. J. High Speed Electron. Syst., vol. 13, no. 2, pp. 351–394, 2003. [8] Z. Liu, J. C. Midkiff, and R. M. Weikle, II, “Millimeter-wave phase shifters utilizing planar integrated Schottky barrier diodes,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 2241–2244. [9] D. S. Kurtz, J. L. Hesler, T. W. Crowe, and R. M. Weikle, II, “Submillimeter-wave sideband generation using varactor Schottky diodes,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2610–2617, Nov. 2002. [10] A. Presser, “Interdigitated microstrip coupler design,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 10, pp. 801–805, Oct. 1978. [11] R. Levy and L. F. Lind, “Synthesis of symmetrical branch-guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 2, pp. 80–89, Feb. 1968. [12] J. P. Starski, “Optimization of the matching network for a hybrid coupler phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 662–666, Aug. 1977. [13] S. M. Marazita, W. L. Bishop, J. L. Hesler, K. Hui, and T. W. Crowe, “Integrated GaAs Schottky mixers by spin-on-dielectric wafer bonding,” IEEE Trans. Electron Devices, vol. 47, no. 6, pp. 1152–1157, Jun. 2000. [14] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [15] J. B. Hacker, “Grid mixers and power grid oscillators,” Ph.D. dissertation, Dept. Elect. Eng., California Inst. Technol., Pasadena, CA, 1994. [16] R. B. Bass, A. W. Lichtenberger, R. M. Weikle II, J. W. Kooi, C. K. Walker, and S.-K. Pan, “Ultra-thin silicon beam lead chips for superconducting terahertz circuits,” in 6th Eur. Applied Superconductivity Conf., Sorento, Italy, Sep. 2003. [17] E. Schlecht, G. Chattopadhyay, A. Maestrini, A. Fung, D. Pukala, J. Bruston, and I. Mehdi, “200, 400, and 800 GHz diode ‘substrateless’ multipliers: Design and results,” in IEEE MTT-S Int. Microwave Symp. Dig., Phoenix, AZ, May 2001, pp. 1649–1652. [18] E. R. Mueller and J. Waldman, “Power and spatial mode measurements of sideband generated, spatially-filtered, submillimeter radiation,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1891–1895, Oct. 1994. [19] H. Xu, G. S. Schoenthal, J. L. Hesler, R. M. Weikle II, and T. W. Crowe, “High power tunable millimeter-wave sources using fixed-tuned planar varactor sideband generators,” in 27th Int. Infrared and Millimeter Waves Conf., San Diego, CA, Sep. 2002, pp. 129–130.

Zhiyang Liu (S’02–M’04) received the B.S. degree in astrophysics from Peking University, Beijing, China, in 1997, and the M.A. degree in astronomy and Ph.D. degree in electrical engineering from the University of Virginia, Charlottesville, in 1999 and 2004, respectively. He is currently a Post-Doctoral Research Associate with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia.

Jason C. Midkiff received the B.S. degree in materials science and engineering from the Virginia Polytechnic Institute and State University, Blacksburg, in 2000, and the M.S. degree in engineering physics from the University of Virginia, Charlottesville, in 2004. While working toward the M.S. degree at the University of Virginia, he studied semiconductor physics and processing. He is currently a Process Engineer with Semicoa Inc., Costa Mesa, CA.

2955

Haiyong Xu (S’99) was born in Inner Mongolia, China, in 1972. He received the B.S. and M.S. degrees from the University of Science and Technology of China, Hefei, China, in 1995 and 1998, respectively, the M.Eng. degree from the National University of Singapore, Singapore, in 2001, and the Ph.D. degree in electrical and computer engineering from the University of Virginia, Charlottesville, in 2005. His current research interests include microwave and millimeter-wave circuit analysis and design, novel device development, and system testing.

Thomas W. Crowe (S’82–M’82–SM’95) received the B.S. degree in physics from Montclair State College, Montclair, NJ, in 1980, and the M.S.E.E. and Ph.D. degrees from the University of Virginia, Charlottesville, in 1982 and 1986, respectively. In March 1986, he joined the faculty of the University of Virginia, as a Research Assistant Professor of electrical engineering, and became a Research Professor in August 1997. From 1987 to 2003, he served as the Director of the Semiconductor Device Laboratory. He has authored over 50 journal publications and directed 12 Ph.D. dissertations. He is also a founder and President of Virginia Diodes Inc. (VDI). His career has focused on the creation of the technology necessary to open the terahertz portion of the electromagnetic spectrum for routine scientific and commercial exploitation. He has led the research team that has developed and fabricated many of the best GaAs Schottky barrier diodes for terahertz applications including radio astronomy, plasma diagnostics, and studies of the chemistry of the upper atmosphere. Integrated diode circuits now being developed by VDI are opening the way for greater levels of system integration and increased reliability, making possible a host of new applications in this critical frequency range.

Robert M. Weikle II (S’90–M’91) was born in Tacoma, WA, in 1963. He received the B.S. degree in electrical engineering and physics from Rice University, Houston, TX, in 1986, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 1987 and 1992, respectively. During 1992, he was a Post-Doctoral Research Scientist with the Department of Applied Electron Physics, Chalmers University of Technology, Göteborg, Sweden. In 1993, he joined the faculty of the University of Virginia, Charlottesville, where he is currently an Associate Professor of electrical engineering. His current research interests include submillimeter electronics, high-frequency instrumentation and measurement systems, and quasi-optical techniques for millimeter-wave power combining, imaging, and beam forming.

2956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES,VOL. 53, NO. 9, SEPTEMBER 2005

Properties of Left-Handed Metamaterials: Transmission, Backward Phase, Negative Refraction, and Focusing Tomasz M. Grzegorczyk, Member, IEEE, Christopher D. Moss, Member, IEEE, Jie Lu, Xudong Chen, Joe Pacheco, Jr., Member, IEEE, and Jin Au Kong, Fellow, IEEE

Abstract—Four properties related to left-handed metamaterials are studied numerically: transmission within a stop-band, backward phase, negative refraction, and partial focusing. The unit cell of the metamaterial under study is composed of a rod and a ring originally proposed at infrared frequencies and redesigned here at microwave frequencies. We show that this ring, because of its symmetry, exhibits a better transmission in a parallel-plate waveguide than the original concentric split-ring resonator. Negative refraction is studied from a prism-shaped metamaterial, while all of the other properties are studied from a slab-shaped metamaterial. In particular, transmission and backward phase are studied on a slab where rings naturally couple with the incident wave, while partial focusing is studied on a slab of rings perpendicular to the direction of propagation. The numerical simulations are based on a two-dimensional periodic method of moments, whose Green’s function is computed via Ewald’s method, and a three-dimensional finite-difference time-domain technique. Index Terms—Focusing, left-handed (LH) metamaterial, method of moments (MoM), negative index of refraction, phase measurements, prism measurements.

I. INTRODUCTION

M

ETAMATERIALS exhibiting left-handed (LH) properties have so far essentially been realized using rings and rods or rings alone. Since the very first split-ring proposed in [1] and realized in [2], various geometries have been proposed, each improving upon some aspects of the metamaterials: level of transmission, bandwidth over which LH properties are recorded, or general electromagnetic properties such as bianisotropy [3]. Among the most recent geometries, we can mention the modified split-ring resonator described in [4], the -ring, described in [5] as an extension of the design studied in [3] in order to remove the need for additional rods, and the S-shaped ring that has been shown to offer a good control on the various resonant Manuscript received January 12, 2005; revised March 24, 2005. This work was supported in part by the Department of the Air Force under Air Force Contract F19628-00-C-0002, by the Office of Naval Research under Contract N00014-01-1-0713, and by the Defense Advanced Research Projects Agency under Contract N00014-03-1-0716. T. M. Grzegorczyk, J. Lu, and X. Chen are with the Center for Electromagnetic Research and Applications, Massachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail: [email protected]). C. D. Moss is with BAE Systems, Advanced Information Technologies, Burlington, MA 01603 USA. J. Pacheco, Jr. is with the Systems and Analysis Group, Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, MA 02420-9108 USA. J. A. Kong is with the Massachusetts Institute of Technology, Cambridge, MA 02139 USA. Digital Object Identifier 10.1109/TMTT.2005.854261

and plasma frequencies of the effective permittivity and permeability responses [6]. In particular, it has been shown that the S-shaped ring can be designed in such a way to exhibit multiple frequency bands where LH properties are recorded [7]. These LH properties are currently identified as a transmission peak within a stop-band region, a backward phase, a negative refraction, and focusing capabilities. In this paper, we address each of these properties from a numerical point of view. We use a ring initially proposed at infrared frequencies [8] which we redesign for microwave frequencies. Because of its inherent symmetry, the ring studied here proves to yield very symmetric scattered fields, which are advantageous for a better transmission, whereas, using the same simulations code, we have found that the original split-rings yield asymmetrical fields and a lower transmission. The numerical studies are performed using both a threedimensional finite-difference time-domain (3-D-FDTD) technique and a two-dimensional periodic method of moments (2-D-PMoM). Two configurations are studied: a slab and a prism. The slab configuration is first used with the split-rings coupling with the incident magnetic field in order to compute the transmitted field as well as the phase of the electric field inside the metamaterial. The prism configuration is used to show the negative refraction within a waveguide environment. Finally, a study on the focusing capabilities of a slab of metamaterial is performed by removing the rods associated with each ring and orienting the rings in a plane perpendicular to the direction of propagation at normal incidence. The effective constitutive parameters of this configuration are a positive homogeneous permittivity and a uniaxial permeability. It is already well known that such configuration yields a partial focusing [9] when a source (either a line source like in our case or a dipole) is located close to the boundary of the effective slab. The 2-D-PMoM is used here to simulate this behavior and is successful in showing the image of the source on the opposite side of the slab. II. PROPERTIES OF LH METAMATERIALS The geometry of the ring scaled to microwave frequencies from [8] is shown in Fig. 1 [10]. Using this ring, four types of numerical simulations are performed to isolate properties indicative of an LH behavior. 1) Transmission through a slab: Sweeping frequency, a transmission peak surrounded by low transmission is

0018-9480/$20.00 © 2005 IEEE

GRZEGORCZYK et al.: PROPERTIES OF LH METAMATERIALS

2957

Fig. 1. Geometry of the ring: w = g = d = 0:24 mm, L = 3:12 mm, and L = 2:16 mm. The ring is positioned in place of the standard split-ring [11] with a lattice constant of 5.04 mm in the propagation direction and (6 mm 6 mm) in the lateral directions. The rod (light gray) has a width of 0.5 mm and is 1 mm away from the ring (the metallizations have zero thickness).

2

sought. In this context, the low transmission is in general due to a negative permittivity, but is converted into a transmission peak if the permeability is simultaneously negative. This setup has been widely used to identify possible regions of LH behavior. However, it should be emphasized that the results by themselves do not prove that the permittivity and permeability are negative, yet they yield a good indication of which frequencies to investigate further for LH behavior. 2) Phase tracking inside the slab: One of the characteristics of LH media is to exhibit a backward phase propagation. Although this phenomenon cannot be easily measured experimentally, it can be verified numerically by computing the phase of the near field inside the metamaterial. This is obtained here using the 2-D-PMoM approach. 3) Prism simulation: The prism experiment is an undoubted evidence of positive or negative refraction. The negative index of refraction is here verified by a 3-D-FDTD simulation of a real prism, very much like the one used in the initial experimental setup [2]. The currents computed at the boundaries of the computational domain are re-radiated into the far field in order to determine the direction in which the power is maximum. 4) Partial focusing: The simulations on the focusing properties of a slab are done by removing the rod associated with plane. Reeach ring and by letting the rings lie in the ferring to the axes of Fig. 2, the response is associated with , a negative permeability along the direction while all other components of the permittivity and permeability tensors are positive. This medium is characterized by a hyperbolic dispersion relation [9] and exhibits a negative refraction, which is necessary for focusing capabilities. The four criteria mentioned above are studied sequentially and, as will be shown, their results corroborate the fact that the ring yields an LH behavior around 14 GHz. The results reported here have been obtained with either one or three cells along the direction of in propagation when the rings are either in one plane only ( our case), yielding what we call a one-dimensional (1-D) metaand in our case), yielding material, or in two planes ( a 2-D metamaterial. Fig. 2(a) shows the 1-D metamaterial when three cells are stacked in the propagation direction whereas Fig. 2(b) shows the 2-D metamaterial with only one cell in the propagation direction. For the focusing properties, three layers plane have been stacked in the direction. of rings in the

Fig. 2. Illustration of (a) the 1-D and (b) 2-D unit cells of the metamaterial (three layers are shown in the one-dimensional case and one layer in the 2-D case). The structure is periodic along x and y with (a) a periodicity of 6 and 3 mm, respectively, and (b) a periodicity of 6 and 6 mm, respectively. The rods are made touching the boundary of the unit cell in order to simulate rods of infinite extent in x. Also shown is the 2-D-PMoM mesh for the sake of visualization.

III. COMPUTING THE GREEN’S FUNCTION USING EWALD’S METHOD The two numerical methods used in this paper are a 3-DFDTD and a 2-D-PMoM. The latter is based on the computation of the periodic Green’s function. The periodicity required for the simulation of slabs of metamaterials is 2-D, such that the slab is infinite in two dimensions and finite (of a controlled thickness) in the third dimension. Since the observation point is in the 3-D space, we use a 3-D Green’s function in a 2-D lattice. Note that the MoM has already been applied to the study of periodic structures, for example, in [12] and [13]. In the latter work, the band diagram of structures producing LH properties have been presented for different ring geometries. In this paper, we use the MoM to also obtain the electromagnetic fields, which allow us to study the properties highlighted in the previous section. An efficient way of computing this Green’s function is to use Ewald’s method [14], which is known to yield a much faster

2958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES,VOL. 53, NO. 9, SEPTEMBER 2005

convergence than the simple spatial expansion or even the spectral expansion [15], [16]. In addition, very few modes are needed in order to compute the fields in the first elementary cell, which is advantageous in a computation like the one presented, for example, in [17]. A. Scalar Green’s Function We start with the standard spatial expansion (1) is a point where is a point in the 3-D space, are two integers while on the 2-D lattice ( and and are the 2-D lattice vectors in the plane), is the magnitude of the wavevector, and is the spherical Hankel function of order 0. Upon using the integral [18] representation of

and are the position of the observawhere and are two integers detion and the source, respectively. termined by convergence criteria on the two parts of the Green’s function and are functions of the ring geometry within the unit cell (more details are given hereafter). is the Ewald’s paramand have a comparable asymptotic eter chosen such that [18], [19]. behavior. In our case, we choose It can be seen that both and can be simplified if the is real (for ) and is real (for ). The simparameter plifications use the properties of the error function and of the complementary error functions and yield the following results. is real, then • If

(5a) • If

is real (lossless background), then

(2) where is a contour properly chosen to ensure convergence of the integral , we can split (1) into two parts, one integrated from 0 to (the Ewald parameter that we shall specify hereafter) and the other from to . After some mathematical manipulations [18], we find that (3a)

(3b)

(5b) and are the real and imaginary part operators, where respectively. Under the form of (3), the expansion of the Green’s function is very quickly convergent. In addition, if the observation point remains inside the first elementary cell, only very few modes are required to compute the Green’s function within a few percent only [20] (depending on the geometry, only one mode can be sufficient). The expressions in (5) should be used whenever their condition is met, since they each require the evaluation of only one complementary error function within the kernel of the method instead of two, which can yield a substantial saving in computation time. B. Dyadic Green’s Function

(3c) where (4a)

The computation of the reflection and transmission coefficients as well as the computation of the fields inside the metamaterial requires the evaluation of the electric field in the near field of the rings. The dyadic Green’s function associated with the scalar Green’s function of (3) therefore needs to be calculated, the relation between the two being obvious [21], [22]. Since the Green’s function has been split into two parts, the first step is to obtain the dyad associated with . In order to do as so, we first define

(4b) (6) (4c)

The dyadic Green’s function is then

(4d) (4e)

(7)

GRZEGORCZYK et al.: PROPERTIES OF LH METAMATERIALS

2959

where is the unit dyad, denotes the double summation over the indices and , and (8) In (8), we have replaced the derivatives with respect to and by their expressions, and the derivatives with respect to can be simply calculated (see the Appendix). can be obtained in the same The dyad associated with way, although the mathematical computation is more tedious (some details are provided in the Appendix). Upon defining , and (9) Fig. 3. Reflection and transmission coefficients for the structure shown in Fig. 2(a) for one and three layers. Although not shown, conservation of energy (jRj + jT j ) has been verified to be within 2% in the resonant region and within 0.5% at other frequencies.

we directly write the final result as

(10)

where

and

The reflection and transmission coefficients are defined in terms of fields [23] and are computed by integrating (we choose the electric field over a surface of constant in our case) below the structure for the reflection coeffiabove the structure for the transcient and of constant mission coefficient. Because of the periodic properties of the setup, the surfaces need only extend over a single unit cell, and the number of integration points has to be adjusted depending on how far the two surfaces are from the structure. The two coefficients and are then computed from

are given in the Appendix and (11a)

(12)

(11b)

, and refer to the incident, scattered, and where total electric fields, respectively. Note that there is no phase correction on the reflection coefficient since it is evaluated at . The reflection and transmission coefficients shown in Fig. 3 for one and three cells in the direction of propagation have been obtained with the mesh shown in Fig. 2(a). The separate studies of rod-only and ring-only structures (not shown here) isolate the standard behavior already noticed in various works [4], [11], [24], [25]: rings only show a stop-band at around 14 GHz where rods still operate in a negative permit. Upon retrieving the permittivity and tivity regime permeability for these two configurations, we find a rod plasma GHz (in agreement with [26] or, more frequency of generally, with [27] for nonnormal incidence), ring resonant freGHz, and ring plasma frequency of quency of GHz. A more isotropic metamaterial for the magnetic field is shown in Fig. 2(b). For the sake of clarity, Fig. 2(b) shows only one layer in the propagation direction but results are reported here for three layers. The transmission coefficient is shown in Fig. 4 where it is compared with the 1-D case mentioned previously

(11c) where . Equations (7) and (10) are used to compute the near-field radiation of the succession of rings. From this, the phase inside the medium can be directly obtained (see Section IV-B), the reflection and transmission coefficients (see Section IV-A), as well as the focusing properties (see Section IV-D). IV. NUMERICAL RESULTS A. Slab Transmission The transmission properties of a plane wave at normal incidence through a slab of metamaterial can be restrained to the study of a unique periodic cell in the and directions (the directions of the periodicity) and to a finite number of cells in the direction (the direction of propagation). The incident electric field is polarized in the direction, which is the direction of the direction (and thus impinges rods, and is propagating in the on the structure from the bottom when referred to Fig. 2).

2960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES,VOL. 53, NO. 9, SEPTEMBER 2005

2

Fig. 4. Transmission coefficient (in terms of fields) for two configurations of rings: split ring of Fig. 1 obtained with FDTD and 2-D-PMoM and split ring of [2] and [28] obtained with FDTD. The discrepancy between FDTD and 2-D-PMoM is explained by the discretization error of FDTD at the size considered. Note that the low transmission around 11 GHz for the 2-D Ring B is not in a left-handed regime.

and FDTD results to be discussed hereafter. It can be seen that the transmission obtained with the 2-D metamaterial is not only high but also surrounded by low transmission at the immediate surrounding frequencies (dropping to about 15 dB from the peak transmission). In addition, in both the 1-D and the 2-D cases, transmission is very high. It is interesting to mention that these results (and all subsequent 2-D-PMoM results) have been obtained using only three modes in the Ewald expansion of the Green’s function, yielding an energy conservation within 2% at the resonant frequencies and 0.5% at all other frequencies from 10 to 20 GHz. FDTD simulations have also been carried out for this structure. Unlike the previous 2-D-PMoM simulations, the following FDTD simulations do not assume a periodic structure, and, therefore, all the rings and rods need to be input in the computational domain. In addition, in order to better reproduce the experimental configuration [2], the metamaterial is placed inside a parallel-plate waveguide, with the normal to the plates being the direction when referred to Fig. 2. The FDTD computational domain is taken to be very similar in its concept to the one shown in [28] and is shown in Fig. 5: it is formed by discretizing space into 300 400 100 uniform cubic cells with sides that measure 0.12 mm. A perfectly matched layer (PML) is used as the absorbing boundary condition around the waveguide. The parallel plates of the waveguide are assumed to be perfect electric conductors (PECs), and a current sheet is placed at one end of the waveguide to create a mode from a horn antenna. source equivalent to the By modulating the currents, a wide-band pulse is created that propagates through the metamaterial, which is shaped either as a slab (for transmission measurements) or as a prism (for the refraction measurement). The equivalent surface currents on a transformation surface surrounding the computational domain are calculated to obtain the direction and magnitude of the farfield power from which conclusions are drawn. The reflection and transmission coefficients of the metamaterial are obtained by making the wide-band pulse incident onto a slab of 6 9 square unit cells of the type shown in Fig. 2(b)

2

Fig. 5. FDTD computational domain: the space is formed by 300 400 100 uniform cubic cells, the top and bottom planes are PECs to simulate the parallel-plate waveguide boundaries, the sides are PMLs, and a current sheet is placed at the front end of the domain. The metamaterial inside the waveguide is composed of unit cells shaped into an overall slab of prism geometry. Note that the rings shown here are only for the purpose of illustration and do not reflect the exact dimensions used in the numerical simulations. In addition, rings in two dimensions have been simulated as well, in both the (xy ) and (xz ) planes.

(six cells being the longest dimension in the direction and nine being the longest dimension in the direction). Metamaterials containing both the ring of Fig. 1 (referred to as Ring A, yielding metamaterial A) and the original split-ring studied in [28] (referred to as Ring B, yielding metamaterial B) are considered. Fig. 4 shows the transmission coefficient for both types of metamaterials. The FDTD simulation was run with a time step of 2.29 10 ns over 32 768 time steps and took approximately 40 h on a DEC Alpha with 4 GB of RAM. A possible LH band for metamaterial A appears at approximately 13–13.5 GHz, indicating that Ring A has a lower resonant frequency than Ring B does (for which the LH band was observed around 14.25–15 GHz). The maximum transmission in this frequency band is close to 0 dB for metamaterial A, indicating that the structure is almost lossless and does not couple into higher order waveguide modes at these frequencies. Despite the idealized situation of PEC metals and no dielectric, the maximum transmitted power is 10 dB higher in metamaterial A than in metamaterial B (at its respective LH frequencies), which was computed in the same idealized conditions. A possible reason for the larger transmission is the inherent symmetry of Ring A in the waveguide, whereas the lack of symmetry of Ring B results in field inhomogeneities that are detrimental to transmission. It can be seen, in particular, that Ring A is symmetric and has its gaps close to its symmetry point, in contrast with Ring B whose gaps are on the edges. Edge gaps are detrimental to transmission within a waveguide environment if they are located close to the waveguide plates, as they create high field concentrations, further enhanced by their images from the waveguide plates. Ring A, however, presents only continuous edges to the waveguide walls and therefore a lower disturbance into higher order waveguide modes. Comparing the results obtained with FDTD and 2-D-PMoM for the 2-D metamaterial A, we note a frequency mismatch of about 0.8 GHz. We attribute this discrepancy to the underdiscretization of the geometry in FDTD. As a matter of fact, the metallizations and gaps within the ring are very small compared to the wavelength in free space, resulting in a very fine mesh. In our case, in order to maintain at least one degree of freedom in the smallest areas of the ring, we have used a discretization step

GRZEGORCZYK et al.: PROPERTIES OF LH METAMATERIALS

of 0.12 mm: metallization widths and gap are therefore modeled with two cells. However, the electric and magnetic fields are not updated at the same location in FDTD, which results in half a cell ambiguity. In situations where the sizes of the objects are on the order of a wavelength, the mesh is fine enough such that this ambiguity is not perceptible. For the simulation of the present ring, however, a half-cell ambiguity on each side of the metallization, which itself is two cells wide, yields a metallization up to 50% thicker and alters the resonant frequency significantly. In order to verify this explanation, we have run 2-D-PMoM simulations on a geometry where the dimension of the rings and rods were increased by one FDTD cell. The resulting transmission frequency dropped from 14 to about 13.1 GHz, which is in agreement with the FDTD results shown in Fig. 4. Taking the problem from the other end, we also decreased the discretization step in FDTD from 0.12 to 0.06 mm and noticed that the transmission frequency increased by 0.7 GHz. This trend has also been witnessed in simulations obtained with CST Microwave Studio, although the results are not reported here. B. Phase Tracking As mentioned previously, the transmission experiment alone cannot unambiguously identify an LH region since complex mechanisms can occur between rings and rods making the high transmission obtained around 13.5–14 GHz due to positive real parts of the permittivity and permeability instead of negative real parts. Therefore, further characterization of this frequency band must be carried out. In this section, we are looking at the phase evolution of the field inside the slab of metamaterial. We first compute the complex electric field along a line of varying (conand ). Second, we plot the phase of the real field stant , where obtained from is the component of the complex electric field obtained from the 2-D-PMoM. Fig. 6 shows the absolute value of the phase evolution at mm and mm for a normally inci14 GHz for dent wave polarized in the direction, impinging from the left. Note that, because the metamaterial is not locally homogeneous, yield continuous phase plots, as well not all positions as not all positions yield an effective negative phase accumulation, as shown in Fig. 6. In order to obtain an effective behavior from the entire slab, we need to compute either the transmission coefficient, a criterion used in the previous section, or the deflection of an incident field by a prism-shaped metamaterial, as is discussed in Section IV-C. and , however, it can be seen that, for For this specific mm, the phase velocity has a positive, yet not constant, slope because of the nonzero reflection coefficient at the first mm, the slope is positive and boundary whereas, for equal to the speed of light in free space. Inside the metamaterial, 15 mm, the phase shows variations between for 0 mm positive slopes and negative slopes (the vertical white lines indicate the upper and lower boundaries of each ring in the direction). However, the overall effect results in accumulation of negative phase which can directly be related to a negative index of refraction, as was already shown in [28] for another ring geometry (Ring B).

2961

Fig. 6. Absolute value of the phase of the electric field as function of time and distance along z for x = 3:5 mm, y = 4:5 mm, and f = 14 GHz for the 2-D structure of Fig. 2(b). Vertical white lines indicate the upper and lower boundaries of the three rings in the z direction.

Fig. 7. Index of refraction (left axis) and normalized power (right axis) for the Ring A 2-D metamaterial [see Figs. 1 and 2(b)]. Note that the low index of refraction retrieved below 12.85 GHz is due to a weak and nonhomogeneous transmitted wave at those frequencies.

C. Numerical Prism Negative refraction, which is one of the most interesting properties of LH metamaterials, has initially been verified experimentally using a prism structure [2]. In this section, we use the 3-D-FDTD to recreate this experimental setup within a numerical simulation, and we analyze the deflection of a singlefrequency incident beam by visualizing the transmitted angle. A general description of the computational setup can be found in [28] and, more specifically for this case, the prism is made of 6 9 unit cells, stair-cased 3 to 1 to achieve an angle of 18.4 . The direction of the far-field Poynting power is calculated from the currents obtained on the edges of the computational domain which are reradiated into the far field. This allows us to determine the angle at which the power is maximum, and, consequently, the index of refraction of the equivalent bulk material. over the LH band obFig. 7 shows the index of refraction tained from Fig. 5. The right axis of Fig. 7 shows the normalized

2962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES,VOL. 53, NO. 9, SEPTEMBER 2005

=0

Fig. 8. Instantaneous absolute value of the electric field within the Ring A metamaterial for a 13.2-GHz continuous wave. Initial relative time !t is 1.8 ps : fs). Note the strong edge effects essentially at the lower right corner of the prism. Despite those, the into the simulation (the 8000th time step with bending of the phase fronts is still very clearly visible and is seen to extend beyond the normal of the second interface (this result has been confirmed by a far-field calculation). (a) !t . (b) !t = . (c) !t = . (d) !t = .

=0

= 4

1 = 0 226 = 2

=3 4

far-field Poynting power at the corresponding frequencies. From this plot, it appears that the LH band extends from 12.9 to 13.5 GHz, with a minimum index of refraction of 1.25. Note that the peak of transmitted power occurs at 13.2 GHz where the . index of refraction is Finally, we consider time domain plots of a 13.2-GHz continuous wave traveling through the metamaterial prism. For the sake of visualization, a 2-to-1 stair-cased (26.6 ) prism of 7 12 unit cells is used. Fig. 8 shows the absolute value of the electric field at the relative times ,

. From these plots, it is seen that the phase and inside the prism is propagating toward the source on the left, whereas the phase outside the prism is propagating away from the source and the prism. Furthermore, since the phase fronts leaving the prism in Fig. 8 are above the normal of the prism and since the direction of power is perpendicular to the phase fronts for a single-frequency continuous wave, Fig. 8 is a vivid illustration of a negative index of refraction (note that, as mentioned above, this conclusion has been corroborated by rigorous far-field calculation from the computed currents).

GRZEGORCZYK et al.: PROPERTIES OF LH METAMATERIALS

2963

D. Focusing With a Slab of Rings Focusing properties of LH metamaterials have been first investigated theoretically in [29] as a new concept of perfect lens. Although such a lens may not be physically realizable, it offers the theoretical limit of perfect resolution which drives experimental works toward subwavelength resolution previously not achieved. The focusing properties of LH metamaterials can directly be understood from their dispersion relations [30], which reveal that, in addition to the isotropic case already studied in (when referring to Fig. 2) [29], [31], a medium with only can also realize focusing, although this focusing is only partial [9]. In this section, we study this partial focusing property using the 2-D-PMoM applied to the ring of Fig. 1 lying in the plane to realize [see Fig. 2(b) where both rods have been plane]. The source to be removed as well as the ring in the focused is a line source in the direction above a set of rings stacked in the direction. Since the source is invariant along , we use only one ring in this direction and three stacks in the direction in order to create an effective medium. Finally, four rings are used in the direction in order to minimize edge effects. This configuration therefore yields a unit cell of dimensions 6 mm in the direction and 20 mm in the direction, while the three stacks in the direction are located at mm, mm, and mm. The line source is located at mm and mm. The effective parameters (permittivity and permeability) of this ring have been obtained by the method described in [32] as (with small imag) at GHz, and inary parts of the order of (with small imaginary parts of the order of ) at GHz. A different behavior is therefore expected if the numerical simulation is run at these two frequencies. Fig. 9 shows the absolute value of the electric field for both GHz and GHz. In addition to some edge effects due to the periodicity imposed by the 2-D-PMoM, it is seen that the field is different in the transmitted region: at 13.4 GHz, the field is more concentrated toward the rings (whose cross section is depicted as the black or white horizontal segments) while at 15.6 GHz the field is more smeared across the transmitted region. For the purpose of illustration, a ray tracing at these two frequencies has been superposed, using the respective constitutive parameters mentioned above. The angles of the rays have been obtained from the laws derived in [33] (not repeated here for the sake of brevity) and indicate that at 13.4 GHz some rays converge in the transmitted region realizing a partial focusing, while at 15.6 GHz the rays diverge and cannot realize focusing. Fig. 9 suggests that, at 13.4 GHz, the field is concentrated in a region that can be assimilated to an image region, while the field is propagating at 15.6 GHz. This conclusion is further confirmed in Figs. 10 and 11 where the real part of the fields are shown at different relative time steps within a period (only relevant time (the origin steps are shown). At 13.4 GHz and time of time being arbitrary since we are in a steady-state regime), it is seen that the amplitude of the field close to the source is

Fig. 9. Absolute value of the electric field distribution from a line source at (y ; z ) = (10 mm; 5 mm) radiating above a medium of three stacked rings of geometry shown in Fig. 1. The results have been obtained using the 2-D-PMoM at 13.4 GHz for which  < 0 and at 15.6 GHz for which  > 0. Ray tracing obtained from [33] has been superposed for the purpose of comparison. Both the ray tracing and the location of the rings (thick horizontal lines) are displayed with alternate black or white lines for the purpose of visualization. Note that the location at which the rays bend does not exactly correspond to the location of the rings, but instead corresponds to the boundaries of the effective medium as suggested in [32]. (a) Frequency: 13.4 GHz. (b) Frequency: 15.6 GHz.

weak (the real part is oscillating in time and is close to zero at ) while it is strong and concentrated in a small area in the transmitted region around mm mm . At , the transmitted field has decreased significantly and propagated only slightly toward the direction, eventually disappearing completely. At , the source starts to build up a field which propagates toward and is transmitted through the rings at , concentrating again in a region close to the rings. The major feature to retain in this case is that the field in the transmitted region is concentrated and is beating at a location close to mm. Interestingly, running the simulation on the exact same structure at 15.6 GHz yields a significantly different behavior, as shown in Fig. 11.

2964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES,VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 10.

Evolution of the real part of the electric field as function of time over one period for a frequency of 13.4 GHz. The retrieved values are in this case (4:15; 0:26), which corresponds to a situation where partial focusing occurs. Note that all of the figures are plotted with the same axes and amplitude scale as (a). (a) !t = 0. (b) !t = 0:05 2 . (c) !t = 0:3 2 . (d) !t = 0:5 2 . (e) !t = 0:7 2 . (f) !t = 0:8 2 . (g) !t = 0:9 2 . (

;

)

Fig. 11.

'

0

2

2

2

2

2

2

Evolution of the real part of the electric field as function of time over one period for a frequency of 15.6 GHz. The retrieved values are in this case (4; 0:23), which corresponds to a situation where partial focusing does not occur. Note that all of the figures are plotted with the same axes and amplitude scale as (a). (a) !t = 0. (b) !t = 0:1 2 . (c) !t = 0:2 2 . (d) !t = 0:3 2 . (e) !t = 0:4 2 . (f) !t = 0:5 2 . (g) !t = 0:9 2 .

(

;

)

'

2

2

2

2

2

2

GRZEGORCZYK et al.: PROPERTIES OF LH METAMATERIALS

2965

It is seen that the transmitted field propagates in the direction without loss of amplitude, which is equivalent to the behavior of a propagating wave. The higher amplitude transmitted directly below the source in this case can be easily understood from a higher transmission coefficient at normal incidence and not from a focusing property of the effective medium like at GHz.

(17) (18) 2) Computation of

: We shall define in this case

V. CONCLUSION The systematic study of multiple properties of split-rings and rods is important for the characterization of new designs aimed at the realization of LH properties. In this paper, we have given a series of corroborating arguments to conclude that the combination of the ring and rods shown in Fig. 1 exhibits LH properties with low losses. The arguments were based on the transmission behavior of a slab of metamaterial, on the phase tracking inside the metamaterial, on the effective index of refraction obtained from a numerical prism experiment, and on the focusing capabilities of a slab with rings perpendicular to the direction of propagation. More generally, any design should be analyzed using these criteria (and more, if possible, such as the isotropic focusing, the anisotropic refraction of power and phase, and the inversion of critical angle and Brewster angle) in order to draw conclusions on the LH properties of new structures. In addition, the various numerical tools presented here can also be used for the optimization of the ring design for some applications. In particular, the study on focusing reported in Section IV-D can be directly generalized to the focusing of planar shapes for near-field lithography applications, while the transmission through the slab and prism reported in Sections IV-A and IV-C can be extended to more complex geometries such as lenses and reflectors for antenna applications.

(19) where

has been defined in (4e). Then, we compute (20a) (20b)

where

, and

(21)

APPENDIX

(22)

A. Expressions Involved in the Calculation of the Periodic Dyadic Green’s Functions Section III highlights a few steps in the computation of the periodic Green’s function using Ewald’s method. This Appendix provides a few additional mathematical details. 1) Computation of : The various derivatives of as defined in (6) can be written as

(13)

(14) (15) (16)

The expressions are useful for the computation of the dyadic Green’s function associated with , as given in (10). REFERENCES [1] J. Pendry, A. J. Holten, D. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [2] R. Shelby, D. Smith, and S. Schultz, “Experimental verification of a negative index of refraction,” Science, vol. 292, pp. 77–79, Apr. 2001. [3] R. Marqués, F. Medina, and R. Rafii-El-Idrissi, “Role of bianisotropy in negative permeability and left-handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 65, p. 144 440, 2002. [4] R. B. Greegor, C. G. Parazzoli, K. Li, B. E. C. Koltenbah, and M. Tanielian, “Experimental determination and numerical simulation of the properties of negative index of refraction materials,” Opt. Express, vol. 11, pp. 688–695, Apr. 7, 2003. [5] J. Lu and S. He, “Numerical study of a gaussian beam propagating in media with negative permittivity and permeability by using a bidirectional beam propagation method,” Microw. Opt. Tech. Lett., vol. 37, pp. 292–296, May 20, 2003. [6] H. Chen, L. Ran, J. Huangfu, X. Zhang, K. Chen, T. M. Grzegorczyk, and J. A. Kong, “Left-handed metamaterials composed of only S-shaped resonators,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, no. 057 605, pp. 1–4, 2004.

2966

[7] [8] [9] [10] [11] [12] [13]

[14] [15] [16]

[17] [18] [19] [20]

[21] [22] [23] [24] [25]

[26] [27]

[28] [29] [30] [31] [32]

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES,VOL. 53, NO. 9, SEPTEMBER 2005

, “Metamaterial exhibiting left-handed properties over multiple frequency bands,” J. Appl. Phys., to be published. S. O’Brien and J. Pendry, “Magnetic activity at infrared frequencies in structured metallic photonic crystals,” J. Phys.: Condens. Matter, vol. 14, pp. 6383–6394, 2002. D. R. Smith, D. Shurig, J. Mock, P. Kolinko, and P. Rye, “Partial focusing of radiation by a slab of indefinite media,” Appl. Phys. Lett., vol. 84, pp. 2244–2246, Mar. 29, 2004. T. M. Grzegorczyk, C. D. Moss, J. Lu, and J. A. Kong, “New ring resonator for the design of left-handed metamaterials at microwave frequencies,” in Proc. PIERS, Honolulu, HI, Oct. 2003, p. 286. R. Shelby, D. Smith, S. Nemat-Nasser, and S. Schultz, “Microwave transmission through a two-dimensional, isotropic, left-handed material,” Appl. Phys. Lett., vol. 78, pp. 489–491, Jan. 2001. M.-J. Park and S. Nam, “Rapid calculation of the green’s function in the shielded planar structures,” IEEE Microw. Guided Wave Lett., vol. 7, no. 10, pp. 326–328, Oct. 1997. M. G. Silveirinha and C. A. Fernandes, “A hybrid method for the efficient calculation of the band structure of 3-D metallic crystals,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 889–902, Mar. 2004. P. P. Ewald, “Die berechnung optischer und elektrostatischen gitterpotentiale,” Ann. Phys., vol. 64, pp. 253–258, 1921. R. E. Jorgenson and R. Mittra, “Efficient calculation of the free-space periodic Green’s function,” IEEE Trans. Antennas Propagat., vol. 38, no. 5, pp. 633–642, May 1990. S. Singh, W. F. Richards, J. R. Zinecker, and D. R. Wilton, “Accelerating the convergence of series representing the free space periodic Green’s function,” IEEE Trans. Antennas Propagat., vol. 38, no. 12, pp. 1958–1962, Dec. 1990. N. Guérin, S. Enoch, and G. Tayeb, “Combined method for the computation of the doubly periodic Green’s function,” J. Electromagn. Waves Applicat., vol. 15, no. 2, pp. 205–221, 2001. L. Tsang, J. Kong, K. Ding, and C. Ao, Scattering of Electromagnetic Waves: Numerical Simulations. New York: Wiley, 2000. A. Kustepeli and A. Q. Martin, “On the splitting parameter in the Ewald method,” IEEE Microw. Guided Wave Lett., vol. 10, no. 5, pp. 168–170, May 2000. T. M. Grzegorczyk, L. Ran, X. Zhang, K. Chen, X. Chen, and J. A. Kong, “Two dimensional periodic approach for the study of left-handed metamaterials,” in Wave Propagation. Scattering and Emission in Complex Media, Y.-Q. Jin, Ed, Singapore: World Scientific and Science Press, 2003, pp. 175–186. C.-T. Tai, Dyadic Green Functions in Electromagnetic Theory, 2nd ed. New York: IEEE Press, 1993. J. A. Kong, Electromagnetic Wave Theory. New York: EMW, 2000. , “Electromagnetic wave interaction with stratified negative isotropic media,” Prog. Electromagn. Res., vol. 35, pp. 1–52, 2002. D. R. Smith, W. J. Padilla, D. Vier, S. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, pp. 4184–4187, May 1, 2000. H. Mosallaei and Y. Rahmat-Samii, “Composite materials with negative permittivity and permeability properties: Concept, analysis, and characterization,” in Proc. APS-URSI, vol. 4, Boston, MA, Jul. 8–13, 2001, pp. 378–381. J. Pendry, A. Holden, D. Robbins, and W. Stewart, “Low frequency plasmons in thin-wire structures,” J. Phys: Condens. Matter, vol. 10, pp. 4785–4809, 1998. P. A. Belov, R. Marqués, S. I. Maslovski, I. S. Nefedov, M. Silveirinha, C. R. Simovski, and S. A. Tretyakov, “Strong spatial dispersion in wire media in the very large wavelength limit,” Phys. Rev. B, Condens. Matter, vol. 67, no. 113 103, pp. 1–4, 2003. C. Moss, T. M. Grzegorczyk, Y. Zhang, and J. A. Kong, “Numerical studies of left-handed metamaterials,” Prog. Electromagn. Res., vol. 35, pp. 315–334, 2002. J. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, pp. 3966–3969, Oct. 30, 2000. D. R. Smith and D. Shurig, “Electromagnetic wave propagation in media with indefinite permittivity and permeability tensors materials,” Phys. Rev. Lett., vol. 90, pp. 077 405–1-4, Feb. 21, 2003. A. A. Houck, J. B. Brock, and I. L. Chuang, “Experimental confirmation of a left-handed material that obeys snell’s law,” Phys. Rev. Lett., vol. 90, no. 13, p. 137 401, 2003. X. Chen, T. M. Grzegorczyk, B.-I. Wu, J. P. Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, no. 016 608, pp. 1–7, 2004.

[33] T. M. Grzegorczyk, M. Nikku, X. Chen, B.-I. Wu, and J. A. Kong, “Refraction laws for anisotropic media and their application to left-handed metamaterials,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1443–1450, Apr. 2005.

Tomasz M. Grzegorczyk (M’00) received the Ph.D. degree from the Laboratoire d’Electromagnetisme et d’Acoustique (LEMA), Ecole Polytechnique Federale de Lausanne (Swiss Federal Institute of Technology), Lausanne, Switzerland, in 2000. In January 2001, he joined the Research Laboratory of Electronics (RLE), Massachusetts Institute of Technology (MIT), Cambridge, where he is now a Research Scientist. His research interests include the study of wave propagation in bianisotropic media and left-handed metamaterials, electromagnetic induction from spheroidal object for unexploded ordnances modeling, and optical binding and trapping. He has been a Visiting Scientist with the Institute of Mathematical Studies, National University of Singapore, Singapore, and was appointed an Adjunct Professor of The Electromagnetics Academy at Zheijiang Universtity, Hangzhou, China, in July 2004. Since 2001, he has been part of the Technical Program Committee of the Progress in Electromagnetics Research Symposium and of the Editorial Board of the Journal of Electromagnetic Waves and Applications.

Christopher D. Moss (M’98) received the B.S. degree from the University of Alberta, Edmonton, AB, Canada, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 2000 and 2004, respectively. He was an Engineer with Raytheon, Tewksbury, MA, in the Microwave Sys-band tems Department from 1997 to 1998, where designed MMICs for radar receivers. From 1998 through 2004, he was a Research Assistant with MIT’s Center for Electromagnetic Theory and Applications and Lincoln Laboratory. His research at MIT focused on developing numerical methods to enable the simulation of electromagnetic wave phenomena in natural and engineered systems. He is currently with BAE Systems Advanced Information Technologies (formerly Alphatech), Burlington, MA, where his work focuses on multi-source kinematic and combat ID fusion technologies.

Ka

Jie Lu received the B.S. and M.S. degree in physics from Tsinghua University, Beijing, China, in 1998 and 2001, respectively. He is currently working toward the the Ph.D. degree in physics at the Massachusetts Institute of Technology (MIT), Cambridge. Since 2002, he has been a Research Assistant with MIT’s Center for Electromagnetic Theory and Applications. His research interests include analytical and numerical method for electromagnetic waves, including remote sensing, rough surface scattering, synthetic aperture radar (SAR), and metamaterials.

Xudong Chen received the B.S. and M.S. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 1999 and 2001, respectively, and the Ph.D. degree from the Massachusetts Institute of Technology (MIT), Cambridge, in 2005. His research interests are mainly metamaterial and electromagnetic inverse problems. Mr. Chen was the recipient of First Prize in the Chinese National Mathematical Contest in Modeling in 1997.

GRZEGORCZYK et al.: PROPERTIES OF LH METAMATERIALS

Joe Pacheco, Jr. (M’04) received the S.B., M.Eng., and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 2000 and 2004, respectively, all in electrical engineering. He is currently a Member of Staff of Lincoln Laboratory’s Systems and Analysis Group. His main research interests include metamaterials and electromagnetic theory. Dr. Pacheco is a member of Eta Kappa Nu. He was the recipient of the IEEE Microwave Theory and Techniques Society 2003 Graduate Fellowship Award.

2967

Jin Au Kong (S’65–M’69–SM’74–F’85) is the President of The Electromagnetics Academy and a Professor of electrical engineering at the Massachusetts Institute of Technology, Cambridge. His research interest is in the area of electromagnetic wave theory and applications. He has published over 30 books, including Electromagnetic Wave Theory [New York: Wiley-Interscience (EMW Publishing since 1998), 1975, 1986, 1990] and over 600 refereed journal articles, book chapters, and conference papers. He has been principal investigator for more than 100 grants and contracts from various government agencies and industry. He has served as a consultant, external examiner, and advisor to industry, academia, national governments, and the United Nations. He has been reviewer for many journals, book companies, and government agencies and has served as session chairman, organizer, and member of advisory and technical program committees for numerous international and national conferences and symposia, including serving as Chairman for the Progress In Electromagnetics Research Symposium (PIERS) since 1989. He is Editor of the Wiley Series in Remote Sensing, Editor-in-Chief of the Journal of Electromagnetic Waves and Applications (JEMWA), and Chief Editor for the book series Progress In Electromagnetics Research (PIER). Dr. Kong was the recipient of the S. T. Li Prize and the Distinguished Achievement Award from the IEEE Geoscience and Remote Sensing Society in 2000 and the IEEE Electromagnetics Award in 2004.

2968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Compact Super-Wide Bandpass Substrate Integrated Waveguide (SIW) Filters Zhang-Cheng Hao, Wei Hong, Member, IEEE, Ji-Xin Chen, Xiao-Ping Chen, and Ke Wu, Fellow, IEEE

Abstract—It is known that the substrate integrated waveguide (SIW) features high-pass characteristics of the conventional waveguide, and a periodic structure (PS) generally presents bandstop characteristics. Therefore, a super-wide-band bandpass characteristic should be realized by combining some sort of PS into the SIW. In this paper, three types of compact SIW-PS wide-band bandpass filters are proposed and investigated with simulation and experiment. Performances of a super-wide bandpass, for instance, 8.5–16.5 GHz in this case study, with low insertion loss and sharp out-of-band characteristics are observed from both simulated and measured results. Index Terms—Bandpass filter, coplanar waveguide (CPW), defect ground structure (DGS), electromagnetic bandgap (EBG), substrate integrated waveguide (SIW).

I. INTRODUCTION

I

N THE last several years, various types of periodic structures such as electromagnetic bandgap structures (EBGs) and defect ground structures (DGSs) etc. [1]–[14] have been a popular research topic, and they have generated significant interests in applications for microwave and millimeter-wave circuits design. A planar periodic structure called high-impedanceground structure, for example, has been proposed and used to improve antenna performances [2], and a compact EBG structure named the uniplanar compact EBG (UC-EBG) was realized with periodic metal pads etched on ground plane connected by narrow lines to form a distributed LC network [1], [6], [7]. Some planar periodic structures such as compact coplanar waveguide (CPW) periodic structures have been used to realize highperformance filters or to reduce harmonic effects in power amplifiers and to suppress leakage in CB-CPWs [4], [15]–[17]. These applications become possible because the periodic structures exhibit excellent bandstop characteristics when patterned on a microstrip ground plane. In addition, slow-wave characteristics manifesting along the periodic structures can be exploited to reduce the microstrip component size [4]. Recently, a convenient and interesting planar scheme called the substrate integrated waveguide (SIW) has already attracted

Manuscript received December 20, 2004; revised April 18, 2005. This work was supported in part by the National High Technology Research Plan of China (863 Plan) under Grant 2002AA123031, by the National Science Foundation of China under Grant 60390540, and by the China Postdoctoral Science Foundation under Grant 2004035654 Z.-C. Hao, W. Hong, J.-X. Chen, and X.-P. Chen are with the State Key Laboratory of Millimeter Waves, Department of Radio Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]; weihong@ seu.edu.cn). K. Wu is with the Poly-Grames Research Center, Department of Electrical Engineering, Ecole Polytechnique, University of Montreal, Montreal, QC, Canada H3V 1A2 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854232

much interest in the design of microwave and millimeter-wave integrated circuits [19]–[43]. The SIW is synthesized in dielectric substrate with linear arrays of metallic vias, and it can also be treated as a periodic structure [10], [43]. The field distribution in an SIW is similar to that in a conventional rectangular waveguide, thus SIW components inherit the advantages of the rectangular waveguide such as high factor, low insertion loss, and high-power capability [27]–[43]. This scheme is also feasible for designing ridged waveguides in low—temperature co-fired ceramic (LTCC) [20], [22], [24]–[27]. Similar structures realized in the LTCC process are also known as laminated waveguide and post-wall waveguide [20], [21], [38]–[40]. The SIW components such as filters, multiplexers, antennas, and power dividers have been studied by researchers in [24]–[43]. Such tremendous research progresses show that the SIW can be deployed in the design of microwave and millimeter-wave integrated circuits with very promising performances. Since the SIW has a cutoff frequency characteristic and a periodic structure generally has a bandstop behavior, compact wide-band filters can thus be obtained by integrating the SIW with a periodic structure. This study proposes and examines different integration techniques of SIW with UC-EBG, UC-DGS, and CPW periodic structures, respectively, and wide-band filters with low insertion loss are obtained. These filters present a much wider bandwidth than the conventional SIW filter does. On the other hand, the SIW and periodic structures such as UC-EBG, DGS, and CPWs are highly integrated together, and the resulting filters therefore have a much smaller size than the conventional SIW filter, whose size is comparable to its operating guided or ) [24]–[27], [35]. Further, such filters wavelength ( exhibit low insertion loss and good selectivity due to the high factor of SIW and the low loss characteristics of periodic structures (UC-EBG and CPW) [1], [4], [19]. In this paper, design considerations for these wide-band filters are introduced in Section II, where geometrics of these filters are illustrated, and simulation results are presented in Section III. In Section IV, experiments are provided and discussed with measured results, followed by conclusions in Section V.

II. DESIGN CONSIDERATIONS A. SIW and UC Photonic-Bandgap (UC-EBG) Structure Fig. 1 shows the SIW structure and the UC-EBG cell with their geometric parameters. In Fig. 1(a), the SIW is made of two linear metallic via arrays in dielectric substrate with a height of . These metallic via arrays confine the electromagnetic fields , and the metallic inside the SIW. The SIW has a width of

0018-9480/$20.00 © 2005 IEEE

HAO et al.: COMPACT SUPER-WIDE BANDPASS SIW FILTERS

2969

Fig. 1. Configurations for (a) the SIW and (b) the UC-EBG cell with their geometric parameters.

vias have a diameter of and the space between the ad. A relationship between the conventional jacent vias is rectangular waveguide and the SIW can be used to initially determine the geometric parameters [34], and it can be described as follows: (1) where is a normalized coefficient, is the width of the corand are deresponding rectangular waveguide, and fined as

Fig. 2. Configuration for SIW-EBG filter. (a) Front view. (b) Back view.

(2) Then, the cutoff frequency for the SIW can be defined as in which is the light velocity in vacuum. In Fig. 1(b), the geometric parameters for UC-EBG cell are depicted. When the UC-EBG cells are connected periodically, this two-dimensional (2-D) EBG structure can in fact be considered as a periodically loaded structure with gap capacitances and reactances provided by connecting branches [3]. In this way, a transmission-line model that is periodically loaded with a ( is the reactance of the lumped impedance consisting of ( represents resonator for a UC-EBG cell) in parallel with the coupling capacitor between neighboring resonators) with a period of can be used to model this UC-EBG structure, so propagation constant and bandgap can be determined by [3] (3) is the characteristic impedance and is the phase where constant for the unloaded structure. For a periodic structure, the

propagation constant within the stopband is zero or [1], [3]. Within the passband, the propagation constant can be obtained using (3) at different frequencies. Using (1)–(3), we can design the SIW and UC-EBG analytically. It is noted that, since the inductive and capacitive values for any periodic structure are not entirely independent because of coupling effects [4], [18], we can only make use of the parameters obtained from (1)–(3) as initial values for the design of filters, and a complete full-wave analysis is required for the subsequent tuning process. In this design, we adjust those parameters for SIW and UC-EBG with the aid of the commercially available Ansoft High Frequency Structure Simulator (HFSS) software. B. SIW-EBG Filter Fig. 2 shows the configuration for the SIW-EBG filter. The SIW is synthesized by the metallic vias which are separately placed at the center of the UC-EBG cells. As shown in Fig. 2(b), in the central UC-EBG cell array, there are no metallic vias,

2970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 4. Configuration for the proposed SIW-CPW filter. (a) Front view. (b) Back view. Fig. 3.

Configuration for SIW-DGS filter. (a) Front view. (b) Back view.

and the electromagnetic wave can pass through it without reflection caused by the metallic vias in the passband. Then, the SIW width is twice the width of the UC-EBG cell. The space . between UC-EBG cells is denoted by Since the filter operates over a wide frequency range in this case, it is difficult to design a wide-band SIW-microstrip transition for its input and output. For measurement purposes or possible interconnects with other components, two short lengths of hard ground plane have been used as parts of the filter, and two 50- microstrips shown in Fig. 2(a) are directly connected with the up-cover of SIW for the input and output. The space between . the first UC-EBG cells to the hard ground is Once the geometric parameters of UC-EBG cells are chosen in the design, the width of the SIW and the space between metallic vias that are critical for the SIW are then determined. The parameter related to the diameter of the metallic via can be used to tune the cutoff frequency. It is found that the metallic cover should not be overlapped with the hard ground plane in this structure. This is because the electromagnetic field will be strongly coupled and a large capacitor will be introduced. C. SIW-DGS Filter As shown in Fig. 2, the geometric parameters for the SIW-EBG filter are mainly limited by the UC-EBG cells. Therefore, it is not convenient to design the SIW filter in this way. We can replace the lateral UC-EBG cells with a post wall. The UC-EBG cells are still placed at the center ground plane. Fig. 3 shows details of the structure. The width of the SIW and the space between metallic vias can be controlled by designers. For the reasons mentioned above, two 50- microstrips shown in Fig. 3(a) are directly connected with the up-cover of SIW for the input and output. In the structure shown in Fig. 3, the ground plane for this filter can be treated as a defect ground structure. As such, it

can be called the SIW-DGS filter. In the design of this filter, the geometric parameters for the UC-EBG cell and SIW are selected independently, which increases the design flexibility. is used to adjust the coupling between The parameter the output port (or input port) and the UC-EBG cells, and the between UC-EBG cells can be used to control distance the resonance peaks. D. SIW-CPW Filter In high-frequency system design, a large ground plane is often required for decreasing the noise generated by microwave and millimeter-wave components such as the low noise amplifier (LNA) and oscillator. In this case, the SIW-UC-EBG filter and the SIW-DGS filter are not very suitable for this type of application. In addition, the EBG and DGS structures will cause radiation losses. To enlarge the ground plane and to reduce the radiation losses, we place the UC-EBG cell on the up-cover of the SIW. As shown in Fig. 4, the space between UC-EBG cells , and it can be used to control the resonance peaks in is the same way as the SIW-DGS filter can. The parameter is used to control the coupling between the SIW and input and output ports. As shown in Fig. 4, the UC-EBG cells can also be considered a CPW structure, and the structure for the filter can be treated as an SIW integrated with a periodically placed CPW. Then this structure may be called the SIW-CPW filter. Since the UC-EBG, DGS, and CPW can be fully integrated with the SIW, as shown in Figs. 2–4, as will be shown later, these structures will be ideal candidates for designing very compact wide-band filters. Since the filters are based on the construction of periodic structures, the filter synthesis is greatly simplified, and performance with a higher selectivity can be accomplished simply by inserting more cells. It can also be predicted that the SIW-CPW filter will have a small insertion loss because the SIW has a high factor and the periodic CPW has low insertion loss characteristics [4], [19].

HAO et al.: COMPACT SUPER-WIDE BANDPASS SIW FILTERS

2971

TABLE I GEOMETRIC PARAMETERS OF THE SIW-EBG FILTER

Fig. 6. Simulated results for the three-cell SIW-EBG filter with its geometric parameters listed in Table I. TABLE II GEOMETRIC PARAMETERS OF THE SIW-DGS FILTER

Fig. 5. E -field profile for the simulated SIW-EBG filter at (a) 10 GHz and (b) 16 GHz.

III. NUMERICAL SIMULATIONS To demonstrate wide-band performances of those proposed filters, we have investigated those filters with the aid of a commercially available full-wave software package (Ansoft HFSS). The dielectric substrate in the simulation model has a thickness of 0.5 mm, a relative permittivity of 2.2, a loss tangent of 0.0009 at 10.0 GHz. The microstrip line width is 1.2 mm that corresponds to a 50- line on a conventional ground plane. A. SIW-EBG Filter An -band SIW-EBG filter with three UC-EBG cells is studied in our design, and its geometric parameters are listed is the width of the output (or input) in Table I, where refers to the thickness of substrate, microstrip line, is the diameter of metallic vias, and other parameters are shown in Fig. 1. Fig. 5 shows -field profiles for the simulated SIW-UC-EBG filter. The -fields are limited in the central UC-EBG cells by metallic vias. They pass through the filter by the coupling between the UC-EBG cells at 10.0 GHz, and most of the fields are reflected by these UC-EBG cells at 16.0 GHz due to the bandgap characteristic of the UC-EBG. Fig. 5 clearly indicates that the electromagnetic fields are bounded within the central cells over the passband and are rejected by the UC-EBG cells out of the band. This suggests that the SIW can be constructed by those metallic vias with the metallic cover shown in Fig. 2, and then a high-pass behavior will take place. Due to the bandgap properties of EBG, the filter presents a bandstop performance.

Fig. 6 shows simulated results of -parameters for the studied SIW-EBG filter. The filter operates at 10.0 GHz with a relative bandwidth of nearly 35.9% (from 8.0 to 11.5 GHz). Although the conductor loss of the UC-EBG is small [1], the radiation loss is not negligible in the design. It can be found in Fig. 6 is better than that the return loss is small over the passband ( 10 dB), but the insertion loss is increased at higher frequencies ( 2.0 dB at 11.0 GHz, for example). This is caused by the designed EBG structure which has a larger radiation loss at high frequencies than that at low frequencies. B. SIW-DGS Filter SIW-DGS filters with series-cascaded three and eleven UC-EBG cells are studied, respectively. Their geometric parameters are provided in Table II. The SIW is designed to have a cutoff frequency of 8.5 GHz, and the UC-EBG is designed to have a stopband at 16.5 GHz initially. By adjusting the parameters of UC-EBG cells, the SIW-DGS filter can be constructed. Simulated results of these filters are presented in Figs. 7 and 8. It can be seen that the three-cell SIW-DGS filter has a center frequency of 11.75 GHz with a bandwidth of 55.32% over a frequency range from 8.5 to 15 GHz. The return loss is better than 11 dB. Due to its radiation characteristics and metal losses, the maximum insertion loss is 0.8 dB. Similar to the SIW-EBG filter, the insertion loss is increased at higher frequencies. Since each individual UC-EBG cell will couple not only with its immediate adjacent cell, but with all other cells in the periodic chain as well [4], [18], we adjust the geometric parameters

2972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE III GEOMETRIC PARAMETERS OF THE SIW-CPW FILTER

Fig. 7. Simulated results for the three-cell SIW-DGS filter with its geometric parameters listed in Table II.

Fig. 9. Simulated results of the three-cell SIW-CPW filter with its geometric parameters listed in Table III.

Fig. 8. Simulated results for the 11-cell SIW-DGS filter with its geometric parameters listed in Table II.

a little bit for the 11-cell SIW-DGS filter. Fig. 8 shows the simulated results for this filter. The filter has a center frequency of 12.25 GHz with a bandwidth of 61.22% (from 8.5 to 16.0 GHz). The return loss is better than 11 dB over the bandwidth. Compared to its three-cell counterpart, the 11-cell filter has a larger insertion loss that is about 1.6 dB at 8.5 GHz and increases at higher frequencies. Nevertheless, the 11-cell SIW-DGS filter has an excellent selectivity. Over a 1.0-GHz frequency range at a low sideband (from 7.5 to 8.5 GHz) and a high sideband (from 16.0 to 17.0 GHz), the transmission rejection is increased to 50 and 60 dB, respectively. C. SIW-CPW Filter A three-cell SIW-CPW filter and an 11-cell SIW-CPW filter have also been designed in this case. Table III lists the geometrical parameters for the three-cell SIW-CPW filter. The SIW is also designed with a cutoff frequency of 8.5 GHz, and the UC-EBG is designed to have a stopband at 15.5 GHz.

We have investigated these SIW-CPW filters using the fullwave HFSS analyzer, and simulation results are presented in Fig. 9. The three-cell SIW-CPW filter has a center frequency of 12.0 GHz with a bandwidth of 58.33% (from 8.5 to 15.5 GHz). The return loss is better than 10 dB over the bandwidth. The maximum insertion loss is 0.6 dB. It has been noticed that the insertion loss is not increased at higher frequencies. This should be attributed to the low radiation property of the CPW. For the reasons mentioned above, we have slightly adjusted the geometric parameters for the 11-cell SIW-CPW filter. Simulated results presented in Fig. 10 show that the filter has a center frequency of 12.5 GHz with a bandwidth of 64% (from 8.5 to 16.5 GHz). The maximum insertion loss is 1.06 dB with a good selectivity. The transmission rejection is increased to 60 dB over a 1.0-GHz frequency range at both sidebands. An interesting point can be found from Tables II and III and Figs. 7–10 that the geometric parameters for the SIW-DGS and SIW-CPW filters almost are the same in the work. This suggests that the circuits can be etched on the top cover or on the bottom cover of the SIW and the circuit performance will not be deteriorated. IV. EXPERIMENTAL RESULTS A microstrip line 1.2 mm wide and 60 mm long has been designed for calibration purposes, which is used to evaluate the substrate characteristics such as dielectric loss, conductor loss,

HAO et al.: COMPACT SUPER-WIDE BANDPASS SIW FILTERS

2973

Fig. 12. Photograph of three-cell and 11-cell SIW-EBG filters. (a) Back view. (b) Front view.

Fig. 10. Simulated results of the 11-cell SIW-CPW filter with its geometric parameters listed in Table III.

Fig. 13.

Measured results of the three-cell SIW-EBG filters.

Fig. 11. Measured results for a 60-mm-long 50- microstrip.

and influence of the subminiature version A (SMA) connectors in the design of these filters. Fig. 11 shows measured results that include the effect of two SMA connectors. From 4.0 to 18.0 GHz, the insertion loss is less than 0.96 dB, and the return loss is smaller than 20 dB. Such results suggest that the substrate and SMA can be used in the design. Three-cell and 11-cell SIW-EBG filters are designed and fabricated with our standard PCB process. Fig. 12 shows photographs of those fabricated SIW-EBG filters. Measured results that include the SMA effect are shown in Figs. 13 and 14. Due to the radiation loss, these filters have a significant insertion loss. As shown in Fig. 14, the insertion losses are 3.1 dB at 11.0 GHz and 4.1 dB at 16.0 GHz, the relative is better bandwidth is 51.9% (from 10.0 to 17.0 GHz), and than 10 dB in the bandwidth except for a few frequency points. Fig. 14 shows that the 11-cell SIW-EBG filter has an excellent selectivity. Using the same substrate, we have designed three-, five-, and 11-cell SIW-DGS filters. Prototypes of these filters are fabricated and measured. Fig. 15 shows a photograph of these filters.

Fig. 14. Measured results of the 11-cell SIW-EBG filters.

Figs. 16 and 17 present measured results that include two SMA effects for three- and five-cell SIW-DGS filters. These filters have a relative bandwidth of 55.32% (from 8.5 to 15.0 GHz). The three-cell SIW-DGS filter has insertion losses of 1.5 dB at

2974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 17.

Measured results for five-cell SIW-DGS filter.

Fig. 18.

Measured and simulated results for the 11-cell SIW-DGS filter.

Fig. 15. Photograph of three-, five-, and 11-cell SIW-DGS filters. (a) Back view. (b) Front view.

Fig. 16.

Measured results for three-cell SIW-DGS filter.

11.0 GHz and 1.4 dB at 14.0 GHz, respectively. The five-cell SIW-DGS filter has insertion losses of 1.7 dB at 10.0 GHz and 2.0 dB at 14.0 GHz, respectively. The return losses of these two filters over the operating bandwidth are better than 10 dB. Fig. 18 shows measured results compared with simulated results for the proposed 11-cell SIW-DGS filter. This filter has an excellent selectivity performance and a small return loss lower than 10 dB in the passband. Its relative bandwidth is 61.23% (from 8.5 to 16.0 GHz). The insertion loss is 2.0 dB at 10.0 GHz and 2.6 dB at 14.0 GHz that includes the insertion

loss of two SMA connectors. Generally speaking, the measured results agree with the simulated results. Photographs for the fabricated three-, five-, and 11-cell SIW-CPW filters are presented in Fig. 19. Measured results for the three-cell and five-cell SIW-CPW filters are presented in Figs. 20 and 21. Due to a small radiation loss of CPW, the three-cell filter has insertion losses of 0.8 dB at 10.33 GHz and 0.97 dB at 14 GHz, respectively, and the five-cell filter has insertion losses of 0.98 dB at 10.33 GHz and 1.36 dB at 14.0 GHz, respectively. The return loss for these filters are lower than 10 dB. As shown in Figs. 20 and 21, when the number of CPW cells is increased, the bandwidth is expanded slightly. The three-cell SIW-CPW filter has a relative bandwidth of 55.32% (from 8.5 to 15.0 GHz), while the five-cell SIW-CPW filter has a relative bandwidth of 58.33% (from 8.5 to 15.5 GHz). Measured results compared with simulated results for the 11-cell SIW-CPW filter are shown in Fig. 22. This filter has a relative bandwidth of 61.23% (from 8.5 to 16.0 GHz), a return

HAO et al.: COMPACT SUPER-WIDE BANDPASS SIW FILTERS

2975

Fig. 21.

Measured results for the five-cell SIW-CPW filter.

Fig. 22.

Measured and simulated results for the 11-cell SIW-CPW filter.

Fig. 19. Photograph of the three-, five-, and 11-cell SIW-CPW filters. (a) Back view. (b) Front view.

Fig. 20.

Measured results for the three-cell SIW-CPW filter.

loss lower than 10 dB in the passband, and insertion losses of 1.3 dB at 12.0 GHz and 1.55 dB at 14.0 GHz, respectively. With reference to the simulated results, the measured results have an excellent selectivity and a small ripple in the passband. From the above simulated and measured results, it can be found that the SIW-EBG filter is the worst judging from the insertion loss among the proposed three types of filters. The SIW-DGS filter exhibits a moderate performance while the SIW-CPW filter demonstrated the best performance. This is mainly determined by the radiation loss. The measured and

simulated results also show that the greater the number of cells is, the bigger the insertion loss becomes. This is mainly caused by the inherent dielectric and conductor losses. In addition, the performance of selectivity is improved with increasing the number of cells in the structure. From the photographs of these filters, we can see that the proposed filters have a small size that is comparable to a coin. This makes them favorable for microwave and millimeter-wave applications. V. CONCLUSION Several types of compact super-wide-band SIW filters are proposed and investigated in this paper. Their prototypes are designed and fabricated on the basis of our standard PCB process. These filters allow the effective combination of the SIW scheme with periodic structures in a compact form. Simulated and measured results indicate that excellent performance of selectivity and wide passband with small insertion losses can be achieved, which may be significant for a wide range of microwave and millimeter-wave system applications.

2976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

ACKNOWLEDGMENT The authors are grateful to L. Yan, Y.-L. Zhang, H. Li, B. Liu, H.-J. Tang, H. Zhang, and others for their collaborations during the early stage of this work and for their many helpful comments and discussions. REFERENCES [1] F. R. Yang, K. P. Ma, Y. X. Qian, and T. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [2] D. Sievenpiper, L. J. Zhang, R. F. J. Broas, N. G. Alex’opolous, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2074, Nov. 1999. [3] M. Rahman1 and M. A. Stuchly, “Transmission line—Periodic circuit repersentation of planar microwave photonic bandgap structures,” Microw. Opt. Technol. Lett., vol. 30, pp. 15–19, Jul. 2001. [4] J. Sor, Y. Qian, and T. Itoh, “Miniature low-loss CPW periodic structures for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2336–2341, Dec. 2001. [5] F. R. Yang, Y. X. Qian, R. Coccioli, and T. Itoh, “A novel low-loss slowwave microstrip structure,” IEEE Microw. Guided Wave Lett., vol. 8, no. 11, pp. 372–374, Nov. 1998. [6] F. R. Yang, K. P. Ma, Y. X. Qian, and T. Itoh, “A novel TEM waveguide using uniplanar compact photonic-bandgap (UC-PBG) structure,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2092–2098, Nov. 1999. [7] K. P. Ma, K. Hirose, F. R. Yang, Y. X. Qian, and T. Itoh, “Realization of magnetic conducting surface using novel photonic bandgap structure,” Electron. Lett., vol. 34, pp. 2041–2042, Oct. 1998. [8] V. Radisic, Y. X. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [9] Y. Rahmat-Samii, “The marvels of electromagnetic band gap (EBG) structures: Novel microwave and optical applications,” in Proc. SBMO/IEEE MTT-S Int. Microwave and Optoelectronics Conf., vol. 1, Sep. 2003, pp. 265–275. [10] H. J. Hsu, M. J. Hill, R. W. Ziolkowski, and J. Papapolymerou, “A duroid-based planar EBG cavity resonator filter with improved quality factor,” IEEE Antennas Wireless Propag. Lett., vol. 1, pp. 67–70, 2002. [11] J. Chappell, M. P. Little, and L. P. B. Katehi, “High isolation, planar filters using EBG substrates,” IEEE Microw. Wireless Comp. Lett., vol. 11, no. 6, pp. 246–248, Jun. 2001. [12] S. T. Chew and T. Itoh, “PBG-excited split-mode resonator bandpass filter,” IEEE Microw. Wireless Comp. Lett., vol. 11, no. 9, pp. 364–366, Sep. 2001. [13] I. Rumsey, P. M. Melinda, and P. K. Kelly, “Photonic bandgap structures used as filters in microstrip circuits,” IEEE Microw. Guided Wave Lett., vol. 8, no. 10, pp. 336–338, Oct. 1998. [14] F. Mernyei, I. Aoki, and H. Matsuura, “MMIC bandpass filter using parallel-coupled CPW lines,” Electron. Lett., vol. 30, pp. 1862–1863, Oct. 1994. [15] C. Hang, V. Radisic, Y. Qian, and T. Itoh, “High efficiency power amplifier with novel PBG ground plane for harmonic tuning,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1999, pp. 807–810. [16] K. P. Ma, J. Kim, F. R. Yang, Y. Qian, and T. Itoh, “Leakage suppression in stripline circuits using a 2-D photonic bandgap lattice,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 1999, pp. 73–76. [17] K. Wu and R. Vahldieck, “Hybrid-mode analysis of homogeneously and inhomogeneously doped low-loss slow-wave coplanar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1348–1360, Aug. 1991. [18] K. Wu, D. Maurin, and R. Bosisio, “An explicit design technique for wideband couplers and high quality filters using periodic topology,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1993, pp. 1085–1088. [19] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits— A new concept for high-frequency electronics and optoeletronics,” Proc. 6th Telecommunications in Modern Satellite, Cable and Broadcasting Service, vol. 1, pp. P-III–P-X, Oct. 2003. [20] A. Piloto, K. Leahy, B. Flanick, and K. A. Zaki, “Waveguide Filters Having a Layered Dielectric Structures,” U.S. Patent 5 382 931, Jan. 17, 1995. [21] H. Uchimura, T. Takenoshita, and M. Fujii, “Development of a ‘laminated waveguide’,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2438–2443, Dec. 1998.

[22] D. Stevens and J. Gipprich, “Microwave characterization and modeling of multilayered cofired ceramic waveguides,” in Proc. Int. Microelectron. Symp., San Diego, CA, Nov. 1998, pp. 195–200. [23] F. Xu, Y. L. Zhang, W. Hong, K. Wu, and T. J. Cui, “Finite difference frequency domain algorithm for modeling guided-wave properties of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2221–2227, Nov. 2003. [24] J. Gipprich, D. Stevens, M. Hageman, A. Piloto, K. A. Zaki, and Y. Rong, “Embedded waveguide filters for microwave and wireless applications using cofired ceramic technologies,” in Proc. Int. Microelectron. Symp., San Diego, CA, Nov. 1998, pp. 23–26. [25] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich;, “Low temperature cofires ceramic (LTCC) ridge waveguide bandpass chip filter,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2317–2324, Dec. 1999. [26] Y. Rong, A. Zaki, J. Gipprich, M. Hageman, and D. Stevens, “LTCC wide-band ridge-waveguide bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1836–1840, Sep. 1999. [27] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Low temperature cofired ceramic (LTCC) ridge waveguide multiplexers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2000, pp. 1169–1172. [28] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Comp. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [29] Y. Cassivi and K. Wu, “Low cost microwave oscillator using substrate integrated waveguide cavity,” IEEE Microw. Wireless Comp. Lett., vol. 13, no. 2, pp. 48–50, Feb. 2003. [30] D. Deslandes and K. Wu, “Millimeter-wave substrate integrated waveguide filters,” Proc. IEEE Electrical Computer Engineering Conf., vol. 3, pp. 1917–1920, May 2003. [31] W. Q. Che, E. K. N. Yung, and K. Wu, “Millimeter-wave ferrite phase shifter in substrate integrated waveguide (SIW),” in IEEE AP-S Int. Microwave Symp. Dig., vol. 4, Jun. 2003, pp. 887–890. [32] S. Germain, D. Deslandes, and K. Wu, “Development of substrate integrated waveguide power dividers,” in Proc. IEEE Electrical Computer Engineering Conf., vol. 3, May 2003, pp. 1921–1924. [33] W. D’Orazio, K. Wu, and J. Helszajn, “A substrate integrated waveguide degree-2 circulator,” IEEE Microw. Wireless Comp. Lett., vol. 14, no. 3, pp. 207–209, Mar. 2004. [34] L. Yan, W. Hong, K. Wu, and T. J. Cui, “Investigations on the propagation characteristics of SIW,” Proc. Inst. Elect. Eng. Microw., Antennas, Propag., vol. 152, no. 1, pp. 35–42, Feb. 2005. [35] Z. C. Hao, W. Hong, X. P. Chen, J. X. Chen, K. Wu, and T. J. Cui, “Multilayered substrate integrated waveguide elliptic filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 1042–1045, Feb. 2005. [36] L. Yan, W. Hong, G. Hua, J. X. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [37] Y. Kimura, M. Takahashi, J. Hirokawa, M. Ando, and M. Haneishi, “76 GHz alternating-phase fed single-layer slotted waveguide arrays with suppressed sidelobes in the E-plane,” in IEEE AP-S Int. Microwave Symp. Dig., vol. 3, Jul. 2003, pp. 1042–1045. [38] J. Hirokawa and M. Ando, “Model antenna of 76 GHz post-wall waveguide fed parallel plate slot arrays,” in IEEE AP-S Int. Microwave Symp. Dig., vol. 1, Jul. 1999, pp. 146–149. , “76 GHz post-wall waveguide fed parallel plate slot array with 45 [39] degree linear polarization,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2000, pp. 1973–1976. [40] J. R. Bray and L. Roy, “Resonant frequencies of post-wall waveguide cavities microwaves,” in Proc. Inst. Elect. Eng. Anntennas Propag.—H, vol. 150, Oct. 2003, pp. 365–368. [41] S. I. Yamamoto, N. Hikono, J. Hirokawa, and M. Ando, “A 120-degree beamwidth post-wall waveguide slot array with a three-way power divider on a single-layer dielectric substrate,” in Proc. IEEE Wireless Communication Technology Conf., Oct. 2003, pp. 354–355. [42] S. H. Park, Y. Okajima, J. Hirokawa, and M. Ando, “A slotted post-wall waveguide array with inter-digital structure for 45-deg linear and dual polarization,” in IEEE AP-S Int. Microwave Symp. Dig., vol. 3, Jul. 2004, pp. 2368–2371. [43] J. J. Simpson, A. Taflove, J. A. Mix, and H. Heck, “Computational and experimental study of a microwave electromagnetic bandgap structure with waveguiding defect for potential use as a bandpass wireless interconnect,” IEEE Microw. Wireless Comp. Lett., vol. 14, no. 7, pp. 343–345, Jul. 2004.

HAO et al.: COMPACT SUPER-WIDE BANDPASS SIW FILTERS

Zhang-Cheng Hao received the B.S. degree in electrical engineering from Xidian University, Xi’an, China, in 1997 and the M.S. degree in radio engineering from Southeast University, Nanjing, China, in 2002, where he is currently working toward the Ph.D. degree in radio engineering. His current research interests include developing novel passive components and active devices for microwave and millimeter-wave integrated circuits. He is also interested in electromagnetic theory and computational electromagnetics.

Wei Hong (M’92) was born in Hebei Province, China, on October 24, 1962. He received the B.S. degree from the Zhenzhou Institute of Technology, Zhenzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, where he is currently a Professor with the Department of Radio Engineering. In 1993 and each year from 1995 to 1998, he was a short-term Visiting Scholar with the University of California at Berkeley and at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering, inverse scattering and propagation, RF front-end for mobile communications, and the parameters extraction of interconnects in VLSI circuits. He has authored and coauthored over 200 technical publications and authored the book Principle and Application of the Method of Lines (Nanjing, China: Southeast Univ. Press, 1993, in Chinese). He has served as a reviewer for Proceedings of the Institution of Electrical Engineering—H, and Electron Letters. Dr. Hong is a senior member of the Chinese Institute of Engineering and has served as the reviewer for many technique journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the First-Class Science and Technology Progress Prizes issued by the State Education Commission in 1992 and 1994, respectively, the Fourth-Class National Natural Science Prize in 1991, and the Third-Class Science and Technology Progress Prize of Jiangsu Province. In addition, he was the receipient of the Foundation for China Distinguished Young Investigators of the National Science Foundation of China.

Ji-Xin Chen was born in Jiangsu Province, China, in 1976. He received the B.S. and M.S. degrees in radio engineering from Southeast University, Nanjing, China, in 1998 and 2002, respectively, where he is currently working toward the Ph.D. degree. His current research interests include microwave and millimeter-wave circuit and monolithic microwave IC design.

2977

Xiao-Ping Chen received the B.S. and M.S.E. degrees from Harbin Institute of Technology, Harbin, China, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from Huazhong University of Science and Technology, Wuhan, China, in 2003. His dissertation focused on dielectric resonator filter and microwave measurement. He is currently a Postdoctoral Associat with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China, where he is involved with microwave and millimeter-wave circuits for communication systems applications.

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering. He was a Visiting or Guest Professor with many universities around the world. He also holds an honorary Visiting Professorship and a Cheung Kong endowed Chair Professorship (visiting) at the Southeast University, Nanjing, China, and an honorary Professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, Department of Electrical Engineering, Ecole Polytechnique, University of Montreal, Montreal, QC, Canada, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-Wave Engineering (FAME). He has authored or coauthored over 390 referred papers and several books and book chapters. His current research interests involve hybrid/monolithic planar and nonplanar integration techniques, active and passive circuits, antenna arrays, advanced field-theory-based CAD and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor for the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE). Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He has held many positions in and has served on various international committees, including as the Vice Chairperson of the Technical Program Committee (TPC) for the 1997 Asia-Pacific Microwave Conference, the General Co-Chair of the 1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the General Chair of the Eighth International Microwave and Optical Technology (ISMOT’2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the General Co-Chair of the RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected into the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTTS/APS/LEOS in Montreal, QC, Canada, and the Chapter Coordinator for MTT-S Region 7. He was the recipient of a URSI Young Scientist Award, Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award “Prix Poly 1873 pour l’Excellence en Recherche” presented by the Ecole Polytechnique on the occasion of its 125th anniversary, the UrgelArchambault Prize (the highest honor) in the field of physical sciences, mathematics and engineering from the French-Canadian Association for the Advancement of Science (ACFAS), and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

2978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Design Criteria for the RF Section of UHF and Microwave Passive RFID Transponders Giuseppe De Vita and Giuseppe Iannaccone, Member, IEEE

Abstract—A set of design criteria for the radio-frequency (RF) section of long-range passive RF identification (RFID) transponders operating in the 2.45-GHz or 868-MHz industrial, scientific, and medical (ISM) frequency ranges is derived in this paper, focusing in particular on the voltage multiplier, the power-matching network, and the backscatter modulation. The paper discusses the design tradeoffs between the error probability at the reader receiver and the converted RF–dc power at the transponder, determining the regions of the design space that allow optimization of the operating range and the data rate of the RFID system. Index Terms—Backscatter modulation, passive transponders, radio-frequency identification (RFID), RF rectifier.

I. INTRODUCTION

L

ONG-RANGE passive transponders (“tags”) for radio frequency identification (RFID) systems do not have an on-board battery and therefore must draw the power required for their operation from the electromagnetic field transmitted by the reader [1]. The RF energy radiated by the reader is used both to supply the digital section of the transponder and to allow data transmission from the tag to the reader through modulation of the backscattered radiation. If the transponder lies within the interrogation range of the reader, an alternating RF voltage is induced on the transponder antenna and is rectified in order to provide a dc supply voltage for transponder operation. In order to further increase the supply voltage, an -stage voltage multiplier is typically used, providing a dc output voltage, at constant input power, roughly times larger than that achievable with a single stage. In addition, most of the passive and semipassive RFID systems that operate in the ultra-high-frequency (UHF) or microwave range exploit modulation of the backscattered radiation to transmit data from transponder to reader: while the reader transmits a unmodulated carrier, the data signal modulates the load of the transponder antenna in order to modulate the backscattered electromagnetic field, typically with amplitude-shift keying (ASK) or phase-shift keying (PSK) [1]. It is apparent that the larger the modulation of the impedance seen by the antenna, the larger the modulation depth and the signal-to-noise ratio at the reader, but also the larger the mismatch, and therefore the smaller the dc power converted by the voltage multiplier. In order to maximize the operating range, it is important to achieve a nontrivial tradeoff between the desired error probaManuscript received December 15, 2004; revised April 29, 2005. This work was supported by the Fondazione Cassa di Risparmio di Pisa. The authors are with the Dipartimento di Ingegneria dell’Informazione, 56122 Pisa, Italy (e-mail: [email protected]; giuseppe.iannaccone@ iet.unipi.it). Digital Object Identifier 10.1109/TMTT.2005.854229

bility at the reader and the dc power available for supplying the transponder, which is also strongly dependent on the power efficiency of RF–dc conversion. The maximization of the conversion efficiency requires the optimization of the voltage multiplier and of the power-matching network, taking into account the nonlinear behavior of the voltage multiplier. The architecture of a passive microwave RFID transponder is shown in Fig. 1. The coupling element is an antenna, which typically is a dipole or a patch antenna. A voltage multiplier converts the input alternating voltage into a dc voltage, which is used by a series voltage regulator to provide the regulated voltage required for the correct operation of the transponder. The voltage multiplier is matched with the antenna in order to ensure the maximum power transfer from the transponder’s antenna to the input of the voltage multiplier. A backscatter modulator is used to modulate the impedance seen by the transponder’s antenna, when transmitting. The RF section is then connected to the digital section, which typically is a very simple microprocessor or a finite-state machine able to manage the communication protocol. This paper presents a set of design criteria for the RF section of passive transponders in the UHF and microwave frequency range, referring to the architecture shown in Fig. 1, with the main objective of maximizing the operating range. The focus, therefore, is on the optimization of the voltage multiplier and on its power matching to the antenna, and a set of criteria is derived that allows the choice and optimization of backscatter modulation in order to either maximize the operating range, once the data rate is fixed, or maximize the data rate, once the operating range is fixed. In the remainder of the paper, all numerical examples will refer to the 0.35-mm complementary metal–oxide–semiconductor (CMOS) technology from AMS, but of course the considerations can be applied to any technology. This investigation will show that, for a passive RFID system compliant to European regulations in the 2.45-GHz or 868-MHz ISM frequency bands, the achievable operating range, considering a power consumption of the digital section of the transponder of 1 W, is larger than 4 and 11 m, respectively. At the same time, it will be shown that, for a passive 2.45-GHz RFID system, given an operating range of 4 m, the achievable data rate is about 30 kb/s, and for a passive 868-MHz RFID system, given an operating range of 11 m, the achievable data rate is about 180 kb/s. Considering the more permissive U.S. regulations, the maximum achievable operating distances are 11 m in the 2.45-GHz frequency band and 29 m at 916 MHz, considering a data rate of some tens of kilobits per second. The extremely low power consumption considered for the digital logic is achievable by using subthreshold logic schemes,

0018-9480/$20.00 © 2005 IEEE

DE VITA AND IANNACCONE: DESIGN CRITERIA FOR UHF AND MICROWAVE PASSIVE RFID TRANSPONDERS

2979

Fig. 1. Passive Transponder Architecture.

given that a simple finite-state machine operating at a frequency smaller than 1 MHz is typically adequate to implement RFID protocols. However, this aspect is beyond the scope of the present paper and will not be discussed here. II. VOLTAGE MULTIPLIER AND POWER MATCHING NETWORK In this section, we will describe the design criteria for both the voltage multiplier and the power-matching network in order to maximize the power efficiency of the transponder, defined as the ratio between the radio-frequency (RF) power available at the transponder’s antenna and the dc power at the output of the voltage multiplier available for supplying the transponder. As we will explain in the next section, the power efficiency of the transponder strongly affects the operating range of the tagreader system.

Fig. 2.

N -stage voltage multiplier and cascaded series voltage regulator.

A. N-Stage Voltage Multiplier An -stage voltage multiplier consists of a cascade of peak-to-peak detectors, as shown in Fig. 2 [2]. Let us suppose to apply, at the input of the voltage multiplier, a sinusoidal voltage , with a frequency and an amplitude . In order to ensure a small ripple in the output voltage , the capacitors indicated with in Fig. 2 have to be dimensioned so that their time constant is much larger than the period of the input signal, that is, , where is the dc output current. In this way, the voltage across capacitors and the output voltage can be considered a dc voltage. As a consequence, in the high-frequency analysis, it is possible to consider capacitors as short-circuits and therefore all diodes appear to lie directly in parallel or antiparallel to the input. In this situation, the input RF voltage entirely drops across the diodes. In the dc analysis, capacitors can be considered as open circuits so that we have identical diodes in series with the output. The voltage that drops across each diode is, therefore, given by

Fig. 3. Simplified equivalent circuit of the considered diodes: (a) substrate losses neglected and (b) equivalent circuit including substrate losses.

the dc power required by radio-frequency identification (RFID) passive transponders is quite low (in the order of a few microwatts), the dc output current of the voltage multiplier is very small, leading to a negligible effect of the series resistance of the diodes. Such hypothesis was verified by circuit simulations. Thus, the current in each diode is

(2) (1) where the sign “ ” is applied to diodes with an even subscript (see Fig. 2) and the sign “ ” is applied to diodes with an odd subscript. We can represent the equivalent circuit of the diode as an ideal diode in parallel with a capacitance , as shown in Fig. 3(a), neglecting diode series resistances. Indeed, since

where is the diode saturation current and is the thermal voltage. We can express the exponential of a cosinusoidal function using the modified Bessel functions series expansion [3], (3)

2980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 4. (a) Required amplitude of the input voltage versus the number of stages for an output power of 5 W. (b) Required input power versus the number of A. stages for an output power of 5 W, for an I of 10

Since modified Bessel functions of odd (even) order are odd (even) [3], the dc current in each diode, which also is the dc in the output load, is given by current (4) As a consequence, the input–output characteristics of the -stage voltage multiplier is intrinsically expressed by (5) The above equation can be easily solved by numerical iteration, yielding the monotonously decreasing behavior of as a function of for fixed dc output voltage and power consumption plotted in Fig. 4(a), for an of 10 A, which is the for a minimum diode area in the technology we are considering. However, for large , the curves almost saturate, since voltage multiplication is limited by the voltage drops on the diodes. 1) Power Consumption: The average input power required to obtain a given output voltage and power can be calculated by summing up the average power dissipated in each diode and the power required by the load. Neglecting substrate losses, the average power dissipated in each diode is given by

(6)

where is the period of the input voltage. By solving the integral with the expressions of and , already given in (1) and (2), and taking into account the properties of the modified Bessel functions, it is possible to obtain (7) As a consequence, the average input power is given by

(8)

Fig. 5. (a) Required Q factor of the matching network versus the number of stages for an output power of 5 W. (b) Average input power versus diodes area for a single-stage voltage multiplier with an output power of 5 W.

Considering that is a function of from (5), in order to obas a function of , shown in Fig. 4(b), for a tain the plot of fixed output power, it is necessary to solve the equation by a numerical iteration. From the plot shown in Fig. 4(b), it is possible to note that the maximum power efficiency is obtained by using the minimum number of stages. In order to provide a criterion for the choice of the appropriate number of stages, we need to find a relation between the number of stages of the voltage multiplier and the factor of the power-matching network placed between the antenna and the voltage multiplier. We can define an equivalent input resistance of the voltage multiplier, considering the power consumption, as follows: (9) Now, assuming to use an LC-matching network, the factor of the LC-matching network is bound to the resistance transformation ratio [4], and its is given by (10) is the antenna resistance, neglecting antenna losses. where Assuming that the antenna is a dipole (antenna resistance 72 [1]), from (5), (9), and (10) we plot as function of in Fig. 5(a). It is clear that similar conclusions can be obtained using other types of antennas. Although the highest efficiency is reached with only one stage, the choice of the number of stages has to be done, taking into account the values of that can be physically achievable, which, typically, are not larger than a few tens. A possibility is represented by the choice of anto reduce the required tennas with higher radiation resistance, such as a two-wire or a three-wire folded dipole. Once the number of stages is chosen following the above criteria, it is necessary to dimension the diodes in order to optimize the power efficiency of the voltage is proportional to the diode multiplier. Considering that area, by solving the equation for a fixed value of and for a certain value of the output voltage and power, one obtains the curve plotted in Fig. 5(b). It is possible to note that the higher the diode area and then the saturation current of the diodes are, the better the power efficiency of the voltage multiplier is. However, it is not possible to increase excessively the diode area; otherwise, the diode capacitance would become

DE VITA AND IANNACCONE: DESIGN CRITERIA FOR UHF AND MICROWAVE PASSIVE RFID TRANSPONDERS

comparable with the capacitances of the voltage multiplier. This situation would lead to a reduction of the efficiency because only a fraction of the input voltage would drop across the diodes. The previous consideration suggests that the best choice would be represented by Schottky diodes, which have a higher saturation current compared with normal diodes, for a fixed area. Furthermore, since diodes in the voltage multiplier must have a switching time smaller than the period of the input signal, Schottky diodes have to be preferred because they are typically much faster than p-n diodes. However, we will focus our attention on p-n-junction diodes that have the advantage of being available in a less expensive CMOS process. 2) Power Consumption in the Presence of Substrate Losses: In order to take into consideration the substrate losses in the diodes, we can use the equivalent circuit shown in Fig. 3(b), where and are the substrate parasitic resistance and capacitance, respectively [2]. By substituting the equivalent circuit of the diodes into the voltage multiplier, shown in Fig. 2, we can note that the power dissipation due to substrate losses in the diodes with odd subscript is zero because and is the voltage that drops across the series of approximately a dc voltage. Instead, the power dissipation due to substrate losses in the diodes with an even subscript is given by

2981

Fig. 6. Input power versus number of stages for an output voltage of 0.5 V, an (!C ) . output power of 5 W, and three values of R

of a diode is given by [5], the depletion layer capacitance is the depletion ca(13) at the bottom of this page, where is the grading coefficient, is the pacitance at zero bias, built-in voltage, is the forward bias depletion capacitance coefficient, and is the area of the diode [5]. Since the diode capacitance is a function of the voltage applied to the diode, we can consider the average value of the diode capacitance within the diode voltage swing, as follows: (14)

(11) under the assumption that [2]. Thus, the average input power is obtained by summing up expression (8) previously found and the power dissipated in the diodes due to the substrate losses, as follows:

Considering the average value of the capacitance of each diode, the equivalent input capacitance of the voltage multiplier, due to the diode capacitance, is given by (15) of the voltage multiplier is The equivalent input resistance the resistance calculated from the power consumption with (9).

(12) for different values of We plotted in Fig. 6. It is possible to note that, for increasing , the number of stages corresponding to the minimum input power is shifted to higher values. Once and are known, it is possible to find the optimum number of stages in order to maximize the power efficiency of the voltage multiplier. B. Input Equivalent Impedance The input equivalent impedance of the voltage multiplier is constituted by the parallel of a resistance and a capacitance. From our previous considerations, the input capacitance of the voltage multiplier is the sum of the capacitances of all diodes. Since, in a voltage multiplier, diodes conduct for a very small fraction of the period of the input signal, when calculating the mean value of the diode capacitance, we can neglect the diffusion capacitance. Using the SPICE model of a p–n junction

C. Power-Matching Network Since the power at the transponder antenna varies with the distance between the reader and the transponder, power matching will be pursued in the condition of minimum power available at the antenna that still ensures correct operation of the transponder. Indeed, in the next section we will show that the transponder continues to work correctly when the power at the antenna increases even if power matching is lost. The power matching LC network is shown in Fig. 7, where [4], and is the quality factor of the LC network, obtained from (10). The backscatter modulator is removed, for the moment, so that we can optimize power matching. The inductance , shown in Fig. 7, is used in order to compensate the equivalent input capacitance of the voltage multiplier ( and can be substituted by a single reactance, whose sign depends on their relative value). It is clear that since is only a time-averaged capacitance, the power matching is good, provided that the variations of the

(13)

2982

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Power-matching network.

input impedance of the voltage multiplier are small with respect to the average values. To verify the previous statement, we can calculate the impedance seen by the transponder’s antenna in the worst matching condition, i.e., when the value of the input capacitance of the voltage multiplier is the farthest from its mean value. Referring to Fig. 7, the expressions of the real and imaginary part of the impedance seen by the equivalent voltage generator at the antenna can be computed as (16)

(17) where and is the maximum variation of the input capacitance of the voltage multiplier with respect to its mean value. In order to ensure the correct operation of the power-matching network, the real part has to be twice the antenna’s resistance, and its imaginary part has to be zero. Such conditions are fulfilled if . From (16) and (17), the following condition can be derived: (18) To estimate , we can assume that the mean value of the diode capacitance is its value at the average voltage drop, i.e., . The maximum value of the diode capacitance is obtained when . Using an -stage voltage multiplier, we can assume that the output voltage is times the amplitude of the input voltage minus times the of the diodes. As consequence, we can write the amplitude of the input voltage as . In this condition, we can express as the difference between the maximum and the mean value of the input capacitance, i.e.,

(19) On the one hand, once the output power and voltage are given, by substituting (19) into (18), we obtain the maximum value of the diode area that enables us to achieve power matching. On the other hand, once the output voltage and the minimum diode area are fixed, as allowed by the CMOS technology used, from

(18) and (19) we can derive the maximum equivalent input reof the voltage multiplier and then, from (9), the sistance minimum achievable output power for correct power matching. In order to be able to achieve power matching with very low output power, we need to use diodes with a small parasitic capacitance, for a fixed minimum diode area in order to reduce . Let be the ratio between and the maximum dc power required at the output of the voltage multiplier. Now, we can suppose that for the application we are interested in, it is sufficient to have an output power that is times smaller than . We can consider two options, in order to evaluate the best one for the optimization of the operating range. A first option (case A) could be to dimension the voltage multiplier and the power-matching network for an output power , although a smaller output power would be equal to sufficient; this would lead to a worse power efficiency and then to a reduction of the operating range, but it allows us to have correct power matching. Indeed, in the case of power matching, the input power of the voltage multiplier can be written as (20) is the effective aperture of the transponder’s antenna, where is the distance between reader and transponder, and indicates the power at which an isotropic emitter would have to be supplied to generate the same radiation power of the is limited by national regulations [7]): a reader antenna ( reader antenna with a gain may irradiate a maximum power . The input power of the voltage multiplier can also be written as in (8), considering an output power . Equating (20) and (8), it is possible to plot the maximum operating range achievable as a function of . A second option (case B) is to dimension the voltage multiplier considering an output power ; in such a condition, as already said, since the power required at the output of the voltage multiplier is smaller than (and then is larger than ), power matching could not be achieved. In order to recover power matching, we can put a resistance , in parallel with the input of the voltage multiplier so that the input resistance of the voltage multiplier becomes smaller than . In such a case, the input power is given by summing up the input power of the voltage multiplier, given by (8), and the power dissipated by , as follows: (21) Substituting (8) into (21), for , it is possible to plot the maximum operating range achievable as function of . Referring to the AMS 0.35- m integrated circuit (IC) technology with a minimum diode area equal to 1 m , and considering an output voltage of 2 V, an operating frequency of 2.45 GHz, and a factor of the LC matching network of 10, the minimum output power, , which allows us to achieve the power matching, is 12 W. From Fig. 8(a), where the maximum operating ranges achievable with each option are plotted as a function of , we can see that option B is to be preferred,

DE VITA AND IANNACCONE: DESIGN CRITERIA FOR UHF AND MICROWAVE PASSIVE RFID TRANSPONDERS

2983

Fig. 9. Equivalent circuit of the system antenna tag to calculate the third harmonic of the antenna’s current.

Fig. 8. (a) Maximum operating range as a function of k for the two matching strategies described. (b) Power efficiency of a single-stage voltage multiplier as a function of the output power for three values of the output voltage.

The amplitude of the fundamental of the current in the anis given by tenna (24)

even if some power is dissipated in the resistor . Fig. 8(a) also tells us that there is no advantage in reducing the power con, since we cannot reduce the available sumption below power required at the antenna. Referring to case B, we plot in Fig. 8(b) the power efficiency of the voltage multiplier as function of the required dc output power. It is possible to note that for small output power, when a resistance is added in parallel with the input of the voltage multiplier, the smaller the output voltage is, the higher is the power efficiency obtained; for larger output power, instead, the larger the output voltage is, the better is the obtained power efficiency.

where is the amplitude of the fundamental of the input current of the voltage multiplier. Let us consider only the effect of . It is clear that the higher harof the third harmonic monics of the input voltage have the same qualitative behavior, but they are more strongly suppressed by the power-matching . Renetwork, so that we will take into consideration only ferring to the equivalent circuit shown in Fig. 9, we can calculate the amplitude of third harmonic of the current in the antenna due to . From (23), we can obtain the amplitude of the as third harmonic of the current in the antenna

D. Nonlinear Effects Because of the nonlinear effects of the voltage multiplier, the current in the antenna also comprises components at frequencies that are integer multiples of the operation frequency. Indeed, the component of the input voltage of the voltage multiplier at the operating frequency generates an input current constituted by the odd harmonic components of . In order to calculate the input current of the voltage multiplier, let us consider a single stage: the input current can be obtained by subtracting the currents in the two diodes across which a voltage , given by (1), drops and by considering the diode model without substrate losses. Then, such current has to be multiplied by the number of stages in order to obtain the total input current of the -stage voltage multiplier, and its expression is given by

(25) where is the amplitude of . Using (19) and using for the SPICE parameters , , and , the default values, which are 0.5, 0.31, and 0.69 V, respectively, we can find that for an output voltage close to 1 V, as typical in passive RFID systems, . By substituting with in (18), since the resonates with , we find inductance (26) Using (24) and (26), we can rewrite (25) as follows: (27)

Exploiting the modified Bessel function series expansion for the two exponential functions that appear in (22), the input current, generated by a sinusoidal input voltage at the operation frequency, can be written as

By assuming that the amplitude of the third harmonic of the current in the antenna is 50 times smaller than the amplitude of the fundamental, we obtain that has to be larger than 7. If is smaller than 7, in order to verify the previous condition, we can place a parallel network in parallel to the input of the voltage multiplier, which resonates at the operating frequency. This network has no effects with respect to the operating frequency but attenuates the harmonics of the current in the antenna. The value of this equivalent capacitance for the th harmonic is given by

(23)

(28)

(22)

2984

Fig. 10. Quality factor of the parallel resonant L the quality factor of the power-matching network.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

C

network as a function of

Using the parallel resonant network, the new expression for the amplitude of the third harmonic of the current in the antenna, as function of the amplitude of the fundamental, using (24), is given by

Fig. 11. Required input power versus the amplitude of the antenna voltage for an output voltage of 2 V and an output power of 5 W.

the voltage multiplier is calculated, we can derive the minimum at the antenna, which ensures amplitude of the voltage the correct operation of the transponder and its expression is given by

(29)

(30)

. Assuming that the amplitude of the where third harmonic must be at least 50 times smaller than the amplias a function of . tude of the fundamental, we can derive This relationship is shown in Fig. 10. Once is chosen, the parallel resonant network is dimensioned.

As previously mentioned, when increasing the voltage at the antenna with respect to its minimum value, given by (30), the input resistance of the voltage multiplier varies. In order to calculate the input resistance of the voltage multiplier as a function of the voltage at the antenna, from the circuit of Fig. 7, we can calculate the input power of the voltage multiplier as a function of the voltage at the antenna and of the input resistance of the voltage multiplier. Its expression is given by

E. Matching When Conditions Vary The dimensioning of the power-matching network must be done for the maximum operating range when the power available at the terminals of the transponder’s antenna is the minimum one that allows the transponder to operate correctly. Then, it is important to analyze what happens when the transponder is moved closer to the reader and the input power of the voltage multiplier increases. As a consequence of such variations, the input equivalent resistance of the voltage multiplier varies, causing mismatch, and so a part of the power available at the antenna’s terminals is reflected. It is important to verify that the power that comes to the input of the voltage multiplier is sufficient to ensure its correct operation. In order to keep the dc supply voltage constant, a series voltage regulator is placed at the output of the rectifier. We can assume that the current in the voltage regulator is much smaller than the current provided at its output and that the minimum voltage drop required across the regulator is only a few tens of millivolts, as can be shown in practical implementations [6]. Therefore, we can consider the efficiency of the voltage regulator practically one at the maximum operating range, and for shorter distances, we can assume that the input current of the voltage regulator is constant and equal to the dc current required by the load. In other words, the output of the rectifier sees the voltage regulator as an ideal dc current generator . Once the power-matching network was dimensioned in the condition of maximum operating range, as previously explained, from (5), for the minimum output power required for the correct operation of the transponder, we can obtain the amplitude of the input voltage , and so from (8) we obtain the input power of the rectifier. Then, once the input power of

(31) is the amplitude of the voltage at the antenna’s termiwhere nals. The input power also has the expression shown in (8), and using (5) to substitute the exponential function that appears in (8), we obtain the following equation: (32) can be derived from (31) using (9). From (32), by nuwhere merical iteration, it is possible to obtain the input resistance of and . Once this result is obthe rectifier as a function of tained, from (31), we can derive the input power of the rectifier and then the amplitude of the input voltage as a function of of the voltage multiplier as a function of . Then, from (5), we can derive the output voltage of the rectifier as a function of . Again, assuming that the antenna is a dipole ( 72 ), the output voltage is equal to 2 V, and the maximum output power is 5 W, we can calculate, in the condition of maximum operating range, the minimum antenna voltage, and its value is 77 mV. We can plot the power at the input of the voltage multiplier and the power at the terminals of the antenna when increasing the antenna voltage with respect to its minimum value, given by (30). Both quantities are plotted as a function of in Fig. 11. Of course, in the condition of maximum operating range, for which power matching is achieved, . When the antenna’s voltage increases, part of the power available at the terminals of the antenna, given by

DE VITA AND IANNACCONE: DESIGN CRITERIA FOR UHF AND MICROWAVE PASSIVE RFID TRANSPONDERS

Fig. 12. Equivalent circuit of the antenna and the load represented by transponder.

the difference between the two curves plotted on Fig. 11, is reflected by the power-matching network because of the mismatch due to the variation of the input resistance of the voltage multiplier. Anyway, the input power of the voltage multiplier is alnecessary to ensure ways larger than the minimum value the correct operation of the transponder. III. BACKSCATTER MODULATOR A. ASK and PSK Backscatter Modulation In this section, we briefly review ASK and PSK backscatter modulation in order to identify the most appropriate choice for the task at hand. Indeed, referring to Fig. 12 and assuming a minimum scattering antenna, the amplitude of the backscattered has the expression power (33) The impedance seen by the antenna can be represented as a resistance in parallel with a reactance , as shown in Fig. 12. If the antenna cannot be considered as a minimum scattering antenna, in order to calculate the backscattered power, in the second term of (33) we have to add another term, which is the backscattered power when the antenna is left open and is independent of the antenna load, so that such term has no effect on the probability of error at the reader. In the case of ASK modulation, we can assume that the impedance seen by the antenna is real and is modulated by the data signal between two values and . In order to have equal mismatch in both states, it is sufficient to choose ; in such a condition, in both states, the same power is transferred from the antenna to the load. Assuming , in order to modulate the resistance seen by the antenna, we can use a switch, driven by the data signal, to connect a resistance in parallel with the input resistance of the transponder in such a way that . When is not connected, the antenna sees a resistance and all the power transferred from the antenna to the load can be used to supply the transponder; when the resistance is connected, the antenna sees a resistance , and only a fraction of the power transferred from the antenna to the load can be used to supply the transponder, while the remaining part is dissipated on the resistance . As a consequence, the power transferred from the antenna to the load remains constant in both states, but and are different and are given by

(34)

2985

. It is possible to demonstrate where that, except for the solution (i.e., ), which would imply the absence of modulation, the equation has no solution. This means that, when modulating, the tag cannot be supplied with constant power. Using the condition , the backscattered powers and , when the impedance seen by the antenna are and , respectively, read (35) We shall see later that the probability of error at the receiver depends on a unique quantity, an effective (or “modulated”) power , which is the power of the signal obtained from the demodulation of the voltage directly applied to the radiation resistance of the antenna, again dissipated—for convenience—on a . The larger the value of , the smaller the probaresistance bility of error. In order to compare the performances of the ASK for the and PSK backscatter modulation, we can calculate ASK modulation, assuming to use a coherent receiver, perfectly equal to the one that will be used for PSK modulation (to be discussed later) except for the detector threshold. Without loss of generality, we can refer to unipolar return-to-zero (RZ) coding for both ASK and PSK modulation: if we transmit an alternating sequence of symbols “0” and “1”, the demodulated signal is a and square wave whose amplitude varies between zero. We therefore obtain . As a consequence, if the reader’s antenna is perfectly matched, has the expression

(36) In the case of PSK modulation, we must have so that the transponder is close to the matching condition, while is modulated with the data signal. In fact, referring to Fig. 12, the phase of the backscattered signal, proportional to the voltage on the radiation resistance , reads (37) If is modulated symmetrically with respect to zero, so is , which implies that the power reflected by the antenna and the power transferred to the transponder remain constant during modulation and are given by (38) (39) At the receiver, in order to demodulate the PSK signal, we have to use a coherent receiver. As it will be clearer in Section IV, if an alternating sequence of symbols “0” and “1” is transmitted, the demodulated signal is a square wave whose amplitude varies between two states, which are zero and , where is the amplitude of the signal received at the reader,

2986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

to make the imaginary part of the admittance seen at the output of the modulator symmetric with respect to zero. Therefore, has to be chosen to resonate with the mean value of the capacitance seen from the output of the modulator when the input signal varying and is therefore (41)

Fig. 13. Effective power P at the reader and power P transferred to the transponder for the ASK and PSK modulations, as a function of the reflection coefficient.

where is the operating frequency and are the output capacitances of the modulator when the input signal is high and low, respectively. The reactance is therefore given by (42)

determined by the backscattered power, and is the modulation depth, given by (37). Following the reasoning used for the ASK is given by modulation, the power (40) In order to compare the performance of ASK and PSK modfor both modulation [2], we can plot in Fig. 13, ulations, as a function of the reflection coefficient , defined as , where is the input impedance of the transponder . Fig. 13 also , considering in the case of the ASK modulation shows the average of and , assuming that the two states have the same likelihood. It is possible to note that for a given , the PSK backscatter modulation ensures a larger , but the ASK backscatter modulation ensures a larger . Since, as we will see later, the most critical aspect limiting the operating range is represented by the input power of the transponder, the PSK backscatter modulation is to be preferred. Furthermore, PSK backscatter modulation allows us to provide a constant power supply to the transponder during modulation. Let us note for both ASK and PSK modulations, a coherent receiver would be required at the reader to filter away the unmodulated carrier backscattered by unwanted obstacles (clutter), which is orders of magnitude larger than the backscattered modulated signal to which it adds at the receiver. In such a way, the clutter can be separated by simply multiplying the received signal by the locally synthesized carrier. The alternative option to filter away the clutter would be represented by the use of subcarrier backscatter modulation, which would allow the use of a simpler incoherent receiver, at least for the ASK modulation. However, such solution would have the serious drawback of implying a very large increase of the modulator switching frequency, and therefore of the transponder power consumption, leading to a significant reduction of the operating range. From now on, we will take in consideration the PSK backscatter modulation. B. PSK Backscatter Modulator Most of PSK backscatter modulators [2], [8], [9], independently of their implementation, allow modulation of their output capacitance with the input signal. Referring to Fig. 1, is used

IV. MODULATION DEPTH AND MAXIMUM OPERATING RANGE In this section, we will describe the criteria for choosing the modulation depth in order to maximize the operating range of the tag-reader system. When modulating the impedance seen by the transponder’s antenna, it is necessary to ensure that the power at the input of the voltage multiplier is larger than the minimum required for its correct operation, and the probability of error at the receiver is smaller than a given value, required for the correct receiving. A tradeoff has to be found between the two conditions in order to maximize the operating range. A. Transponder Input Power transferred from the anReferring to Fig. 12, the power tenna to the input of the transponder is given by (39). In order to ensure the correct operation of the transponder, must be larger than the minimum power required for the transponder operation, consisting of the sum of the power dissipated by the modulator and the power dissipated by the digital section, divided by the efficiency previously calculated. As consequence, the following relation has to be fulfilled: (43) From (43), it is evident that the larger the power efficiency of the transponder, the larger the range of values of that satisfy (43). Equation (43) gives a first condition that has to be fulfilled by in order to ensure the correct operation of the tag-reader system. The other condition will be given by the probability of error at the receiver. B. Probability of Error at the Reader 1) Received Signal at the Reader’s Antenna: As already said, the signal received by the reader’s antenna has two components: a PSK backscattered signal and an unmodulated carrier. The PSK backscattered signal coming from the transponder’s antenna has a carrier frequency equal to the operating frequency of the RFID system and a phase, which belongs to a group of two values symmetric with respect to zero and with an absolute value given by (37). The amplitude of such a signal can be calculated from the amplitude of the power backscattered by the transponder’s antenna, taking into

DE VITA AND IANNACCONE: DESIGN CRITERIA FOR UHF AND MICROWAVE PASSIVE RFID TRANSPONDERS

Fig. 14.

2987

PSK receiver architecture (insert: elementary impulse when transmitting “0” and “1”).

consideration the free-space attenuation. Assuming that the reader’s antenna is perfectly matched with the reader, the is given by the expression amplitude of the signal (44) Therefore,

reads

(45) is the data rate and is a where square impulse with an amplitude equal to 1, a duration , and it is centered at . The unmodulated carrier that comes back to the reader’s antenna is a sinusoidal voltage with an amplitude and a phase completely unknown, as follows:

branches of the receiver. The receiver has to be able to remove the unmodulated signal, which would introduce an error in the demodulation due to its random phase. 2) Receiver Architecture: The scheme of the PSK receiver is shown in Fig. 14 [4]. We consider an additive white Gaussian noise (AWGN) input noise . Since the phase of belongs to a group with two the backscattered signal values symmetrical with respect to zero, the lower branch of the receiver has no effect since the co-sinusoidal function is an even function and cannot detect the phase variation. By by the locally generated multiplying the input signal carrier, in quadrature with the received signal and considering only the low-frequency component, which will be the only one to survive after filtering, we obtain that the signal at the input of the filter is given by

(47) (46) The complete received signal at the reader’s antenna is obtained by summing up the two components. Since the unmodulated carrier has a power level much larger than the PSK backscattered signal, in order to generate the local carrier required to downconvert the received signal, it is not possible to use a phase-locked loop (PLL) because it would reproduce the unmodulated carrier. A possible solution is to transmit, at the beginning of each data package, a preamble, which is, for example, a sequence of symbols “1” known to the reader and to use an adaptive system that varies the phase of the locally generated carrier until the signal at the output of the filter has the correct amplitude. In this situation, the local oscillator is synchronized with the received PSK signal and generates a sinusoidal voltage at the operating frequency with a phase equal to the mean value of the phase of the received PSK signal. Assuming the use of unipolar RZ coding, as will be described later, if we transmit, as already said, a sequence of symbols “1”, the carrier generated locally has a zero phase; using an oscillator with quadrature outputs, it is possible to generate the oscillations for the two

The receiver must be able to remove the unmodulated signal, which would introduce an error in the demodulation due to the random phase. To this aim, the baseband receiver filter must have a zero-average impulse response to block the unmodulated carrier. Since the impulse response of the filter has to be equal to the elementary impulse of one of the two symbols, the previous condition imposes an appropriate choice of the data coding, in which at least a symbol has zero mean value. The most common codes, such as Manchester, unipolar RZ coding, differential bi-phase (DBP), and Miller coding, verify such a condition [1]. Now, in order to obtain numerical results, we can choose one of the previous coding schemes and calculate the probability of error after choosing a proper receiving filter. As an example, without loss of generality, we consider a unipolar RZ coding: in such a case, the elementary impulse , when transmitting a bit “1”, is obtained by transmitting a symbol and a symbol , in sequence. Instead, the elementary impulse , when transmitting a bit “0”, is obtained by transmitting two symbols in sequence. The two elementary impulses are

2988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

shown in the insert of Fig. 14. Now, we choose the impulse rewith the same waveform of the elesponse of the filter mentary impulse associated to the transmission of “1”. Since amplifies or attenuates the signal and the noise the filter in the same manner, we can suppose that the amplitude of the . In this condition, impulse response of the filter is equal to the frequency response of the filter is given by,

(48) . Once the impulse response of where the filter is fixed, we can derive the expression of the signal at the output of the filter, when transmitting “1” and “0”. The expressions of the signals and at the output of the filter when transmitting “1” and “0”, respectively, are given by (49) By sampling the signal, at the output of the filter at time in( is an integer), the signal at the input of the stants detector is zero when transmitting “0” and when transmitting “1”. Since the unmodulated carrier provides a constant component at the input of the filter, exactly as it occurs when transmitting “0”, after the convolution and the sampling it gives a zero signal. Supposing that the transmissions of “0” and “1” have the same likelihood, the detector’s threshold can be chosen and , according to the MAP in the middle between criterion [4]. Supposing that the noise has a zero mean value and when transa standard deviation , the probability of error mitting “0” and the probability of error when transmitting “1” are given by

the following stages. In such a condition, the expression of the is given by noise spectral density (52) where is the Boltzmann constant and is the absolute temperature. If the input noise is a white Gaussian noise with a , its power spectral density power spectral density equal to after filtering is obtained by multiplying the power spectral density at the input by the square absolute value of the frequency of the filter. As a consequence, the standard response deviation of the noise at the output of the filter is obtained by integrating the power spectral density as follows: (53)

We can now consider the phase noise due to the local oscillator. The carrier generated by the frequency synthesizer is not perfectly monochromatic, due to the phase noise. The power spectrum density of the phase noise goes down, initially, as due to the flicker noise of the devices in the oscillator, due to the thermal noise of the devices in the osthen as cillator, with a typical corner frequency of some hundreds of kilohertz [10]. Since the reader uses the same oscillator to generate the transmitted carrier and the local oscillation, the phase noise that affects the received signal and the local oscillation, used to downconvert the received signal, are generated by the same oscillator . As a conbut in different time instants with a certain delay sequence, after the downconversion, the low-frequency component is given by

(54)

(50) is the error function. Since the two symbols “0” where and “1” have the same likelihood, the total probability of error is equal to each probability of error when transmitting “0” and “1”. Following the same procedure in the case of a Manchester coding scheme and choosing the receiving filter in the same manner, one obtains, after the sampling, for the two symbols the two levels and then a smaller probability of error. In the case of ASK backscatter modulation, using the receiver shown in Fig. 14 and the unipolar RZ coding, we would obtain the following expression for the total error probability : (51) As already said and as evident from (50) and (51), the parameter calculated in Section III is the only parameter of the backscatter modulator affecting . 3) Noise Spectral Density: We can suppose that the noise at the input of the receiver is due to the thermal noise of the antenna, followed by an amplifier with a noise figure and with a gain sufficiently high to allow us to neglect the noise figure of

Now, we have to study the variance of power spectral density is given by

. Its

(55) In general, we can write the power spectral density of the phase noise as a sum of a component and a component, imposing that the two components are equal at the is corner frequency . The expression of (56) in (55) and inBy substituting the two components of tegrating in frequency, we can calculate the variance associated to the component of the power spectral density of the phase noise and the variance associated to the component of the power spectral density of the phase noise. In the case of open-loop voltage-controlled oscillator (VCO), from [11] we can derive , whose expression is given by (57)

DE VITA AND IANNACCONE: DESIGN CRITERIA FOR UHF AND MICROWAVE PASSIVE RFID TRANSPONDERS

2989

As one can expect, the power of the jitter associated to the component is proportional to the delay. In the case of open-loop VCO, an approximate expression of the power of the component is given in [12], and its jitter associated to the expression is (58) where is a dimensionless parameter typically close to 5 [12]. Now, we can calculate the variance of by summing up the and the components of the power spectral density of the phase noise. We can then define as the maximum value of , given by . In the case of RFID systems, since the operating range is a few meters (especially for the systems operating at 2.45 GHz), the delay is small with respect to and then the variance of the jitter is mainly due to the component. Such a result was obtained by considering an open-loop VCO. In the case of a closed-loop PLL, the power spectral density of the phase noise is filtered by the transfer function of the PLL, and the variance is even smaller, so that the open loop VCO represents the worst case. The phase jitter causes a shift of the constellation of symbols, leading to an error in the detection. Indeed, in the presence of a phase jitter , the level associated to the transmission of a symbol “0” remains zero and the level associated to the transrather than mission of a symbol “1” becomes . According to the MAP criterion, since the two symbols have the same likelihood, the threshold of the detector is chosen in the middle of the two levels in absence of phase noise, and then the probability of error when transmitting “0” is given by (50), while the probability of error when transmitting “1” is given by

X

Fig. 15. values that satisfy the (43) and (60) as a function of the distance between reader and transponder for three different data rates for an operating frequency of 868 MHz (top) and 2.45 GHz (bottom).

(59) The total probability of error is given by the mean value of the probabilities of error when transmitting “0” and “1”. In order to ensure reasonable receiver performance, the total probability of , as error has to be smaller than a given probability of error follows:

(60) Since and are functions of , (60) gives us another condition to find the values of that ensures acceptable operation of the transponder–reader system. Considering that the RFID system has an operating frequency of 2.45 GHz or 868 MHz, the maximum allowed for the reader is 500 mW according to European regulations [7]. We also assume that the transponder antenna is a dipole, which has a radiation resistance of 72 and an effective aperture of 0.13 [1]. Furthermore, using a single-stage voltage multiplier, the power efficiency is about 15% [13]. Then, we assume that

X

Fig. 16. values that satisfy the (43) and (60) as a function of the frequency of the data signal (a) for an operative range of 4 m and an operating frequency of 2.45 GHz and (b) for an operative range of 11 m and an operating frequency of 868 MHz.

is equal to 1 W. the supply voltage is 0.6 V, and the power We also assume that 300 K, 72 , and 5 dB, is equal to 1.88 nV /Hz, and . In such conditions, we can plot the values of that satisfy (43) and (60), as function of the distance between reader and transponder. The diagram is shown in Fig. 15 for different data rates: pairs of and that satisfy (43) and (60) lie between the two curves for a fixed data rate. In particular, the values of that satisfy (43) have, as lower boundary, the continuous curve corresponding to the considered data rate; on the other hand, the values of that satisfy (60) have, as upper boundary, the dashed curve corresponding the considered data rate. From Fig. 15, it is clear that by reducing the data rate, the range for a fixed becomes larger because the power required by the transponder, for the modulation and the digital section, decreases and because the bandwidth of the receiving filter becomes smaller, leading to

2990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

a smaller noise power at its output and then to a smaller error probability. From Fig. 15, it is possible to note that by a proper choice of the modulation depth, the operating range, for a passive RFID system, which works in the microwave range, is larger than 4 m, while for a passive RFID system, which works in the UHF frequency range, is larger than 11 m. It is also possible to find the maximum data rate, once the operating range is fixed. For example, we can choose an operating range of 4 m, and we can plot the two curves that satisfy (43) and (60) as function of the data rate in order to obtain the value of that allow us to maximize the data rate for the chosen operating range. Such a diagram is shown in Fig. 16, for an operating frequency of 2.45 GHz and is the one 868 MHz: the region with acceptable values of comprised between the two curves. It is possible to note that, for an operating range of 4 m and for an operating frequency of 2.45 GHz, the maximum data rate is about 30 Kb/s; while, for an operative range of 11 m and for an operating frequency of 868 MHz, the maximum frequency of the data signal is about 180 kb/s. If U.S. regulations are considered, which provide a of 4 W, the same considerations lead maximum allowed to a maximum operating range of about 11 m at 2.45 GHz and 29 m at 916 MHz, for a data rate of a few tens of kilobits per second. V. CONCLUSION This paper has presented an analysis and a discussion of the design options and tradeoffs for a passive microwave transponder. A set of criteria was derived for the optimization of the voltage multiplier, the power-matching network, and the backscatter modulator in order to optimize the operating range, once the data rate is fixed or, to optimize the data rate, once the operative range is chosen. We have also shown that radio frequency identification (RFID) transponders, which require a dc power of 1 W for the digital section, may reach an operating range of about 4 m in the ISM 2.45-GHz band and 11 m in the ISM 868-MHz band, for a data rate of several kilobits per second and, according to European Union regulations. Present U.S. regulations would allow us to obtain an almost tripled operating range. Such performances in terms of operating range, data rates, and cost might open promising perspectives for the deployment of passive RFID systems even in ambient intelligence or ubiquitous computing scenarios and in outdoor applications. ACKNOWLEDGMENT The authors would like to thank with Dr. P. Andreani, DTU Lyngby, Denmark, for fruitful discussions. REFERENCES [1] K. Finkenzeller, RFID Handbook: Fundamentals and Applications in Contactless Smart Cards and Identification, 2nd ed. New York: Wiley, 1999, pp. 117–126, 143–148, 183–186.

[2] U. Karthaus and M. Fischer, “Fully integrated passive UHF RFID transponder IC with 16.7-m minimum RF input power,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1602–1608, Oct. 2003. [3] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions with Formulas, Graphs and Mathematical Tables, 9th ed. New York: Dover, 1972, pp. 358–364. [4] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: Prentice Hall PTR, 1997, pp. 74–93. [5] SPICE MOS Model BSIM3v3 [Online]. Available: http://www.device.eecs.berkeley.edu/~bsim3 [6] G. De Vita and G. Iannaccone, “Ultra-low-power RF section of a passive microwave RFID transponder in 0.35 m BiCMOS,” presented at the IEEE Int. Symp. Circuits Systems (ISCAS 2005), Kobe, Japan, May 2005. [7] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Short Range Devices (SRD); Radio Equipment to Be Used in the 25 MHz to 1000 MHz Frequency Range With Power Levels Ranging Up to 500 mW. ETSI EN 330 220-1. [8] M. Kossel, “Microwave backscatter modulation systems,” in 2000 IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 11–16. [9] M. Kossel, “An active tagging system using circular polarization modulation,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2242–2248, Dec. 1999. [10] F. Svelto and R. Castello, “A bond-wire inductor-MOS varactor VCO tunable from 1.8 to 2.4 GHz,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 403–407, Jan. 2002. [11] U. K. Moon, K. Mayaram, and J. T. Stonick, “Spectral analysis of timedomain phase jitter measurements,” IEEE Trans. Circuits Syst., vol. 49, no. 5, pp. 321–327, May 2002. [12] C. Liu and J. A. McNeill, “Jitter in oscillators with 1=f noise sources,” in Proc. 2004 Int. Symp. Circuits Systems, Vancouver, BC, Canada, May 2004, pp. 773–776. [13] G. De Vita and G. Iannaccone, “Design criteria for the RF section of long range passive RFID systems,” in Proc. IEEE NORCHIP Conf. 2004, Oslo, Norway, Nov. 2004, pp. 107–110.

Giuseppe De Vita was born on March 7, 1978. He received the “Laurea” degree (cum laude) in electrical engineering from the University of Pisa, Italy, in 2003. He is currently working toward the Ph.D. degree at the University of Pisa. He is currently working on the design of passive radio frequency identification (RFID) transponders.

Giuseppe Iannaccone (M’98) was born on April 28, 1968. He received the “Laurea” degree (cum laude) in electrical engineering and the Ph.D. degree from the University of Pisa, Italy, in 1992 and 1996, respectively, with a dissertation on transport and noise phenomena in nanoelectronic devices. Since January 2001, he has been an Associate Professor at the Information Engineering (Electrical Engineering) Department, University of Pisa. His interests include transport and noise modeling in nanoscale devices, devices and architectures for nanoelectronics, the design of passive radio frequency identification (RFID) transponders, and the exploitation of quantum effects in conventional electron devices. He has authored more than 90 papers in peer-reviewed journals and more than 50 papers in proceedings of international conferences. Dr. Iannaccone has participated in a series of European and national research projects as consortium coordinator or principal investigator.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2991

Coupling 3-D Maxwell’s and Boltzmann’s Equations for Analyzing a Terahertz Photoconductive Switch Marina Sirbu, Sébastien B. P. Lepaul, and Frédéric Aniel, Member, IEEE

Abstract—We present a terahertz photoconductive switch analysis. We explain the mechanism that allows the generation of the electromagnetic pulse and the phenomena that model its shape. We outline the main parameters influencing the output “electric pulse.” It is necessary to use a full-wave numerical model when the device signal rapidly varies in time. We use the finite-difference time-domain (FDTD) method to solve the whole equation system (Maxwell’s equations and drift-diffusion equations). The three-dimensional variable step mesh allows a realistic space step (25 nm) for the resolution of the carrier transport equations. Index Terms—Boltzmann’s equation, finite difference time domain (FDTD), Maxwell’s equations, photoconductive switch (PS).

I. INTRODUCTION

P

HOTOCONDUCTIVE switches (PSs) with a subpicosecond response have applications in opto-electronic measurement systems [1], spectroscopy [2], and generation and detection of terahertz signals [3], etc. Generally, the PS is a photoconductive semiconductor located between two biased metallic electrodes (“Auston switch”) of a waveguide. After a subpicosecond laser pulse is applied, an ultra-rapid signal is observed on the transmission lines. There are several configurations currently used for a PS. The edge illumination technique requires a slot line, where the laser pulse illuminates only a small area between the transmission lines. Using such a device Keil and Dykaar [4] have measured a pulse exhibiting 200-fs full width at half maximum (FWHM). Another configuration can be achieved by illuminating the space between two metallic bands of a coplanar waveguide. With such a structure, Alexandrou et al. [5] obtain 550-fs FWHM. When the photoconductive gap is located in the central metallic strip of the coplanar waveguide, Mangeney et al. [6] have measured electric pulses of 2.2-ps FWHM. The PSs inserted in a microstrip line have a longer response of several tens of picoseconds [7]. The behavior of a terahertz PS is controlled by the coupling between two physical phenomena: the transport of the photogenerated carriers and the electromagnetic propagation. It is the reason why one can no longer use the approximation of a quasi-stationary electric field or a two-dimensional (2-D) approximation for carrier transport modeling. The two physics are very much involved and their interaction generates an “electric pulse” propagating on the waveguide. The mechanism of the PS Manuscript received January 24, 2004; revised April 21, 2005. The authors are with the Institute of Fundamental Electronics, Paris Sud University, F-91405 Orsay, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854228

response is still not very clearly known even if some papers have already been devoted to the numerical modeling of the device. It is the reason why one of the targets of this paper is to clearly explain the origin of the “electric pulse.” The “classical” model for such a device is based on the carrier transport equations coupled with Poisson’s equation [8]–[10]. Such models do not take into account the electromagnetic field influence on the switch behavior. The “full-wave” models, presented in [11] and [12], are more sophisticated, but they do not solve with a high accuracy the transport equations. Modeling the whole process appears to be difficult because the two physics require different time and space numerical sampling. The challenge is that the electronic transport needs a nanometer range space step for a good accuracy, while it is necessary to simulate a 100- m domain in order to observe the electromagnetic propagation. In this paper, we propose a way to advance toward a more physical modeling of the PS. The full-wave analysis proposed here highlights the electromagnetic nature of the PS response and its interrelation with the carrier transport. The numerical method that we implement is a three-dimensional (3-D) variable-step finite difference time domain (FDTD). Thus, we get a good resolution of the electromagnetic field while using an acceptable space step for the carrier transport (25 nm). The PS simulated here is as close as possible to real devices [5], [6]. That allows us to compare the simulation to the measurement results. This paper is divided into four sections. In Section II, we present the full-wave numerical model of the PS. In Section III, we explain the origin of the PS response, the coupling of the electromagnetic field with the waveguide modes, and we discuss the main parameters determining the device response. Finally, we present conclusions in Section IV. II. NUMERICAL MODEL The full-wave model of the PS is based on a resolution of the 3-D Maxwell’s equations for the electromagnetic fields. These equations are fed in the switch active zone by the current due to the photocreated carriers. The 3-D drift-diffusion (DD) equations that model the current are a simplified derivation of the Boltzmann’s transport equation (BTE) well suited for low and moderate field regions. It is the case in the PS where the local electric field does not exceed a few kilovolts per centimeter. A stochastic microscopic resolution of the BTE would be an improvement of the transport modeling by including the time needed for the carriers to adjust their velocities and their energies to the rapid variation of the electric field.

0018-9480/$20.00 © 2005 IEEE

2992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Due to the coupling in time between the electromagnetic field and the time variable carrier current, the resolution can be achieved only in the time domain. Thus, we can model with difficulty phenomena such as medium dispersion. Consequently, the medium permittivity and permeability are considered constants. Our target is to study how the PS generates electromagnetic pulses so we can simplify phenomena that mainly affect the field propagation in the waveguide. Another problem is how to take into account the steady bias electric field. It is not appropriate to consider that the bias field initializes only the variable electric field. Indeed the bias voltage is all the time present in the system, constantly acting on the carriers. It is the reason why we apply for the electric field the linear superposition principle [13], considering that the total electric field is the sum of the steady electric field and the variable electric field . The steady electric field, being time independent, is calculated once with Laplace’s equation. The variable electric field is calculated each time step, together with the other variables (the variable magnetic field , the electron and hole concentrations , and the electron and ). The numerical simulation starts hole current densities before illumination so the initial value of the electromagnetic field is zero. The dark conduction current and the initial carrier concentration are negligible compared to their values after the massive generation of carriers by the optic beam. Consequently, the following coupled equations represent the device model: (1) (2) (3) (4) (5) (6) where and are the carrier generation and recombination is the electron rates, is the elementary positive charge, (hole) mobility, and is the Einstein diffusion coefficient for electrons (holes). The carrier generation rate is centered in the point having a Gaussian profile in both space and time, as in [11]

(7) The mechanism of light absorption is simplified by considering that the atoms release the carriers instantly. Thus, the quantity of generated carriers is proportional with the light corresponds to 1.55- m laser intensity. The photon energy

As has pulse. For this wavelength, the PS material In Ga m [14]. The absorption the absorption coefficient coefficient varies versus the local carrier concentration. In order to model the absorption saturation process, we introduce only a step variation of the absorption coefficient ( vanishes when the carrier concentration exceeds 10 m ). The waveguide’ substrate, made in semiinsulating InP, does not absorb the laser wavelength due to its large bandgap energy. The recombination rate is modeled by the Shockley–Read–Hall process. As the intrinsic carrier concentrations are considered negligible, the recombination rate becomes (8) In order to make the carrier lifetime shorter than a picosecond, a large concentration of point defects is supposed to be generated by ion implantation in the InGaAs active layer [6]. Thus, the carrier recombination is predominant nonradiative and the Auger process can be neglected. We consider a hole end electron lifetime of 300 fs, which is comparable to the best lifetime ever reported [15]. The carrier mobility models are the same as those used in [16]. The ion irradiation generates diffusion centers for carriers, inducing low field mobility (2000 cm /V s for electrons and 200 cm /V s for holes). The electron mobility law takes into account the intervalley transfer, but the stationary peak velocity is not very high, only 9104 m/s, due to the low field mobility. The hole mobility law is well suited, but it does not play a major role. The main numerical difficulties are due to the different space step sizes required by the two aspects (electromagnetic propagation and carrier transport) to the system nonlinearity and to the large size of the 3-D domain. For Maxwell’s equations, the space step must be at least ten times smaller than the wavelengths that are susceptible to propagate in the device [17]. Thus, a 10- m space step can be sufficient because the device response is in the terahertz range. The Boltzmann’s equations are more sensitive to the spatial sampling, needing a few nanometers space step. The numerical method that best satisfies all these constraints seems to be the FDTD method with a variable space step. Thus, we manage to get a space step of 25 nm 25 nm 25 nm in the illuminated area. For similar modeling, some authors have made the compromise of constant space steps of 1 m 1 m 1 m [11], or 0.5 m 0.5 m 0.5 m [12]. Consequently, we solve the transport equations in a more realistic manner even if a 25-nm space step is still not small enough for the Boltzmann’s equations. We have implemented the Yee algorithm [18] for (1) and (2). The variable space step does not allow sophisticated boundary conditions for the electromagnetic field so we use the first-order Mur absorbing boundary conditions [19]. The DD equations are also solved with the FDTD scheme, the current located on the same discretization point with the electric field. The carrier concentrations are on a dual mesh at half-distance between the currents [11]. A variable-step FDTD allows only a first-order accurate resolution. Consequently, the simulations presented in Section III

SIRBU et al.: COUPLING 3-D MAXWELL’S AND BOLTZMANN’S EQUATIONS FOR ANALYZING TERAHERTZ PS

2993

Fig. 1. PS.

constitute preliminary results, and further investigations are required in future to enhance the agreement between physical modeling and measurements. III. RESULTS AND DISCUSSION The physical mechanism leading to the PS response does not yet have a clear explanation. It was assumed that the optical generated carriers change the initial electric-field distribution in the illuminated area [4], [5], but how to explain the field changes out of the illuminated area on the waveguide? In Section III-B, we discuss how the “electric pulse” appears and propagates after applying an ultrashort optical pulse on the InGaAs layer. In Section III-C, we discuss the role played by the coplanar-waveguide characteristics on the “electric pulse” shape. In Section III-D, we finally list the physical parameters controlling the pulse shape and we comment upon them comparatively with experimental results. A. Physical Framework The simulated PS is located in a coplanar waveguide with a linewidth of 10 m and a separation of 6.6 m leading to a 50impedance waveguide. The 300-nm-depth InGaAs active layer is located in a 2- m-length gap of the central line (see Fig. 1). The InP substrate thickness is 76 m. We also simulate a 76- m air-filled region laid on the guide. The initial bias is set to 2.5 V. The optic beam covers partially the gap with energy of 2.2 pJ, 30-fs time width, and 2.5- m of the Gaussian beam profile). space width (full width at These are the “nominal values” of the simulation. For the complete characterization of the switch, we study the influence of different parameters in Section III-D. It is varied only one parameter at once with the others remaining “nominal.” Concerning the variable step mesh, we have a 25-nm space step in all directions in the illuminated area. The steps become larger progressively, such as shown in Fig. 2. Where the transport is not present, we increase the step maximum by a factor two for the algorithm stability [17]. In order to have an acceptable computation time (10 h on a Pentium 4 CPU at 2.80 GHz), the size mesh is 107 167 47, with a maximum step size of 6.4 m in direction, 2.5 m in the -direction and 9 m in the -direction. B. Electromagnetic Pulse Origin The PS response is often reduced to an “electric pulse” by the people who measure the device using the electrooptic sampling method [20]. This method gives access only to the time evolution of the electric field able to propagate on the wave-

Fig. 2. 3-D mesh domain.

guide. However, it is also possible to see the pulsed time magnetic field using a technique based on the magnetooptic sampling [21]. Therefore, the PS response to a pulse excitation is an “electromagnetic pulse.” This is an important aspect, but completely neglected when it is considered a quasi-stationary evolution of the electric field. The changes in the electric-field distribution out of the illuminated area cannot be explained by the positive and negative charge separation. In this paper, we focus on the role played by the magnetic field, showing that the changes in the electric field also come from the time variation of the magnetic field [with respect to (1)]. In order to compare the simulation results with the measured ones [5], [6], we present as an output signal the electric field propagating on the waveguide. The field necessarily exhibits a distribution compatible with the waveguide modes (see Section III-D). The coplanar-waveguide modes mainly present a -axis component of the electric field. Integrating this field between the center line and one of the grounded lines (on a path , see Fig. 1), we obtain a “voltage pulse.” As the coplanar line is not a TEM waveguide, the expression “voltage pulse” is not accurate, the voltage being dependent on the integration path [23], but it is a common and useful expression. The dynamic electric field propagating on the coplanar waveguide is not a direct image of the photogenerated carrier current. Equation (2) gives the dynamic electric-field evolution in the illuminated area. Rewriting (2), we obtain (2’) clearly showing that the growth of the dynamic electric field from its initial zero value is due to the conduction current and to the spatial magnetic-field variation (2') In the axis where the steady electric field is very large, the dynamic electric field practically follows the conduction current growth. Due to the gap configuration (Fig. 1), the largest component of the bias field is in the direction. For the dynamic electric field , (2) can be reduced to (2'a) In the other two directions, the steady electric field is not large in the gap. Thus, the contribution of the correspondent conduction current on the growth of the dynamic electric field is comparable to the contribution of the magnetic field curl, even smaller.

2994

Fig. 3. wave.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Influence of the specific axis conduction currents on the output voltage

Fig. 4. Electric field in the gap after the electromagnetic field rise.

For the dynamic electric field propagating on the waveguide , we can completely neglect the contribution of the conduction current in this axis. Thus, its governing equation becomes simply (2'b) These assumptions are confirmed by Fig. 3 where we show the voltage pulse at 19.2 m far from the gap without simplifications, and also neglecting the conduction current in each direction. Briefly, the conduction current in the -direction imposes the in the gap dramatic increase of the dynamic electrical field [see (2’a)]. The spatial nonuniformity of this field between the illuminated and nonilluminated zones induces a large spatial gradient and, consequently, the growth of a dynamic magnetic field [with respect to (1)]. It is the magnetic field that generates in turn the electric-field component , which matches the waveguide modes. Further, we explain the main mechanism leading to the time pulsed shape of the electromagnetic field. When the variable electric field becomes comparable in amplitude with the , the total electric field collapses in the steady electric field gap (see Fig. 4), as expected in a conducting medium. Besides, the carrier concentrations stop growing due to the ultrashort generation rate. Consequently, the conduction current follows the electric field [see (5) and (6)], dropping drastically before the majority

Fig. 5. Electron current and concentration time evolution in a point situated in the middle of the gap.

of the carriers can recombine (see Fig. 5). It was already observed experimentally that a PS with long carrier lifetime could show a terahertz response [23]. The “voltage pulse” reflects the carrier current time evolution. Therefore, it has an ultrashort rise time, like the current pulse, due to the femtosecond optic beam. There is a delay time even at zero distance propagation (at the edge of the gap) because the electromagnetic field has to propagate from the illuminated area to the gap edge. The “voltage pulse” fall is due to the carrier current dropping down, respectively, to the electric field collapse in the gap. After the electric-field collapse, the carrier motion has no more privileged axis. The simulated structure allows us to distinguish between the electronic transport axis and propagated electric field axis during the rise time. Thus, the electromagnetic field and current evolution can be easily explained. However, this is not always the case. For instance, in the “illuminated edge technique,” the carriers are generated in the propagation axis that also holds the most important steady electric field. Perhaps it is the reason why with such devices the PS response is the shortest ever obtained [4]. Consequently, the accurate modeling of the PS response absolutely requires a full-wave simulation coupled with the transport equations because the conduction current distribution cannot be linked directly to the output signal. A quasi-static approach based on 2-D or 3-D transport modeling including only the displacement current contribution is not sufficient to simulate the PS even if more physical models are used for the carrier transport such as a Monte Carlo solver of the BTE. C. Propagation on the Waveguide We have studied the field distribution of the coplanar-waveguide modes with the commercial software HFSS1 in a frequency range from 1 GHz up to 1 THz. We distinguish the TM modes and TE modes [24]. Due to the simulated guide dimensions, only three modes are propagated at 1 THz. These are the fundamental TE mode and two TM modes, the fundamental one and the first higher TM mode. The dispersion of the three modes in this range of frequency is small. The TM mode penetrates more in the substrate; it is less confined than the TE mode. 1Ansoft.

[Online]. Available: www.ansoft.com/products/hf/hfss

SIRBU et al.: COUPLING 3-D MAXWELL’S AND BOLTZMANN’S EQUATIONS FOR ANALYZING TERAHERTZ PS

Fig. 6. Transverse electric-field distribution for the coplanar-waveguide modes with a time-domain simulation.

2995

Fig. 8. Output voltage wave at different distances from the gap edge on the negative electrode. A transverse gap cut is shown in the inset. One doped zone has 0.135 m in the z -direction, 3.2 m in the x-direction, and an equivalent conductivity of 10 S/m.

Fig. 7. Transverse electric field generated by the PS on the coplanar waveguide.

We compare the HFSS ideal frequency-domain simulation with an FDTD algorithm for better utilization of the HFSS simcoplanar guide cut ulation results. In Fig. 6, we show on a the time-domain distribution of the electric field . In the time domain, we can generate with a symmetric or antisymmetric source a specific field distribution. Thus, we can see the superposition of all the TM or TE modes that can propagate in the waveguide at the imposed frequency (1 THz). The PS “nominal parameterized” generates an electric-field presented in Fig. 7. distribution We can conclude that this is an optimal configuration because it induces a TE mode that is well confined and has no higher order distributions at the working frequency, as the HFSS simulations show. The coplanar waveguide is considered without losses. Nevertheless, it influences the response signal because between the gap and the waveguide are settled heavily doped zones of InGaAs in order to make a resistive contact. Electromagnetic field reflections occur on this modified waveguide portion, inducing bounds on the output signal, as shown in Fig. 8. D. Main Parameters Controlling the Electromagnetic Pulse There are two kinds of parameters, the ones that control the electromagnetic pulse propagation and those affecting its source, i.e., the photogenerated carriers. Below we will analyze each parameter category. 1) Propagation Field Parameters: The electromagnetic pulse propagates from the illuminated zone on the microwave

Fig. 9. Output voltage wave at 19.2 electrode direction.

m from the gap edge on the positive

guide according to the waveguide modes (see Section III-C). Thus, the carrier location inside the gap determines the electromagnetic field distribution. In our case, centering the optic beam on the middle of the gap only generates the apparition of a TE mode (see Fig. 7). A positive voltage pulse then propagates on the negative electrode (see Fig. 8), while a negative voltage pulse of comparable amplitude propagates on the positive electrode (see Fig. 9). The amplitude of the PS response also depends on the spot location. It was already observed that the “electric pulse” amplitude increases when the optic beam approaches the positive electrode [4], [5]. The simulation results confirm this evolution (see Fig. 10), where the center beam was displaced only in the -direction so that the generated mode remain TE. In this case, differences also come from the bias electric-field distribution under the electrodes. Indeed, generally the substrate is laid on a surface at the same potential with the negative electrode. Thus, the bias field distribution in depth (and, therefore, the conduction current) is not the same for the two electrodes (in our case, corresponding to the -axis). The optic beam spatial diameter influences the output signal for the same reasons that we have mentioned earlier; briefly, because it determines the conduction current distribution and thus the electromagnetic field source location.

2996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 10. Response dependence on the optic beam location between the electrodes in the x-axis.

Fig. 12. Output voltage wave at the gap edge for different spot sizes, but of the same optic energy.

Fig. 11.

Fig. 13. energy.

Output voltage wave from different carriers’ life time.

It is obvious that the waveguide dimensions are the main parameter controlling the output signal dispersion and the transmission of the signal high frequencies. 2) Source Field Parameters: The electromagnetic field source is the transient photocarrier current. As shown in Section III-B, there are two periods. Initially, the carrier current is mainly controlled by the bias field. Secondly, the electromagnetic field becomes strength enough to affect the carrier motion (the coupling between Maxwell’s equations and the carrier transport). The carrier lifetime is a parameter that is often seen like the only parameter controlling the voltage pulse fall time. As shown in Section III-B, a complex mechanism causes the rapidly fall of the voltage pulse. Nevertheless, if the carriers do not disappear rapidly, a residual conduction current still exists, causing a time lengthening of the voltage pulse. Thus, the falling time is not linear with the carrier lifetime (see Fig. 11). This parameter is also involved in the amplitude of the PS response because the carrier recombination affects the maximum carrier concentration. When the carrier lifetime is comparable with the optic pulse duration, the recombination process reduces the maximum carrier concentration and, thus, the voltage amplitude (see Fig. 11, 100-fs lifetime). Another parameter that controls the voltage pulse is the uniformity of the exciting beam. In practice, it was already observed that a nonuniform illumination (the spot size is smaller than the gap size) generates shorter width pulses than a uniform illumination [5]. We obtain the same thing with the proposed

Dependence of the “electric” pulse amplitude on the optic beam

modeling. We compare in Fig. 12 a 2.2-pJ optic beam of 5- m width in the -direction (which covers almost the whole gap) with an optic beam of the same energy, but of 2.5- m width (in practice, the spot size is modified using an appropriate optical lens). We can see that the fall time is shorter for the spot concentrated in the middle of the gap for the same carrier lifetime. The simulation also confirms that the “voltage pulse” amplitude increases with the light intensity [5] until the saturation of absorption process is reached [6], but the pulse shape does not depend on the pump power [4]. In Fig. 13, we show the maximum amplitude evolution when the pick of the light power density is increased (other spot dimensions and its location in the gap rest the “nominal” ones). The bias voltage is a parameter affecting the electromagnetic pulse amplitude because it imposes the steady electric field. Thus, the bias controls the maximum amplitude the current can reach, with respect to (2’a), as we discussed in Section III-B. The dependence of the output voltage pulse on the bias voltage is not linear for nonuniform illumination, as was measured in [5]. In Fig. 14, we can observe that the modeling shows the same result. Comparing the nonlinear coupling between the electric field and carriers [with respect to (5) and (6)], the other specific material parameters (like the low field mobility) influence less the “voltage pulse” characteristics. This discussion confirms that the mechanism of the electromagnetic pulse origin is not highly dependent on a specific semiconductor band structure. In fact, ultrashort pulses have already

SIRBU et al.: COUPLING 3-D MAXWELL’S AND BOLTZMANN’S EQUATIONS FOR ANALYZING TERAHERTZ PS

2997

[6] J. Mangeney, L. Joulaud, P. Crozat, and J.-M. Lourtioz, “Ultrafast response ( 2.2 ps) of ion-irradiated InGaAs photoconductive switch at 1.55 m,” Appl. Phys. Lett., vol. 83, no. 26, pp. 5551–5553, Dec. 2003. [7] J. F. Holzman, F. E. Vermeulen, B. W. Arnold, and A. Y. Elezzabi, “Photoconductive gating of picosecond electrical pulses on thinned-silicon substrates,” Electron. Lett., vol. 36, no. 14, pp. 1225–1226, Jul. 2000. [8] A. E. Iverson and D. L. Smith, “Mathematical modeling of photoconductor transient response,” IEEE Trans. Electron Devices, vol. ED-34, no. 10, pp. 2098–2107, Oct. 1987. [9] L. E. Kingsley and W. R. Donaldson, “Numerical analysis of electric field profiles in high-voltage GaAs photoconductive switches and comparison to experiment,” IEEE Trans. Electron Devices, vol. 40, no. 12, pp. 2344–2351, Dec. 1993. [10] X. Zhou, “Numerical physics of subpicosecond electrical pulse generation by nonuniform gap illumination,” IEEE J. Quantum Electron., vol. 32, no. 9, pp. 1672–1679, Sep. 1996. [11] E. Sano and T. Shibata, “Fullwave analysis of picosecond photoconductive switches,” IEEE J. Quantum Electron., vol. 26, no. 2, pp. 372–377, Feb. 1990. [12] S. M. El-Ghazaly, R. P. Joshi, and R. O. Grondin, “Electromagnetic and transport considerations in subpicosecond photoconductive switch modeling,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 5, pp. 629–637, May 1990. [13] J. D. Jackson, Classical Electrodynamics, 3rd ed. New York: Wiley, 1998, pp. 9–10. [14] S. Adachi, Physical Properties of III–V Semiconductor Compounds. New York: Wiley, 1992, ch. 8, pp. 165–173. [15] C. Carmody, H. H. Tan, C. Jagadish, A. Gaarder, and S. Marcinkevicius, “Ion-implanted In Ga As for ultrafast optoelectronic applications,” Appl. Phys. Lett., vol. 82, no. 22, pp. 3913–3915, Jun. 2003. [16] K. Horio, T. Ikoma, and H. Yanai, “Computer-aided analysis of GaAs n-i-n structures with a heavily compensated i-layer,” IEEE Trans. Electron Devices, vol. ED-33, no. 9, pp. 1242–1250, Sep. 1986. [17] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000, ch. 2, pp. 44–60. [18] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 5, pp. 302–307, May 1966. [19] G. Mur, “Absorbing boundary conditions for the finite-difference approximation of the time-domain electromagnetic-field equations,” IEEE Trans. Electromagn. Compat., vol. EMC-23, no. 4, pp. 377–382, Nov. 1981. [20] A. J. Valdmanis, G. A. Mourou, and C. W. Gabel, “Subpicosecond electrical sampling,” IEEE J. Quantum Electron., vol. QE-19, no. 4, pp. 664–667, Apr. 1983. [21] M. R. Freeman, R. R. Ruf, and R. J. Gambrino, “Picosecond pulsed magnetic fields for studies of ultrafast magnetic phenomena,” IEEE Trans. Magn., vol. 27, no. 11, pp. 4840–4842, Nov. 1991. [22] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. London, U.K.: Artech House, 1996, ch. 7, pp. 375–400. [23] D. Krökel, D. Grischkowsky, and M. B. Ketchen, “Subpicosecond electrical pulse generation using photoconductive switches with long carrier lifetimes,” Appl. Phys. Lett., vol. 54, no. 11, pp. 1046–1047, Mar. 1989. [24] J. B. Knorr and K.-D. Kuchler, “Analysis of coupled slots and coplanar strips on dielectric substrate,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 7, pp. 541–548, Jul. 1975. [25] C. C. Wang, M. Currie, R. Sobolewski, and T. Y. Hsiang, “Subpicosecond electrical pulse generation by edge illumination of silicon and indium phosphide photoconductive switches,” Appl. Phys. Lett., vol. 67, no. 1, pp. 79–81, Jul. 1995.



Fig. 14.

Output voltage wave on the PS bias.

been obtained for different semiconductor materials [25]. In turn, the electromagnetic pulse characteristics are specific to each PS. They are dependent on the structure dimensions, waveguide modes, bias electric-field configuration, and optic beam shape and location. IV. CONCLUSION We have presented a 3-D analysis of a PS with the FDTD method. We use a variable step mesh, which allows a realistic resolution of the carrier transport equations and, at the same time, the simulation of the whole structure including the active layers and the waveguide. Thus, we can underline the contribution of the propagating electromagnetic field (Maxwell’s equations) on the PS behavior. The modeling results are qualitatively in agreement with the phenomena already observed during measurements. The main results consist in highlighting the role played by the magnetic field in the generation of the “electric pulse” and in emphasizing the parameters that control the pulse shape and amplitude. The modeling shows that ultrashort carrier lifetime in the active layers and the carrier low-field mobility are not such crucial parameters for ultrashort electric pulse generation and, thus, for device speed. The new structures can be optimized by exploiting the propagation field parameters and not only by reducing the nonradiative carrier lifetime, which requires additional technological steps in device fabrication. REFERENCES [1] J. A. Valdmanis and G. Mourou, “Subpicosecond electrooptic sampling: Principles and applications,” IEEE J. Quantum Electron., vol. QE-22, no. 1, pp. 69–78, Jan. 1986. [2] L. Duvillaret, F. Garet, J.-F. Roux, and J.-L. Coutaz, “Analytical modeling and optimization of terahertz time-domain spectroscopy experiments using photoswitches as antennas,” IEEE J. Sel. Topics Quantum Electron., vol. 7, no. 4, pp. 615–623, Jul./Aug. 2001. [3] P. R. Smith, D. H. Auston, and M. C. Nuss, “Subpicosecond photoconducting dipole antennas,” IEEE J. Quantum Electron., vol. 24, no. 2, pp. 255–260, Feb. 1988. [4] U. D. Keil and D. R. Dykaar, “Ultrafast pulse generation in Photoconductive switches,” IEEE J. Quantum Electron., vol. 32, no. 9, pp. 1664–1671, Sep. 1996. [5] S. Alexandrou, C. C. Wang, R. Sobolewski, and T. Y. Hsiang, “Generation of subpicosecond electrical pulses by nonuniform illumination of GaAs transmission-line gaps,” IEEE J. Quantum Electron., vol. 30, no. 5, pp. 1332–1338, May 1994.

Marina Sirbu was born in Romania, in 1978. She received the degree from Craiova University, Craiova, Romania in 2002, the M.S. degree in electrical engineering from the Toulouse National Institute of Polytechnique (INPT), Toulouse, France, in 2002, and is currently working toward the Doctorate degree in physics at the Institute of Fundamental Electronics, Paris Sud University, Orsay, France. Her research interests are electromagnetic field interaction with carrier transport in electronic devices and mainly ultrafast opto-electronic devices.

2998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Sébastien B. P. Lepaul received the M.S. and Ph.D. degrees in numerical and nonlinear analysis from the University of Paris VI, Paris, France, in 1993 and 1996 respectively. From 1996 to 1998, he was staff member of the University of Southampton, Southampton, U.K., and held a position with Vector Fields, Oxford, U.K. He is currently a Research Engineer with Research and Development, Electricité de France (EDF), France, where he is on a team that deals with numerical analysis and models for electromagnetic field and solid mechanic simulations. Since 2004, he has been with the French National Center for Scientific Research (CNRS), Institute of Fundamental Electronics (IEF), Orsay, France, where he is currently the Associated Director of Research (DRA). He is also involved with the coupling of Maxwell’s and Boltzmann’s equations for opto-electronic applications.

Frédéric Aniel (M’03) received the Ph.D. degree from the Paris Sud University (UPS), Orsay, France, in 1994. In 1991, he was involved with circuit design with Aerospatiale. In 1991, he was involved with device development with France-Telecom CNET. He is currently a Professor with UPS, where he heads an Institute of Fundamental Electronics (IEF) research team concerned with the analysis of physical phenomena in very high-frequency devices. His research activities mainly involve heterojunction transistor physics based on III–V or SiGe materials with an emphasis on device high-frequency and optical characterizations both at 300 K and at low temperatures supported by physical and electrical modeling.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2999

Experimental Study on a Hologram-Based Compact Antenna Test Range at 650 GHz Tomi Koskinen, Student Member, IEEE, Juha Ala-Laurinaho, Jussi Säily, Anne Lönnqvist, Janne Häkli, Member, IEEE, Juha Mallat, Jussi Tuovinen, Member, IEEE, and Antti V. Räisänen, Fellow, IEEE

Abstract—This paper studies the feasibility of a hologram-based compact antenna test range (CATR) for submillimeter-wave frequencies. In the CATR, a hologram is used as a collimating element to form a plane wave for antenna testing. The hologram is a computer-generated interference pattern etched on a thin metalplated dielectric film. Two demonstration holograms of approximately 1 m in diameter were designed for 650 GHz, and they were manufactured on two different Mylar films. The holograms were illuminated with a horn, and the plane-wave field was probed at 644 GHz. The measured amplitude and phase ripples were 2 dB and 15 peak-to-peak for one of the holograms. A higher quietzone field quality can be achieved by increasing the manufacturing accuracy by further manufacturing tests. After this, the hologrambased CATR should have a potential for high-quality antenna tests at frequencies up to 650 GHz. Index Terms—Antenna measurements, compact antenna test range (CATR), hologram, submillimeter wave.

I. INTRODUCTION

O

BSERVING THE earth or the universe in the submillimeter-wave range (300–3000 GHz) gives additional information that is not available in the visible range. For example, chemical compounds that cause ozone depletion have strong absorption lines at submillimeter wavelengths, e.g., around 640 GHz. In addition, a large part of luminosity of the universe and a significant amount of photons originating from the post-big-bang universe lie in the submillimeter-wave region. These phenomena can be studied with earth orbiting satellites, which utilize very large reflector antennas [1], [2]. Manufacturing of a large submillimeter-wave reflector antenna and its feed system is an extremely demanding task. Proper radiation characteristics of the antenna should be verified by antenna radiation pattern measurements to avoid

Manuscript received December 20, 2004; revised March 15, 2005. This work was supported in part by the European Space Agency/European Space Research and Technology Center under Contract 13096/NL/SB, by Tekes (National Technology Agency of Finland), and by the Academy of Finland. The work of T. Koskinen was supported by the Nokia Foundation, by the Finnish Cultural Foundation, and by the Foundation of the Finnish Society of the Electronics Engineers. T. Koskinen, J. Ala-Laurinaho, A. Lönnqvist, J. Häkli, J. Mallat, and A. V. Räisänen are with the MilliLab, Radio Laboratory/Smart and Novel Radios Research Unit, Helsinki University of Technology, FI-02015 TKK Espoo, Finland (e-mail: [email protected]). J. Säily was with the MilliLab, Radio Laboratory/Smart and Novel Radios Research Unit, Helsinki University of Technology, FI-02015 TKK Espoo, Finland. He is now with VTT Information Technology, FI-02044 VTT Espoo, Finland. J. Tuovinen is with MilliLab, VTT Information Technology, FI-02044 VTT Espoo, Finland. Digital Object Identifier 10.1109/TMTT.2005.854226

Fig. 1. (a) Example of a hologram pattern. (b) Schematic view of the demonstrative hologram-based CATR at 650 GHz.

possible errors in construction. The compact antenna test range (CATR) is a suitable method for this purpose [3]. In the CATR, the plane wave needed for antenna testing is created by a collimating element, which is conventionally a set of 2–3 reflectors. The antenna under test (AUT) is placed in the quiet zone, which is a region where the plane wave has a high quality. A reflector-based CATR has been used for antenna testing at frequencies up to 500 GHz [4]. The CATR main reflector has to be clearly larger than the AUT, and its surface accuracy has to be much higher than one of the AUT. The rms surface accuracy [5] requirement of the CATR reflectors is approximately or 4.6 m at 650 GHz, which is very difficult and expensive to accomplish. A transmission-type binary amplitude hologram is an alternative to reflectors [6]. The planar hologram used in CATR is a computer-generated interference pattern etched on a thin metal-plated dielectric film. See Fig. 1(a) for an example of the amplitude hologram pattern. In this figure, nearly vertical slightly curved microwave transparent slots are in white and metal strips between them in black. The hologram is tensioned to a rigid frame that ensures its flatness. The rms planarity

0018-9480/$20.00 © 2005 IEEE

3000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

requirement of the hologram is approximately [7]. According to simulations, in the direction parallel to the hologram plane, the required accuracy of the pattern is approximately . The planar structure and much lower surface accuracy requirement make manufacturing of a hologram simpler and less expensive than that of a reflector. Facilitated manufacturing is a significant advantage, especially at frequencies above 1000 GHz, where future scientific space research missions will operate. In 2003, a hologram-based CATR was used for measuring the radiation pattern of a 1.5-m parabolic reflector antenna at 322 GHz [8]. Now, for the first time, a demonstrative hologram-based CATR was constructed for 650 GHz. In this paper, the design and manufacturing of two demonstrative holograms are first described. Secondly, a measurement setup for testing of the holograms is presented, and the test results are shown. Finally, the applicability of the hologram-based CATR for high submillimeter-wave frequencies is considered on the basis of the results obtained in this study. II. DESIGN OF TWO DEMONSTRATIVE HOLOGRAMS A. Design Two amplitude holograms of approximately 1 m in diameter were designed for 650 GHz. The holograms were manufactured on different substrates. The diameter was limited to 1 m to keep the dimensions of the test range relatively small so that it could be built up in a small laboratory room. The computer-generated hologram patterns were optimized by using a finite-difference time-domain (FDTD)-based simulation method, which was developed earlier by MilliLab, Helsinki University of Technology, Espoo, Finland [6], [9]. The holograms in this paper are designed to operate at the vertical polarization, i.e., the electric field of the feed antenna is vertically polarized. The FDTD simulations have been done at this polarization. The geometry of the test range is presented in Fig. 1(b). The same geometry was used for both holograms. A corrugated horn antenna designed for 650 GHz and having a Gaussian beam was used as the feed. The feed was placed at a distance of 3 m from the hologram. It was moved 0.3 m from the axis of the hologram and rotated 4.0 toward the center point of the hologram. Moving of the feed in the transversal direction affects the spacing of slots in the generated hologram pattern and makes the slots more uniform in width in the midsection of the pattern, which facilitates manufacturing. Furthermore, in this geometry, the amplitude taper and edge illumination are reasonable in the hologram area, which simplifies optimization of the pattern. The hologram pattern is designed so that the generated plane wave propagates in an angle of 33 in respect to the norm of the hologram [6], [10]. The quiet-zone field is optimized at a distance of 3 m from the hologram. B. Substrate Materials Appropriate substrate materials were needed for the holograms. Previous experiments at lower frequencies have shown a copper-laminated Mylar film (with a relative permittivity of 3.3 for Mylar) to be an electrically good (low losses, high homogeneity) and mechanically durable substrate for holo-

Fig. 2. Simulated horizontal cut of the quiet-zone field of a 1-m hologram at 650 GHz for three thicknesses of the substrate: 25, 50, and 70 m. The curves are shifted for clarity.

grams. Therefore, copper-laminated Mylar was chosen as the substrate material. According to the simulations, the thickness of the Mylar film should not be greater than 50 m at 650 GHz. Otherwise, the field within the film resonates, which disturbs the field in the quiet zone. This can be seen in the simulation results presented in Fig. 2. This figure shows a simulated horizontal cut of the quiet-zone field of a 1-m hologram at 650 GHz at a distance of 3 m from the hologram. The hologram is designed for the 25- m film, and the simulation is done for three thicknesses of the substrate: 25, 50, and 70 m. Slight disturbances in the quiet-zone field are recognizable when the 50- m-thick film is used, and they are severe when the film is 70- m thick. The resonances occur first on the edges of the hologram since the incident field propagates obliquely on the edges and, thus, the field travels a longer path inside the film. As the focus of future satellite missions may well be at frequencies above 1000 GHz, a 25- m Mylar film was chosen as the substrate material for one of the holograms (called Hologram I) to study processing properties of the film. This material should be applicable for holograms operating far above 1000 GHz. Too oblique incidence angle on the edges can be avoided by increasing the focal length/diamater (f/D) ratio of the hologram, i.e., by increasing the focal length (f) of the hologram or by reducing its diameter (D). The other hologram (called Hologram II) was designed for the 50- m-thick Mylar film by reducing the diameter of the pattern by a few centimeters. The copper-plating on top of the 25- m film was 5- m thick, and it was 17- m thick on the 50- m film. The skin depth of copper is only 0.082 m at 650 GHz. Therefore, the copper plating in both films is sufficiently thick to prevent any leakage of radiation through it. Unwanted leakage could cause distortions to the quiet-zone field. C. Design Results A high quality of the quiet-zone field was verified by optimizing the hologram patterns for the substrate materials chosen.

KOSKINEN et al.: EXPERIMENTAL STUDY ON HOLOGRAM-BASED CATR AT 650 GHz

3001

TABLE I DESIGN AND MANUFACTURING RESULTS FOR HOLOGRAM I (25-m MYLAR +5-m Cu) AND HOLOGRAM II (50-m MYLAR +17-m Cu)

Fig. 3. Ideal and measured slot widths along the horizontal center line of the hologram (y = 0). (a) Hologram I. (b) Hologram II.

The properties of the holograms and simulation results are summarized in Table I together with manufacturing results. Hologram I has a diameter of 970 mm, and Hologram II has a diameter of 926 mm. Slot widths in the midsection of the pattern are 150–175 m on Hologram I and they are slightly narrower on Hologram II. The slots are tapered down to 30 m on the edges to prevent edge diffraction. The edge illumination is lower than 3.7 and 1.6 dB on the left/right-hand-side edge for both holograms. The diameter of the simulated quiet zone is approximately 620 mm for Hologram I and 530 mm for Hologram II. Amplitude and phase ripples are approximately 0.6 dB and 5 peak-to-peak for both holograms. The simulated quiet-zone fields are shown in Section III. III. MANUFACTURING The manufacturing method was based on direct laser writing of the hologram pattern on the photo resist on top of the substrate. After laser writing, chemical wet etching was applied to process the slots in the metal plating. According to the manufacturer, the nominal manufacturing accuracy of this method is 5 m, which is sufficient at 650 GHz. The realized manufacturing accuracy was inspected with a camera microscope. Slot widths were measured along the horizontal center line of the hologram with an interval of 20 mm, and they are shown in Fig. 3(a) and (b). The estimated measurement accuracy was 7 m.

The measured slot widths on Hologram I are systematically approximately 60 m too large, i.e., the pattern is considerably overetched. The random deviation from the systematic error is at maximum 15 m. Slots on Hologram II are overetched as well, but they are systematically only 20 m wider than expected. The random error is here at maximum 5 m. Tapered slots on the edges of Hologram I are 50 m overetched. On Hologram II, the manufacturing error is smaller on the edges (at maximum 30 m), but the tapered slots are not completely etched on the edges, i.e., the manufactured pattern is approximately 60 mm smaller than the designed one. The effect of manufacturing inaccuracy was studied by simulations. Fig. 4 shows a horizontal cut of the simulated quiet-zone field at 650 GHz at 3 m from the hologram. Simulation was done for both holograms using the measured slot widths. The slot widths between the measurement points were linearly interpolated. Using the measured slot widths, the peak-to-peak ripples are 3.1 dB and 6 for Hologram I, and 2.0 dB and 6 for Hologram II. Simulation results for the ideal slot widths are shown for comparison. A 1–2-dB amplitude taper can be seen in the quiet-zone fields. This is due to the overetching of the patterns. It is more severe for Hologram I because of the larger overetching. A 1.5–2-dB ripple on the edges of the quiet zones is caused by an improper edge tapering of the slots. According to simulations, the phase of the quiet-zone field is not affected significantly by the manufacturing inaccuracies. Only one hologram was manufactured on both films. Most likely, manufacturing error could have been reduced by manufacturing more holograms and tuning the manufacturing process to optimum. See Table I for a summary of the manufacturing results. IV. MEASUREMENT SETUP A. Submillimeter-Wave Instrumentation The quiet-zone field was probed using a planar scanner. The amplitude and phase values of the field were measured with an AB Millimètre MVNA-8-350 vector network analyzer. Corrugated horn antennas (designed for 650 GHz) were used as the feed and probe antennas. An adequate dynamic range was achieved by using a powerful backward-wave oscillator (BWO) as the transmitter [11], [12] and a seventh-order Schottky diode harmonic mixer as the receiver. It was noticed that the BWO gives 3 dB more power at 644 GHz than at 650 GHz. Therefore, the measurements were done at 644 GHz where a dynamic range of over 32 dB was achieved for both holograms. A small change in the operating frequency (here, the relative change was less than 1%) does not have a significant effect on the operation of the hologram. The

3002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

The receiver in the planar scanner is connected to the MVNA with a flexing RF cable. When the receiver is moved in the scanner, the RF cable bends, which changes its electrical length and causes an error to the measured phase value. The change in the electrical length can be measured with a pilot signal injected in the cable. A cable phase error correction system based on this method was used to correct phase errors caused by the flexing RF cable [13]. The planarity of the scanner was measured with a three-dimensional (3-D) laser tracker, and this planarity data was used for correcting the measured phase values. The uncertainty of the planarity measurement was approximately 5–10 m. The planarity measurement was done at normal room temperature, which might differ by a couple of centigrades from the temperature during the quiet-zone testing. For more accurate phase values, the movement of the probe should be measured with a laser tracker during the quiet-zone scanning. V. QUIET-ZONE FIELD TESTING A. Measurement Results

Fig. 4. Simulated quiet-zone field for ideal and measured slot widths at the horizontal cut y = 0 at 650 GHz. (a) Hologram I. (b) Hologram II. The curves for measured slot widths are shifted for clarity.

change in the operating frequency only steers the direction of the plane wave slightly (here from 33 to 33.35 ). B. Measurement Room and Planar Scanner The quiet-zone tests were done in a small laboratory room with dimensions of 2.85 m 6.35 m 8.8 m (height width depth). The hologram was in the middle of the room, and it was in an angle of 33.35 with respect to the planar scanner that was at a distance of 3 m. The transmitter was on the opposite side at 3 m from the hologram. All reflecting surfaces close to the feed and probe antennas, the frame, supporting structures, and the nonpatterned areas of the hologram were covered with absorbers. The spillover radiation was blocked by absorber walls around the hologram. The absorber material was nonpainted pyramidal Eccosorb VFX-NRL-2 that is designed for millimeter waves.

Fig. 5(a) and (b) shows the measured horizontal and vertical cut of the quiet-zone field at 644 GHz at 3 m from Hologram I. Fig. 5(c) and (d) shows the amplitude and phase in an scan. An amplitude ripple of approximately 4 dB peak-to-peak is seen in the horizontal cut, but not in the vertical cut where the peak-to-peak amplitude ripple is only 2 dB. In both cuts, approximately 2-dB amplitude tapering is recognizable. This is due to overetching of the pattern, as shown by simulations. Also, a 1.5-dB peak-to-peak amplitude ripple is seen on the edges of the quiet-zone field in the vertical cut. This is caused by the improper edge tapering of the slots. The phase ripple is approximately 15 –25 according to the horizontal and vertical cuts. In the whole quiet-zone area, the maximum ripples are approximately 4 dB and 50 peak-to-peak. The width of the quiet zone is approximately 700 mm in the horizontal direction and 870 mm in the vertical direction. The measurement results for Hologram II are shown in Fig. 6(a)–(d). A 2-dB amplitude ripple is seen in the horizontal cut. In the vertical cut, the ripple is 1.5–2.0 dB. Amplitude tapering due to the overetching is not clearly seen. Also, no strong ripples on the edges of the quiet zone are recognized. The phase ripple is 10 –15 in the horizontal and vertical cuts. The maximum ripples are approximately 3 dB and 40 in the whole quiet-zone area. The width of the quiet-zone is approximately 600 mm in both directions. The measurement results are summarized in Table II. It was noticed that the 25- m film was slightly wrinkled prior to the etching process. When the hologram was tensioned to the frame and smoothed, the pattern was deformed. As the hologram structure changes more rapidly in the horizontal than in the vertical direction, the effect of pattern deformation is stronger in the horizontal direction. Also, uneven tensioning of the hologram in the frame can particularly cause phase distortions. These reasons can partly explain why the measured amplitude and phase ripples are larger than the simulated ones, and also why the distortions are larger in the horizontal than in the vertical direction.

KOSKINEN et al.: EXPERIMENTAL STUDY ON HOLOGRAM-BASED CATR AT 650 GHz

Fig. 5. Hologram I: measured quiet-zone field at 644 GHz at 3 m from the hologram.

Fig. 6. Hologram II: measured quiet-zone field at 644 GHz at 3 m from the hologram.

3003

3004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE II MEASUREMENT RESULTS AT 644 GHz

The dynamic range is approximately 36 dB for Hologram I and 32 dB for Hologram II. The larger dynamic range is mainly due to wider slots on Hologram I allowing a higher power transmittance through the hologram. B. Estimate of Measurement Accuracy The amplitude and phase uncertainties of MVNA at a dynamic range of 32 dB are smaller than 0.35 dB and 1.5 (0.2 dB and 0.6 at a 36-dB dynamic range, respectively). It was noticed that the measured phase value jittered approximately 2.5 . This was apparently caused by the phase-locking loop of BWO. Otherwise, measured amplitude and phase values were very stable, and no long-term drifting was seen. The measured phase values were also affected by the uncertainty of the cable phase error correction system and the planarity error of the scanner. The uncertainty of the cable phase error correction system was estimated to be approximately 5 at 644 GHz. The uncertainty of the laser tracker measurement was approximately 8 at 644 GHz. Therefore, assuming that the planarity of the scanner has remained unchanged during the measurements, the total uncertainty of the measured phase values is less than 17 in the worst case or 10 as rms (values calculated for the 32-dB dynamic range). VI. DISCUSSION: FEASIBILITY OF THE HOLOGRAM-BASED CATR FOR 650 GHz The FDTD-based design method was used for designing of two plane-wave-generating holograms for 650 GHz. Comparison of simulation and measurement results shows that the accuracy of this method is also sufficient at higher submillimeterwave frequencies. One key issue in development of the hologram-based CATR for high submillimeter-wave frequencies is the substrate material. The substrate has to be thin enough so that the field does not resonate inside the substrate. According to this study, the 50- m-thick Mylar film is an excellent substrate at frequencies up to approximately 650 GHz. After eliminating difficulties encountered in handling the 25- m-thick Mylar film during the etching process, this film is expected to be suitable for frequencies up to 1000 GHz and above. Laser writing combined with wet etching is a suitable method to manufacture holograms for submillimeter waves. Overetching of the pattern is a typical manufacturing error, and it causes an amplitude tapering in the quiet-zone field. By carrying out more tests and tuning the manufacturing process to optimum, the systematic manufacturing error could be reduced significantly. Thus far, the maximum size of a hologram that can be manufactured in one piece with the method used here is 1 m 3 m.

The width of the 25- m Mylar film used was approximately 1 m and the width of the 50- m film was 1.35 m. At lower frequen1 m) cies (119 and 322 GHz), large holograms (diameter have been manufactured from several pieces joining the pieces together by gluing or soldering [8], [14]. Soldering has proven to be a good electrically almost invisible joining method. To achieve a good result, the pieces have to be precisely aligned before joining. VII. CONCLUSION Two 1-m-diameter amplitude holograms were designed and manufactured, and they were used as collimating elements in CATR at 644 GHz. The 25- and 50- m-thick copper-plated Mylar films were found to be suitable substrate materials. Manufacturing method based on direct laser writing of the pattern followed by wet etching was successfully used for processing the holograms. The quiet-zone field generated by the hologram manufactured on the 50- m film had a higher quality. The measured ripples were only approximately 2 dB and 15 , peak-to-peak for the quiet zone of this hologram. Most likely, the manufacturing quality could be improved by further manufacturing-testing rounds. After this, the hologram-based CATR should also have a high potential at high submillimeter-wave frequencies. ACKNOWLEDGMENT The authors acknowledge the Finnish IT Center for Science (CSC), Espoo, Finland, for providing computer resources. The authors thank V. Möttönen, Millilab/Radio Laboratory, Helsinki University of Technology, Espoo, Finland, for designing the Schottky diode harmonic mixer used in the receiver front-end. REFERENCES [1] D. Slater, P. Stek, R. Cofield, R. Dengler, J. Hardy, R. Jarnot, and R. Swindlehurst, “A large aperture 650 GHz near-field measurement system for the earth observing system microwave limb sounder,” in Proc. 23rd Annu. Antenna Measurement Techniques Association Meeting and Symp., Denver, CO, Oct. 2001, pp. 468–473. [2] N. Mandolesi and F. Villa, “FIRST/Planck mission,” in Proc. 16th IEEE Instrumentation and Measurement Technology Conf., vol. 2, Venice, Italy, May 1999, pp. 975–980. [3] P. R. Foster, D. Martin, C. Parini, A. V. Räisänen, J. Ala-Laurinaho, T. Hirvonen, A. Lehto, T. Sehm, J. Tuovinen, F. Jensen, and K. Pontoppidan, “Mmwave antenna testing techniques—Phase 2,” ESTEC, Noordwijk, The Netherlands, Contract 11 641/95/NL/PB(SC), MAAS Rep., 304, no. 2, Dec. 1996, p. 224. [4] J. Hartmann, J. Habersack, H.-J. Steiner, J. Lemanczyk, and P. D. Maagt, “Calibration and verification measurements in compensated compact ranges up to 500 GHz,” in Proc. 23rd Annu. Antenna Measurement Techniques Association Meeting and Symp., Denver, CO, Oct. 2001, pp. 377–382. [5] IEEE Standard Test Procedure for Antennas, IEEE Standard 149-1979, 1979 (distributed by Wiley-Interscience), p. 143.

KOSKINEN et al.: EXPERIMENTAL STUDY ON HOLOGRAM-BASED CATR AT 650 GHz

[6] T. Hirvonen, J. Ala-Laurinaho, J. Tuovinen, and A. V. Räisänen, “A compact antenna test range based on a hologram,” IEEE Trans. Antennas Propag., vol. 45, no. 8, pp. 1270–1276, Aug. 1997. [7] J. Ala-Laurinaho, T. Hirvonen, and A. V. Räisänen, “On the planarity errors of the hologram of the CATR,” in Proc. IEEE AP-S Int. Symp., Orlando, FL, Jul. 1999, pp. 2166–2169. [8] A. Lönnqvist, T. Koskinen, J. Häkli, J. Säily, J. Ala-Laurinaho, J. Mallat, V. Viikari, J. Tuovinen, and A. V. Räisänen, “Hologram-based compact range for submillimeter wave antenna testing,” IEEE Trans. Antennas Propag., 2005, to be published. [9] J. Ala-Laurinaho, T. Hirvonen, J. Tuovinen, and A. V. Räisänen, “Numerical modeling of a nonuniform grating with FDTD,” Microwave Opt. Technol. Lett., vol. 15, no. 3, pp. 134–139, Jun. 1997. [10] J. Salo, J. Meltaus, E. Noponen, M. M. Salomaa, A. Lönnqvist, T. Koskinen, V. Viikari, J. Säily, J. Häkli, J. Ala-Laurinaho, J. Mallat, and A. V. Räisänen, “Holograms for shaping radio-wave fields,” J. Opt. A, Pure Appl. Opt., vol. 4, no. 5, pp. S161–S167, Sep. 2002. [11] J. Säily, J. Mallat, and A. V. Räisänen, “Using a phase-locked backwardwave oscillator BWO to extend the dynamic range of a vector network analyzer at submillimeter wavelengths,” in Proc. 31st Eur. Microwave Conf., London, U.K., Sep. 2001, pp. 57–60. [12] T. Koskinen, J. Ala-Laurinaho, and A. V. Räisänen, “Feasibility study of a hologram based compact antenna test range for 650 GHz,” in Proc. 26th Annu. Antenna Measurement Techniques Association Meeting and Symp., Atlanta, GA, Oct. 2004, pp. 232–237. [13] J. Säily, P. Eskelinen, and A. V. Räisänen, “Pilot signal based real-time measurement and correction of phase errors caused by microwave cable flexing in planar near-field tests,” IEEE Trans. Antennas Propag., vol. 51, no. 2, pp. 195–200, Feb. 2003. [14] J. Ala-Laurinaho, T. Hirvonen, P. Piironen, A. Lehto, J. Tuovinen, A. V. Räisänen, and U. Frisk, “Measurement of the Odin telescope at 119 GHz with a hologram type CATR,” IEEE Trans. Antennas Propag., vol. 49, no. 11, pp. 1264–1270, Nov. 2001.

Tomi Koskinen (S’03) was born in Jämsä, Finland, in 1975. He received the Master of Science (Tech.) and Licentiate of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 2001 and 2004, respectively, and is currently working toward the Doctor of Science (Tech.) degree at TKK. Since 2001, he has been a Research Engineer with the Radio Laboratory, TKK. His fields of interest are radio engineering and electromagnetics, especially computational electromagnetics. He is currently developing a hologram-based CATR for very large submillimeter-wave antennas.

Juha Ala-Laurinaho was born in Parkano, Finland, in 1969. He received the Master of Science (Tech.) degree in mathematics and Licentiate of Science (Tech.) and Doctor of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 1995, 1998, and 2001, respectively. Since 1995, he has been a Research Assistant and Research Engineer with the Radio Laboratory, TKK. His current research interest is the development of antenna measurement techniques for millimeter and submillimeter waves.

3005

Jussi Säily was born in Rantsila, Finland, in 1974. He received the Master of Science (Tech.), Licentiate of Science (Tech.), and Doctor of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 1997, 2000, and 2003, respectively. In 1996, he was a Research Trainee with the VTT Technical Research Centre of Finland Automation/Measurement Technology Laboratory, where he studied microelectromechanical sensors. From 1997 to 2003, he was a Research Engineer with the Radio Laboratory, TKK. Since 2004, he has been with the Antennas and Electromagnetics research Group, VTT Technical Research Centre of Finland Information Technology, Espoo, Finland. His current research interests include beam-steerable millimeter-wave antenna arrays for short-range communications, smart base-station antenna arrays for telecommunications, and low-noise signal sources for instrumentation.

Anne Lönnqvist was born in Somero, Finland, in 1977. She received the Master of Science (Tech.) (with honors) and Licentiate of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 2001 and 2004, respectively, and is currently working toward the Doctor of Science (Tech.) degree at TKK. Since 2000, she has been a Research Assistant and a Research Engineer with the Radio Laboratory, TKK. Her current research interests include millimeter-wave measurement techniques with a focus on hologram applications.

Janne Häkli (S’97–M’05) was born in Helsinki, Finland, in 1972. He received the Master of Science (Tech.) and Licentiate of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 1999 and 2002, respectively, and is currently working toward the Doctor of Science (Tech.) degree at TKK. Since 1998, he has been a Research Assistant and Research Engineer with the Radio Laboratory, TKK. His current research interests include submillimeter-wave-shaped reflector antennas, antenna measurement techniques, and hologram applications.

Juha Mallat was born in Lahti, Finland, in 1962. He received the Master of Science (Tech.) (with honors), Licentiate of Science (Tech.), and Doctor of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 1986, 1988, and 1995, respectively. Since 1985, he has been with the Radio Laboratory (and its Millimeter Wave Group), TKK, as a Research Assistant, Senior Teaching Assistant, and Research Associate until 1994. From 1995 to 1996, he was a Project Manager and Coordinator in an education project between TKK and the Turku Institute of Technology. Since 1997, he has been a Senior Scientist with the Millimeter Wave Laboratory of Finland (MilliLab)—European Space Agency (ESA) External Laboratory, Helsinki TKK, with the exception of a period of one year from 2001 to 2002, during which time he served as a Professor (protem) of radio engineering with TKK. His research interests and experience cover various topics in radio-engineering applications and measurements, especially in millimeter-wave frequencies. He has also been involved in building and testing millimeter-wave receivers for space applications.

3006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Jussi Tuovinen (S’86–M’91) received the Dipl. Eng., Lic. Tech., and Dr. Tech. degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 1986, 1989, and 1991, respectively. From 1986 to 1991, he was a Research Engineer with the HUT Radio Laboratory, where he was involved with millimeter-wave antenna testing for the European Space Agency (ESA), quasi-optical measurements, and Gaussian beam theory. From 1991 to 1994, he was a Senior Post-Doctoral Fellow with the Five College Radio Astronomy Observatory, University of Massachusetts, Amherst, where he studied holographic testing methods and developed frequency multipliers up to 1 THz. From 1994 to 1995, he was a Project Manager with the HUT Radio Laboratory, where he was involved with hologram CATR and 119-GHz receiver development for Odin-satellite. He is currently a co-investigator and heads development of 70-GHz receivers for the low-frequency instrument of the ESA Planck Surveyor. His research activities also includes development of methods for on-wafer testing of integrated circuits and components. He is currently a Research Professor with VTT Technical Research Centre of Finland Information Technology and a Director of the Millimeter Wave Laboratory of Finland–MilliLab, ESA External Laboratory. From 2001 to 2002, he was a Visiting Researcher with the University of Hawaii at Manoa, where he developed communications methods using retrodirective antennas. He has authored or coauthored over 150 scientific papers. Dr. Tuovinen was a past secretary of the Finnish National Committee of the Committee on Space Research (COSPAR) and the IEEE Finland Section. He was also the executive secretary of the Local Organizing Committee of the 27th Plenary Meeting of COSPAR held in 1988. He was the co-chairman of the 2nd ESA Workshop on Millimeter Wave Technology and Applications in 1998. He has also served as a chairman of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Finland Chapter. In 2003, he served as the chairman of the 3rd ESA Workshop on Millimeter Wave Technology and Applications. He was the recipient of ESA Fellowships for multiplier work at the University of Massachusetts in 1992 and again in 1993.

Antti V. Räisänen (S’76–M’81–SM’85–F’94) received the Master of Science (Tech.), Licentiate of Science (Tech.), and Doctor of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (HUT), Espoo, Finland, in 1973, 1976, and 1981, respectively. In 1989, he was appointed Professor Chair of Radio Engineering, HUT, after holding the same position as an Acting Professor in 1985 and 1987–1989. He has been a Visiting Scientist and Professor with the Five College Radio Astronomy Observatory (FCRAO) and the University of Massachusetts at Amherst (1978–1981), Chalmers University of Technology, Göteborg, Sweden (1983), Department of Physics, University of California at Berkeley (1984–1985), Jet Propulsion Laboratory, California Institute of Technology, Pasadena (1992–1993), and Paris Observatory and University of Paris 6 (2001–2002). He currently supervises research in millimeter-wave components, antennas, receivers, microwave measurements, etc. at the Radio Laboratory, HUT, and Millimetre Wave Laboratory of Finland (MilliLab—European Space Agency (ESA) External Laboratory). The Smart and Novel Radios Research Unit (SMARAD), HUT (which he leads), obtained in 2001 the national status of Center of Excellence in Research from The Academy of Finland after competition and international review. He has authored and coauthored over 400 scientific or technical papers and six books, most recently, Radio Engineering for Wireless Communication and Sensor Applications (Norwood, MA: Artech House, 2003). He also coauthored the chapter “Radio-Telescope Receivers” in Radio Astronomy (Powell, OH: Cygnus-Quasar Books, 1986, second edition). Dr. Räisänen was secretary general of the 12th European Microwave Conference in 1982. He was chairman of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter in Finland from 1987 to 1992. He was conference chairman for the 22nd European Microwave Conference in 1992, and for the “ESA Workshop on Millimeter Wave Technology and Applications” in 1998. From 1995 to 1997, he served on the Research Council for Natural Sciences and Engineering, Academy of Finland. From 1997 to 2000, he was vice-rector for research and international relations of HUT. From 2002 to 2005, he was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

3007

Investigation of a Method to Improve VNA Calibration in Planar Dispersive Media Through Adding an Asymmetrical Reciprocal Device Jonathan B. Scott, Senior Member, IEEE

Abstract—If a passive asymmetrical reciprocal device is used in addition to short open load thru standards in a two-port vector network analyzer calibration, systematic errors in the calibration kit parameters can be reduced dramatically through numerical optimization to minimize asymmetry after correction. The method is described and the quality of correction assessed using simulated data. Measured results are then presented for calibration on a printed circuit board. Encouraging results and practical limitations are discussed. Index Terms—Impedance standards, microwave measurements, network analysis, planar transmission lines, vector correction.

I. INTRODUCTION

I

MPEDANCE standards are used to calibrate vector network analyzers (VNAs). The calibration relies upon knowing, a priori, the impedance that each standard presents at each frequency. This impedance is determined from the dimensions of the standard, and with less sensitivity, from the bulk properties of the materials from which it is made. In the case of thru-reflect-line (TRL) techniques, the demands for characterization of the standards are reduced, but the ultimate source of traceability remains an electrical property of a mechanical impedance standard. [1] There are also techniques that use the reciprocity of a device, but in addition to known impedances. [2] Traceability through physical and mechanical knowledge works well with coaxial standards composed chiefly of metals and air, but it is not always effective in the case of planar dispersive media such as printed circuit boards (PCBs), thin-film circuits, or semiconductor wafer material. Owing to the increased use of PCBs in RF and now microwave systems, small PCBs arrayed with connectors and simple standards such as that shown in Fig. 1 are a common sight in RF laboratories. Such simple PCBs would be adequate to the task if the user knew the standard’s true impedance values and could enter them into his VNA, allowing him to “unterminate” the network in the time-honored fashion. [3] Also, if errors in the reflection coefficients in such collections of planar standards were randomly distributed, optimization methods such as those presented by Williams [4] and Williams et al. [5] would allow the errors to be minimized, especially by increasing the numbers of standards and frequencies sampled.

Manuscript received January 10, 2005; revised March 16, 2005. The author is with Agilent Technologies, Santa Rosa, CA, 95404 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854225

Fig. 1. Typical ad hoc PCB providing an array of elementary impedance standards for calibrating a VNA for use with the particular substrate medium.

Typically, a VNA obtains the values of the impedance standards as a function of frequency from circuit models containing lumped and distributed elements.1 This requires that the correct circuit model parameters (“cal kit”) be entered into the VNA, and that the model used by the VNA be able to describe the impedance of the standards with sufficient accuracy. This may only be the case with standards such as coaxial or waveguide formats constructed of known metals and air. In any case, the parameters of standards on planar substrate are hard to estimate, and impossible to prove. This paper will relate in Section II an algorithm purported to minimize errors in a cal kit and yield an improved calibration in the face of systematically incorrect kit parameters. Some theory for the method is reported in Section III. The method will be tested with both synthesized data where the correct answer is known in Section VI, and with real measured data to see how results compare with physical expectation in Section VII. II. RECIPROCAL TECHNIQUE Referring to Fig. 2, the algorithm employed in the technique will be described [6], [7]. For convenience, the method will be referred to as the “ReciproCal” technique. The central block of this flow diagram is labeled the short open load thru (SOLT) full two-port (F2P) calibration algorithm. This is the familiar step by means of which a set of error coefficients are computed using the known and measured impedances associated with a set of standards, relying on an error model such as the familiar 1Modern VNAs can also use lookup tables. Without going into detail, this approach is not useful here, as the tables must themselves be measured, implying the need for a previous calibration.

0018-9480/$20.00 © 2005 IEEE

3008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

and yields error coefficients and corrected DUT -parameters. In our optimization, only the cal kit is to be changed. Section III will briefly explain why an asymmetrical, but reciprocal device should allow errors in the cal kit to be attacked. III. THEORY In the case of a 12-term error model, it is well known that the actual values of the -parameters of a DUT can be written as functions of the measured -parameters and the 12 error coefficients [8]. In particular, (1) and Fig. 2. Flow diagram of the process to optimize cal kit parameters to minimize asymmetry in the PARD S -parameters.

12-term model [8]. The measured impedances—values acquired as the various buttons are pressed during a manual calibration, for example—are delivered in this flowchart from the “measure SOLT standards” box. SOLT, not a TRL method, is necessary for this algorithm to work. The “known” impedances—typically not well known in the case of a planar calibration—are delivered from the “compute SOLT standards” box to the left. The SOLT standard’s impedances are, in turn, calculated from the circuit models used to represent them and the parameters of those models, the so-called cal kit. This step is invisible to the novice user, as the parameters are delivered on some computer media, perhaps a floppy disk supplied with the standards or provided with the VNA programs on a hard disk drive, and the model is inherent in the calibration software. It is these two steps that give trouble in our example of a rough PCB of ad hoc standards: neither the parameters, nor the model’s applicability are known. Now follow the flow of the algorithm out of the cal. Once the F2P error coefficients are computed, they may be used with subsequent measurements to obtain corrected results. Consider the case where a passive asymmetrical reciprocal device (PARD)2 is measured. The “measure PARD” box provides the raw data. This is then corrected using the coefficients derived from the suspect model parameters and model. Being reciprocal, if all . If, has gone well, one obtains corrected data where however, our model was in error, this will not be the case, es, as it turns out. In the lower right box pecially as labeled “compute,” the mean square error (MSE) between and is found. Finally, the original parameters of the cal kit can be varied under the control of a numerical optimizer to hone in on the case . This is indicated by the “optimize” diamond. where It should be stressed that no remeasurement occurs in the optimization: a calibration ingests a model, a cal kit, measurements of standards sufficient to fit a fixed error model, measurement of the device-under-test (DUT) that happens to be a PARD here, 2The phrase “passive asymmetrical reciprocal device” is virtually a tautology since an exactly reciprocal device is virtually always passive and all but certain exotic passives are reciprocal. While passivity is not mandatory for the theory, the term leads to a pleasant acronym.

(2) where (3) and (4) (5) (6) (7) in which are the actual -parameter values after correcare the measured -parameters, and and tion, are the forward and reverse error coefficients. Consider the case where inexact knowledge has yielded error coefficients that are inexact, and a newly introduced standard whose measured -parameters are to be corrected via (1)–(7) is to be used to modify the error coefficients. and is the optimizer Since differences between goal, the device should be reciprocal. Consider that the forward and backward coefficient sets will likely be similar since a good quality VNA is substantially symmetrical. For the reciprocity and above after correction to be sensitive to errors in requires that or . Inspection of (4)–(7) indicates , the condition is necthat since essary. This is asymmetry. Optimizing cal kit model parameters rather than error coefficients directly ensures consistency across measurement frequencies and minimizes the number of independent variables, but it introduces the nonlinearity and complexity of the functions that relate the impedance of standards to the parameters of the equivalent circuits assumed for them. Here, the venerable equivalent circuit assumed for coaxial airline standards in decades past has been used. The short, open, and load standards are modeled as lumped elements at the end of low-loss transmission lines of given length, conductor loss, and characteristic impedance. Although the reactive parts of the lumped terminations can be expressed as cubic functions of frequency, this study restricted them to

SCOTT: INVESTIGATION OF METHOD TO IMPROVE VNA CALIBRATION IN PLANAR DISPERSIVE MEDIA

constant values of either inductance or capacitance. Space does not permit presentation of the complete set of equations, but an examination of the offset line model equations embodies the interesting aspect of the models. The impedance seen at the input of a low-loss transmission line of characteristic impedance , length , and propagation constant when the line is terminated by the lumped impedance is represented as (8) and the values of and offset line where are computed from formulae that derive from “low-loss” approximations applicable to air lines. If the model parameters of specified at 1 GHz, the “line delay” the line are “line loss” , and “ideal line characteristic impedance” , then we from obtain (9) and (10) where

is the angular frequency and (11)

Sharp nonlinearity in these equations will impact optimization. It can be enlightening to rewrite (8) in reflection coefficient terms as

(12) and . Viewed in these terms, the difficulties to be noted below with situations where are less difficult to understand. where

IV. PARD STANDARD Most any passive structure will make a PARD. A mismatched resistive pad, such as a 75- to 50- converter, is both reciprocal and yet asymmetrical, in a pure 50- system. A network of RL and C can hardly avoid being asymmetrical, and is, by definition, reciprocal. Experience has shown that optimization seems to work better if the magnitude response has some notches, in other words, the dynamic range is exercised. It is likely that the slopes on the sides of notches increases sensitivity of the error term to component changes. V. PRACTICAL IMPLEMENTATION OF THE ALGORITHM A program has been written to implement the algorithm. The circuit models of the impedance standards are embodied in the code. Open standards are modeled as a capacitance at the end of a transmission line; the length, loss, and characteristic impedance of the line, and the capacitance represented as a

3009

cubic polynomial function of frequency, are available as parameters, giving seven parameters that are potentially free to be optimized. Short standards are modeled as an inductance at the end of a transmission line; the length, loss, and characteristic impedance of the line, and the inductance represented as a cubic polynomial, are available as parameters, again, giving seven parameters. Loads are modeled as a series-RL at the end of a delay, giving five parameters. A through line is simply a lossy line of some characteristic impedance, giving three parameters, , although the ReciproCal algorithm is delay, loss, and theoretically expected not to be able to resolve the through’s delay parameter. With separate standards on each of two ports, this gives 40 possible parameters for optimization, excluding the delay parameter of the through. The program allows each parameter to be individually fixed or released for optimization. Although all parameters can be optimized, one might typically open only the constant part of the lumped elements as a minimum, giving six or eight parameters (depending upon whether the load is regarded as having a nonzero lumped reactive contribution). Another instance might be to open the constant part of the lumped elements and the delay-line parameters for the shorts, opens, or loads, giving 26 parameters. The program executes a simple, but robust multidimensional optimization that starts with a number of initial guesses, the amoeba algorithm from [9]. An option is available to invoke a Monte Carlo-style optimization where large numbers of randomly developed starting points are tried to improve operation if saddle solutions plague an experiment. The number of guesses equals the number of parameters to be optimized in the absence of Monte Carlo enhancement. A single estimate (guess) of the cal kit is supplied by the user, along with files containing measured raw VNA data. The program develops further initial guesses by scattering the parameters through random perturbation of the user’s initial guess. The program perturbs each parameter by an amount that represents the anticipated uncertainty, e.g., characteristic impedances are subject to 5- maximum perturbation in developing additional initial guesses. Finally, the program returns three data files, which are: 1) -parameters of a DUT corrected using the original guess kit; 2) the same DUT -parameters corrected using the new kit after optimization; and 3) the circuit model parameter values assigned in the new kit. Performance of the program may be assessed either through observing the change (improvement?) in the DUT -parameters as a consequence of moving to the new kit or by looking at the values of the kit parameters themselves. VI. SIMULATED RESULTS In order to build up some confidence in the program and to gain experience of its capabilities, it was initially used with simulated data. An imperfect asymmetrical VNA and a kit of assorted known standards was built inside a circuit simulator. The data computed by the simulator for each standard on each port was exported to files for use by the SOLTPcal program. Initially, the initial guess cal kit contained exactly the values used in circuit simulator so that one would expect the optimization to terminate quickly, returning the exact -parameters for the PARD. The program was run with 125 frequencies up to 25 GHz.

3010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE II PARAMETERS OF THE REALISTICALLY PERTURBED CAL KIT

Fig. 3. Plot of the S -parameters of a PARD used as a test DUT. S and S are shown on a Smith chart, S and S as magnitudes only. Note that the S and S plots are perfectly overlaid. TABLE I PARAMETERS OF THE IDEAL CAL KIT. THE 13 VALUES SPECIFIED HERE ARE IDENTICAL FOR THE STANDARDS OF EACH PORT Fig. 4. S -parameters shown similarly to Fig. 3, but for the case where the kit was realistically perturbed. Data corrected with the original perturbed kit is shown as symbols ( for S and jS j and 4 for S and jS j), corrected data are shown as dashed and solid lines.

Fig. 3 shows and on the Smith chart, and the magnitude and phase of and for a reactive PARD. This will be used as the DUT in these simulated tests. When run with the correct answer as input, and with 26 parameters released for optimization, the program executed less to than 1000 iterations with the MSE changing from . The returned PARD -parameters are indistinguishable from those shown in Fig. 3, as expected. The parameter values of the ideal kit appear in Table I. The optimized kit parameters were identical to the starting, ideal values to four significant figures. This is considered to correspond to the residual simulation error in the values. Next, the cal kit parameter starting values were perturbed slightly. The load resistance was changed from 45 to 47 , the open capacitance from 1 to 2 fF, and the short inductance from 0 to 1 pH. After 524 iterations (a short run), the error was reduced , a reduction of three orders of magfrom 0.000040 to nitude to the numerical noise floor of the data. The parameters effectively returned to their expected values, the load resistances to 44.99 and 44.98, etc. The errors introduced by this small perturbation are imperceptible in a view of the -parameters. Next, a perturbation of the kit more realistic to a coplanarprobed set of PCB SOLT standards was tested. The values are shown in Table II, which can be compared with Table I. The program was run to optimize the changed parameters. After 15 s and just over 1000 iterations, the MSE is reduced by almost 60 000 . The results are times to a value of approximately shown in Fig. 4. Note that the original kit yields quite erroneous values of all -parameters above 10 GHz, while the optimized kit resembles the known good data in Fig. 3. Next, a more inaccurate kit is examined. The program reduces the MSE by a factor of 56 357 in 2368 iterations, to near “ideal.” The result is shown in approximately Fig. 5. Note in Table III that the returned parameters are further

Fig. 5. S -parameters shown similarly to Fig. 4, but for the case where the kit was more inaccurate.

from the known values than the original kit. This phenomena was commonly observed: the corrected -parameter values were close to the perfectly corrected ones, but the cal kit parameters did not return to their known values. It appears that the common kit model and its parameters offer multiple satisfactory solutions and, thus, it may never be possible to obtain a unique or physically correct cal kit. Cases have been observed where the kit contained values quite physically impossible, but which yielded good -parameters for the DUT after correction. A large number of studies on this problem were carried out using computed data for which the original physically correct solution is known. From these, some expectations can be stated as follows. 1) When an initial guess cal kit is close to correct, the ReciproCal algorithm is powerful at finding a set of error coefficients that return -parameters very close to perfect, but the optimized cal kit is as likely as not to be distant from

SCOTT: INVESTIGATION OF METHOD TO IMPROVE VNA CALIBRATION IN PLANAR DISPERSIVE MEDIA

3011

TABLE III PARAMETERS OF THE REALISTICALLY PERTURBED CAL KIT BEFORE AND AFTER OPTIMIZATION. RECALL Table I. SHOWS THE TARGET VALUES

TABLE IV BEST ESTIMATES FROM SIMULATION OF THE CAL KIT, AND THE RESULTS AFTER OPTIMIZATION IN THE LOWER BLOCK

the known original starting point. In other words, an apparently effective, but physically wild cal kit is a typical outcome. 2) When the initial guess cal kit is further from the known correct starting point, chances become high that the algorithm will be caught in a saddle that can be distant from the optimum one; in other words, there is a high risk that the optimizer will fail to find a good solution. 3) Certain parameters in the cal kit, when released for optimization, vastly diminish the chance of finding a good solution compared to others; in particular, even small discrepancies in the characteristic impedance of the delay lines in the standards models flummoxes the optimizer. This is attributed to extreme nonlinearity, e.g., when is nonzero in (12). VII. MEASURED EXAMPLES Table IV shows the best estimates obtained from simulation and, in the case of load resistance from dc measurement, for parameters of a set of standards fabricated on Rogers PCB material. Fig. 6 shows the calibration PCB. Connection is made to the PCB using 650- m ground–signal–ground (GSG) coplanar probes. PARDs were constructed by adding lumped RLC components to the vacant structures, and the standards were then used to calibrate a VNA, which was subsequently used to characterize through connections fabricated on the same substrate sample.3 3A two-tier procedure was used. The “raw” data fed to the algorithm was data measured by a VNA that had been calibrated using 2.4-mm coaxial airline standards at a plane near the point of coaxial connection to the probe heads.

Fig. 6. PCB used to calibrate the VNA in the measured examples. PARD standards were realized by adding SMT components, bond wires, etc. on the structures in the third row from the bottom.

Fig. 7. Magnitudes of all S -parameters of the PCB through connection measured using simulated and optimized kit values. The corrected, post-optimization data is denoted by solid lines, the data computed with the original kit estimate is denoted by dashed lines. Triangular symbols identify the reflective parameters S and S , circular ones identify the transmissive parameters S and S , with open symbols denoting S and S .

Consider a particular measurement of a simple through line, corrected using the original kit, and corrected using coefficients derived from an optimized kit. The optimization was allowed to optimize short inductance, open capacitance, load inductance (but not resistance), and the delay lengths of these six standards, 12 degrees of freedom in total. These were considered to be the parameters of lowest certainty. It reduced the MSE by a factor , suggesting a great improvement. of almost 20 to The optimized kit parameter values are also given in Table IV. The “before” and “after” results for the magnitudes of -parameters appear in Fig. 7. The results are quite dramatic. Consider that the “before” values represent the best that an engineer could be expected to achieve with reasonable effort, without ReciproCal. The values ripple seriously above approximately 8 GHz, and reach of several decibels above zero, physically impossible for a passive certainly lossy DUT. The original aim of the experiment was

3012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 8. Impedances of two imperfect terminations (the same data is shown both in the Smith chart and magnitude formats). S is denoted by dashed lines, S is denoted by solid lines. The optimized data is displayed without symbols, the original data has  markers, though these overlay.

and measured As a second example, Fig. 8 presents from a pair of inferior terminations fabricated on a PCB along with SOLT standards and a PARD. This figure presents the usual and are negligibly small “before” and “after” traces. in this case and are not displayed. The terminations were constructed by hand soldering large discrete surface-mount resistors onto a coplanar waveguide (CPW) pattern, largely as an exercise in discovering how good such a clumsy construction might be as a load. While the unoptimized impedances are clearly suspect as they exceed 0 dB above approximately 15 GHz, it is equally hard to believe that the discrepancies between the two measurecompletely escaped detection ments and the notch visible in in the unoptimized case. As a final note, Fig. 9 shows the performance of the optimizer with a number of Monte Carlo trials on a typical kit optimization. There seems to be no correlation between the effort of the slope optimizer, the extent of the normalized change in the kit from its initial starting position, and the final improvement in the mean squared error. Performance improvement will probably require a significant improvement in the technique.

VIII. CONCLUSION

Fig. 9. Graph epitomizing performance of the optimizer with multiple Monte Carlo trials. The first trace (5) shows the extent to which MSE was reduced in each of a number of trials. The second (4) shows the extent to which the kit parameters changed during the optimization. The third diamond symbols (5) shows the number of iterations in the trial to converge.

to estimate loss in the media to give some insight into the impact of building a subsystem expected to work to 18 GHz on this material. This would be virtually impossible with the data as corrected by the original kit. The question arises as to how much the optimized result is to be trusted, especially considering the lack of physical meaningfulness of some kit parameters. There can be no certain answer to this, but results have a remarkable consistency with expectation. Bear in mind that the optimizer has no inclination that the results ought to be smooth or of some particular value; is remarkably close in this case, the optimized result for to expectation for an uninterrupted length of transmission line with attenuation smoothly increasing with frequency, while and are lower and less the reflections giving rise to symmetrical. This trend is unlikely to have been imposed by the optimizer that has no interest in flatness of the DUT with frequency, reciprocity of the DUT, or magnitude of the and , all of which become more credible after DUT’s optimization.

No truly traceable impedance standards exist for calibrating a VNA in dispersive media. Confidence in calibration standards and their parameters (cal kit) is only developed through ongoing usage and the consistency of parameters and results obtained using them. An iterative method has been presented wherein a calibration can be refined by optimizing standards model parameters to minimize nonreciprocity in a known asymmetrical reciprocal device. This method has given encouraging results in controlled conditions for mildly erroneous cal kits. Some conditions that make the numerical optimization impractically strenuous or error prone have been identified. With measured real-world data, significant improvements are possible with results showing remarkable consistency with expectation. The optimization is thought to be very susceptible to being caught in multidimensional saddles. The author hopes that dissemination of the technique and subsequent wider investigation may lead to improvements in the optimization technique and the applicability of the method. Comparison or concatenation of this technique with other numerical calibration methods from the literature is an area deserving of further study.

ACKNOWLEDGMENT The author wishes to acknowledge X. Qin, Agilent Technologies, Santa Rosa, CA, for providing the calibration PCB and the initial cal kit estimate, T. Jamneala, Semiconductor Products Group, Agilent Technologies, Santa Rosa, CA, for occasional advice, D. Root Microwave Technology Center, Agilent Technologies, for comments on this paper’s manuscript, and D. Williams, National Institute of Standards and Technology (NIST), Boulder, CO, for useful discussions on the traceability of standards.

SCOTT: INVESTIGATION OF METHOD TO IMPROVE VNA CALIBRATION IN PLANAR DISPERSIVE MEDIA

REFERENCES [1] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [2] A. Ferrero and U. Pisani, “Two-port network analyzer calibration using an unknown ‘thru’,” IEEE Microw. Guided Wave Lett., vol. 2, no. 12, pp. 505–507, Dec. 1992. [3] R. F. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. 22, no. 3, pp. 282–288, Mar. 1974. [4] D. Williams, “De-embedding and unterminating microwave fixtures with nonlinear least squares,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 787–791, Jun. 1990. [5] D. F. Williams, J. C. M. Wang, and U. Arz, “An optimal vector-networkanalyzer calibration algorithm,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2391–2401, Dec. 2003. [6] T. Jamneala, B. Zaini, and D. A. Feld, “Network analyzer calibration employing reciprocity of a device,” U.S. Patent Applicat. US20 040 160 228 A1, 2004. [7] T. Jamneala, B. Zaini, and D. A. Feld, “Multiport network analyzer calibration employing reciprocity of a device,” U.S. Patent Applicat. 20 040 162 689 A1, 2004. [8] D. Rytting, Network Analyzer Error Models and Calibration Methods. Santa Rosa, CA: Hewlett-Packard Company, Sep. 1998. [9] W. H. Press, B. P. Flannery, S. A. Teukolsky, and W. T. Vetterling, Numerical Recipes in C—The Art of Scientific Computing. Cambridge, U.K.: Cambridge Univ. Press, 1988.

3013

Jonathan B. Scott (S’90–M’97–SM’98) was born in Brisbane, Australia, in 1956. He received the B.Sc., B.E., Master of Engineering, and Ph.D. degrees from the University of Sydney, Sydney, N.S.W., Australia, in 1977, 1979, 1986, and 1997, respectively. Until 1997, he was with the Department of Electrical Engineering, University of Sydney. In 1995, he was a Visitor with University College London, and subsequently a Visiting Lecturer with the University of Western Sydney. He was involved in establishing and subsequently teaching in the Graduate Program in Audio with the School of Architectural and Design Science, Sydney University. He was with Macquarie University where he was involved with nonlinear electronic systems. He was a founder of the Collaborative Nonlinear Electronic Research Facility (CNERF), Electronics Department, Macquarie University. In 1997 and 1998, he was Chief Engineer with RF Technology, Sydney, Australia. He served on committees of the Standards Association of Australia. He is an Honorary Associate of Macquarie University. In 1998, he joined the Hewlett-Packard Company (now Agilent Technologies), and is currently with the Technology Center, Santa Rosa, CA. He has authored 60 refereed publications, several book chapters, patents, and a textbook. Dr. Scott is a Senior Member of the Institute of Electrical and Electronics Engineers (SMIEEE), a Fellow of the Institute of Engineers, Australia, and a member of the Audio Engineering Society (MAES). He was the recipient of a 1993 British Telecom Research Fellowship and the 1994 Electrical Engineering Foundation Medal for Excellence in Teaching.

3014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

An Accurate Waveguide Port Boundary Condition for the Time-Domain Finite-Element Method Zheng Lou, Student Member, IEEE, and Jian-Ming Jin, Fellow, IEEE

Abstract—This paper presents an accurate time-domain waveguide port boundary condition (WPBC) that can be used as a mesh truncation boundary condition to terminate a finite-element mesh. The formulation for deriving the boundary condition is based on multimodal expansion, and thus is related to the modal absorbing boundary conditions developed for the finite-difference time- domain method. The boundary condition is formulated for the general three-dimensional analysis of waveguides with an arbitrary cross section. All waveguide modes, including transverse electromagnetic, transverse electric, and transverse magnetic modes, are accounted for in the boundary condition in a unified formulation. Both propagating and evanescent modes can be properly absorbed. The proposed formulation is validated by numerical examples, and the accuracy and stability of the algorithm are investigated. Index Terms—Finite-element method (FEM), numerical analysis, time-domain analysis, waveguide devices, waveguide port boundary condition (WPBC).

I. INTRODUCTION

W

AVEGUIDE structures have many important applications in radio frequency (RF)/microwave engineering, not only for wave transmission, but also for the design of a variety of novel waveguide devices, such as filters, circulators, and power dividers. In addition, waveguides are often used as feeds to provide excitation to antennas or antenna arrays. Therefore, simulation tools that are capable of accurate and fast analysis of complicated waveguide structures are highly desirable. To this end, the time-domain finite-element method (TDFEM) is well-suited to perform the task. First, the FEM is most efficient for solving interior problems of closed regions. In the waveguide problems, the computation domain is bounded by waveguide walls, with the only open boundaries being the waveguide ports. Second, the FEM allows flexible spatial discretization, and is capable of accurately modeling complicated fine structures inside the waveguide. Finally, broadband frequency responses of a waveguide can be obtained simultaneously from a single time-domain simulation via the fast Fourier transform (FFT). An accurate FEM analysis of waveguide structures requires an appropriate boundary condition imposed on the waveguide port to truncate the mesh. The desired boundary condition should be able to launch an incident wave into the waveguide

Manuscript received December 30, 2004; revised March 16, 2005. This work was supported by a grant from the Air Force Office of Scientific Research via the MURI Program under Contract FA9550-04-1-0326. The authors are with the Center for Computational Electromagnetics, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801-2991 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854223

and, at the same time, absorb the reflected wave from the waveguide discontinuity without any spurious reflection. Moreover, it is desirable to place the port boundary as close to the discontinuity as possible to reduce the computation domain. The perfectly matched layers (PML) have been commonly used as a termination boundary condition in time-domain simulations. However, the original PML is not designed to absorb the evanescent wave, which may be present in the waveguide [1]. A modified formulation of PML has been proposed in [2] to improve its ability to absorb the evanescent wave, but it has also been shown that the performance of the PML deteriorates quickly as the frequency approaches the cutoff frequencies. In contrast to the PML, absorbing boundary conditions (ABC) based on the modal expansion have been proposed to provide a better absorbing performance in both the frequency and time domains. In the frequency domain, the modal expansion on the port interface has been combined with the FEM solution for the interior problem for an accurate waveguide analysis [3]. In the time domain, boundary conditions referred to as modal absorbing boundary condition (MABC) and modal source boundary condition (MSBC) have been developed for the finite-difference time-domain (FDTD) method [1], [4]. The MABC is designed to perfectly absorb multimodal reflection from the waveguide, while the MSBC is capable of generating incident wave, as well as absorbing the reflected wave. Both boundary conditions are based on certain translation operators, which relate the fields on the port plane and a nearby reference plane. The resultant boundary conditions can then be integrated into the explicit time-stepping scheme in an FDTD or a hybrid FEM/FDTD system [5]. However, the application of such explicit boundary conditions in an implicit TDFEM algorithm is not straightforward. In the TDFEM, it is more convenient to enforce the port boundary condition as a third-kind boundary condition (impedance boundary condition) on the port plane. Along this line, some work has been conducted recently. In [6], an approximate port boundary condition has been developed by approximating the actual boundary fields with the fields at the highest frequency of interest. An exact MABC has been introduced for the TDFEM analysis in [7], but the formulation was limited to a two-dimensional (2-D) parallel-plate waveguide for the transverse magnetic (TM) polarization. In this paper, we present a generalized and unified multimode ABC formulated for 3-D vector TDFEM analysis for waveguide structures. The proposed boundary condition, which we refer to as waveguide port boundary condition (WPBC), is capable of perfectly absorbing both propagating and evanescent modes incident on a waveguide port with an arbitrary cross section. The formulation is based on the multimodal expansion in the frequency domain. The electric field on the

0018-9480/$20.00 © 2005 IEEE

LOU AND JIN: AN ACCURATE WAVEGUIDE PORT BOUNDARY CONDITION

3015

port plane is expanded in terms of transverse electromagnetic (TEM), transverse electric (TE), and TM modes, and the corresponding frequency operators that relate the electric field with the magnetic field for individual modes are transformed, respectively, to the time domain to construct a time-domain boundary condition. The resultant boundary condition involves convolutions with impulse responses whose expressions can be found analytically. In Section II, the formulations for the frequency-domain and time-domain WPBC are given, and the stability of the overall WPBC/TDFEM system is studied both analytically and numerically. Numerical examples are presented in Section III to validate the proposed method. Throughout the numerical examples, higher order hierarchical elements are used to accurately extract the modal functions, and to suppress numerical dispersion errors for modeling wave propagation. II. FORMULATION Our objective in this section is to derive the WPBC that is suited as a truncation boundary condition in the time-domain FEM simulation of a general 3-D waveguide structure with multiple ports. On each port, the desired port boundary condition is applied to perfectly absorb the reflected wave from the waveguide discontinuity. The boundary condition is also responsible for introducing appropriate incident waves on the incident ports.

’s are vector basis functionsm and ’s are the where unknown expansion coefficients. Substituting (4) into (2) and testing with basis functions, one obtains the following sparse linear eigensystem: (5) where (6) (7) Once the eigenvalues and the eigenvectors are solved using an eigensolver, the modal function can be easily obtained by (4). Note that the above formulation is valid for TE modes. A modified formulation can be used to compute TM modes [8]. The formulation can also be modified to compute modal functions for an inhomogeneously filled waveguide. B. WPBC in the Frequency Domain In the frequency-domain FEM, the pertinent boundary-value problem is solved by seeking the stationary point of the equivalent variational problem with the functional [8]

A. Mode Calculation It is well known that the electric field on a homogeneous waveguide cross section can be expressed as the superposition of orthogonal waveguide modes (8) (1) where is the modal index, ’s are the modal amplitudes, and ’s are the modal functions. The above expansion is valid in both the frequency and time domain. In the frequency dois frequency-dependent, while in the time domain, main, is a function of time. To compute the modal functions for waveguides with an arbitrary cross section, a numerical method is often resorted to. This can be achieved by solving the following eigenvalue problem: on

where is the operator that satisfies the following third-kind boundary condition on the port surface : (9) which is referred to as the WPBC in this paper. On the waveguide port, the electric field can be expanded with waveguide modes as

(2) (10)

with the boundary condition on

(3)

where denotes the waveguide cross section which is bounded by the perfectly electric conducting (PEC) boundary . In the FEM, the waveguide cross section is discretized into triangular elements, and within each element, the TE field is expanded using vector basis functions as (4)

where , and are the modal amplitudes for the TEM, TE, and TM modes, respectively. Substituting (10) into (9) and making use of the modal orthogonality, one can show that

(11)

3016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

, and are operators associated with the TEM, where TE, and TM modes, respectively. In order to find analytical inand , (20) and (21) are rewritten as versions of (22) (12) where and . Substituting (11) and (12) into (8) and discretizing the entire functional yields a system of linear equations (13) where denotes the unknown vector that consists of the denotes the expansion coefficients of the electric field, incident vector, and

(23) It can be shown that the inverse Laplace transform of (19), (22), and (23) yields the following time-domain operators: (24) (25) (26) where stands for convolution in time. The expressions for the and are given by [9] impulse responses

(14)

(27)

(28) (15) in which is defined as the projection of the modal function onto the basis function (16)

where denotes the unit step function and denotes the Bessel function of order . With the time-domain operators given in (24)–(26), a time-domain boundary condition in the form of (9) can be constructed and incorporated into the FEM system. In the TDFEM solution of the second-order vector wave equation with the boundary condition given by (9), we employ Galerkin’s method and obtain the following weak-form wave equation:

In most applications, we are interested in exciting a dominant mode as the incident wave. In such cases, the expressions for and can be simplified significantly. For example, if the dominant mode is the TEM mode, and can be written as (17) (18)

C. WPBC in the Time Domain The corresponding time-domain WPBC can be derived by taking the inverse Laplace transform of (9). First, let us define the following frequency operators:

(29) In the above equation, it has been assumed that the only excitation to the entire system is imposed through the port surface . If extra sources exist inside the waveguide, additional terms should be added to the right-hand side (RHS) of the equation. Making use of (11) and (24)–(26), the last term in the left-hand side (LHS) of (29) can be written as

(19) (20) (21)

(30)

LOU AND JIN: AN ACCURATE WAVEGUIDE PORT BOUNDARY CONDITION

3017

To simplify the final formulation, we define the following auxiliary vector variables: (31) (32) is the time-domain unknown vector. Combining where (29)–(32) together, and after spatial discretization, the final matrix representation of the system is written as

(33) where (34) (35)

Fig. 1. Reflection coefficient for the TEM mode in an empty coaxial line.

(36)

(37) (38) (39) for TEM mode incidence

(40)

for TE mode incidence where is the incident pulse function. Finally, it remains to discretize the temporal derivatives in (33) using the Newmark-beta method before a time-stepping scheme can be formulated. The resultant time-stepping scheme is an implicit scheme, implying that a linear system of equations is solved at each time and in (33) are full matrices. However, step. Note that since they are only related to the unknowns on the port surface, the overall sparsity of the system is still largely preserved. The proposed WPBC is an exact boundary condition on the port surface if an infinite number of modes are summed in (33). It is desirable, however, to truncate as many higher modes as possible, as long as the remaining modes are sufficient to properly account for the waveguide discontinuity. In the FEM, the highest mode to be included is determined by the resolution of the spatial discretization. Including even higher modes will not improve the numerical accuracy [10]. To test the performance of the proposed time-domain WPBC, we first simulate a small section of an empty coaxial line with the TDFEM. The proposed boundary condition is enforced on the two ports of the coaxial line, and one of the ports is excited with a TEM mode. Ideally, no reflection is expected on the incident port. However, numerical errors will give rise to spurious reflections. We calculate the reflection observed on the incident port as a measure of the performance of the boundary condition. In this example, the inner and outer radii of the coaxial line

Fig. 2. Reflection coefficient for the TE waveguide.

mode in an empty rectangular

are 2 and 5 cm, respectively. Tetrahedral elements are used to discretize the coaxial line, and higher order hierarchical vector basis functions are used to expand the electric field. Fig. 1 shows the power-reflection coefficient as a function of frequency for using different element orders. The result shows that the overall reflection level decreases significantly as a higher element order is used. It should be pointed out that a relatively sparse mesh has been employed for all element orders, and hence, the high reflection level for using lower order elements is mainly due to the numerical dispersion error, which can be reduced by adopting smaller elements or higher order elements. In the next example, we simulate a small section of a rectangular waveguide to test the performance of the proposed boundary condition for the TE and TM modes. The dimension of the cross section is 10 by 5 cm. First, we specify the incident wave to be the lowest TE mode, that is, the TE mode, whose cutoff frequency is 1.5 GHz. Again, we perform the simulation using different element orders. The resultant power-reflection coefficient versus frequency is shown in Fig. 2. Again, we observe that the reflection level is reduced

3018

Fig. 3. Reflection coefficient for the TM waveguide.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

mode in an empty rectangular

as a higher element order is used. Different from the TEM case, the modal eigenvalues and eigenfunctions in this case are computed numerically by the FEM. As a result, additional spurious reflections may appear due to the mismatch between the actual and the numerical propagation constant imposed on the port boundary. But again, we expect this error behaves in a diminishing manner as smaller elements or higher order elements are employed. Finally, we excite the lowest TM mode (TM ) on the incident port. All the simulation parameters remain the same as the TE case, except that the cutoff frequency of the TM mode is now at 3.35 GHz. Fig. 3 exhibits a similar convergence behavior in the reflection coefficient as manifested in Fig. 2, but the overall reflection level is higher in Fig. 3. This is due to the fact that the TM mode has a higher eigenvalue than the TM mode, and thus requires a finer spatial discretization to achieve the same accuracy. D. Impulse Response Now we consider the time-domain impulse response given in (27) and (28). Fig. 4 illustrates the TE and TM impulse m . The discretized responses with an eigenvalue versions of the impulse responses can be precalculated and stored in memory before time stepping. However, the convolutions given in (31) and (32) need to be performed at each time step for each unknown on the port surface. Unfortunately, the convolutions do not allow a recursive formula for a fast evaluation. As a result, the computation time spent on the convolutions increases linearly as time stepping proceeds. Several approaches can be employed to reduce the computation cost associated with the convolution. One simple way is to truncate the impulse response when its value falls below a certain threshold. The impulse response for the TE mode has a beneficial property, in that it decays quickly with time, as indicated in Fig. 4(a). Therefore, it allows a relatively short convolution length after truncation. Fig. 5(a) shows the reflection coefficient for the empty rectangular waveguide with the TE mode incidence obtained by using a truncated version of . In this example, is chosen to be 0.05 ns, and 4096

Fig. 4. Impulse response as a function of time with t : ns. (a) TE case. (b) TM case.

1 = 0 025

k

= 986 96 m :

=

and

time steps are simulated. The impulse response is truncated at the 2200th time step at which its value is 60 dB below its peak value. It is found that the reflection level is comparable to that except for the frequencies obtained by using the original close to the cutoff frequency (1.5 GHz). However, the impulse decays much more slowly response for the TM mode does, and thus requires a much longer convolution than length. Fig. 5(b) shows the reflection coefficient for the TM mode, using a truncated version of truncated also at the 2200th time step. Unlike the TE case, it is apparent that the truncation in the TM impulse response has significantly increased the spurious reflection over the entire frequency range. Although the reflection can be reduced to some extent by applying a tapered window function to the impulse function [1], the truncation error, in general, cannot be neglected. A remedy to the direct truncation approach is to approximate the truncation residual, using the asymptotic expressions for the Bessel functions with large arguments. Based on the asymptotic expressions, a recursive formula can be derived to evaluate the truncation residual efficiently. Finally, an FFT-based

LOU AND JIN: AN ACCURATE WAVEGUIDE PORT BOUNDARY CONDITION

3019

Fig. 6. Time-domain modal amplitude for the empty rectangular waveguide : ns. (a) TE mode. (b) TM mode. calculated with t

1 = 0 75

perform the stability analysis from the energy point of view. First, we remove the excitation term in (33) and multiply the LHS by

(41) We can rearrange the terms in (41) and obtain Fig. 5. Reflection coefficients obtained with truncated impulse responses. (a) TE mode. (b) TM mode.

matrix-blocking scheme can be developed to perform the convolutions in the form of Toeplitz matrix-vector multiplications, which can be accelerated by the FFT [11]. The convolution integrals are discretized using the trapezoidal rule. For higher waveguide modes, the eigenvalue is much larger than that for the lower modes. As a result, the corresponding impulse responses for the higher modes vary more rapidly with time. In order to evaluate the convolution is someaccurately, a temporal discretization smaller than in (31) times necessary. This requires the unknown vector and (32) to be interpolated between adjacent time steps to achieve a finer temporal resolution. E. Stability Analysis It has been proven that an unconditionally stable implicit time-stepping scheme can be formulated if the Newmark-beta is used to discretize the semidiscrete method with TDFEM matrix equation. However, it is necessary to clarify if the introduction of the WPBC affects the stability of the overall FEM system. Following an approach described in [12], we

(42) where (43) (44)

(45) represents the energy stored in the In the above notations, and stand for the dissipated power and system. power passing through the ports, respectively. It is apparent that . To rigorously prove that is also positive is rather complicated. Here, we base our proof on the physical observation that the impedance boundary condition imposed on the ports guarantees that the power is flowing outwards from , and (42) implies the ports. Hence, (46) This indicates that the energy stored in the system (41) is nonincreasing, which leads to the stability of the semidiscrete system.

3020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 7. Generalized S parameter for the waveguide discontinuity problem. (a) TE cutoff frequencies for (a)–(d) are 0.5, 1.397, 1.5, and 1.397 GHz, respectively.

Following the same reasoning, we can show that the discretized energy is also nonincreasing if the Newmark-beta scheme with is used for temporal discretization. In the Newmark-beta scheme

mode. (b) TE

Since

mode. (c) TE

and

mode. (d) TM

mode. Corresponding

, thus (53)

(50)

. This indicates that the discretized enwhere ergy is also nonincreasing, and thus, the fully discretized system is resulting from the Newmark-beta scheme with stable. To demonstrate the stability of the proposed TDFEM/WPBC algorithm, we simulate the empty rectangular waveguide ex. That is, is chosen to ample again, using a much larger be

(51)

(54)

Using (47)–(51) to discretize the temporal derivatives in (41) and (43), we can verify that

where corresponds to the maximum frequency of the inthat can be cident band. This expression gives the largest used to resolve the incident pulse. For the example considered ns, which is 15 times larger than here, (54) yields

(47) (48) (49)

(52)

LOU AND JIN: AN ACCURATE WAVEGUIDE PORT BOUNDARY CONDITION

3021

the used in Figs. 2 and 3. Fig. 6(a) and (b) show the time-domain sequences of the modal amplitude for the TE and TM modes, respectively. The simulation is continued up to 10 000 time steps, and no instability occurs in either case. III. NUMERICAL EXAMPLES In this section, four examples are presented to demonstrated the validity and accuracy of the proposed time-domain WPBC. The waveguide ports are assumed to be closed homogenous ports with an arbitrary cross section. For open waveguide structures, ABC or PML can be imposed as a radiation boundary condition on the outer boundary. Before proceeding to the numerical examples, let us first define the generalized scattering matrix , with its entries given by (55) denotes the modal amplitude for mode at port , where and denotes the modal amplitude for mode at port . With this definition, the matrix can be used to fully describe the scattering properties of a multiport waveguide. A. Waveguide Discontinuity The first example is a waveguide-discontinuity problem. The structure is constituted by connecting two rectangular waveguides with dissimilar cross-section dimensions. The dimensions are 30 by 23 cm for the larger waveguide, and 20 by 13.4 cm for the smaller waveguide. The TE mode is incident on the port with the larger cross section. Both waveguides are discretized using mixed second-order tetrahedral parameters for different modes elements. The generalized versus frequency are plotted in Fig. 7(a)–(d). The calculation is performed between 0.5 and 1.5 GHz. The WPBC, including up to 20 TE modes and 10 TM modes, is applied to both ports. Due to the symmetry of the structure and the excitation, coefficients. only a few waveguide modes have significant Fig. 7(a)–(d) shows the amplitudes of the parameters for the TE TE TE , and TM modes, respectively. The result is compared with the analytical solution obtained by applying the mode-matching method on the discontinuity interface. In the mode matching, the aperture field is expanded using 35 TE modes and 21 TM modes. Noticeable differences between the TDFEM and mode-matching results are observed for the frequencies near the cutoff frequencies of certain modes. For these frequency components, the electromagnetic wave experiences multiple reflections between waveguide walls as it slowly propagates through the waveguide, a behavior similar to the resonance phenomenon in the cavity. In the time-domain simulation, this implies that a long simulation time is necessary to accurately capture these frequency components. However, for the frequency bands away from cutoff frequencies, good agreement between the TDFEM and the mode matching is observed. B. Ridge Waveguide Filter The next example is a ridge waveguide filter, whose geometry is shown in Fig. 8(a). The filter is constructed by cutting small

Fig. 8. Ridge waveguide filter. (a) Geometry and dimensions. (b) Frequency response of the S parameter.

gaps into the metal ridge of a ridge waveguide. The entire waveguide is filled with a dielectric having . Mixed second-order tetrahedral elements are used to discretize the entire structure. In the gap regions, an overly refined mesh is necessary to properly model the strong local resonances. The frequency response of the parameter between 5 and 10 GHz for the dominant mode is plotted in Fig. 8(b). Within this frequency band, the dominant mode is propagating, but all the higher modes are cut off. As a reference, the frequency-domain FEM result published in [3] is also plotted. As shown in the figure, the two results are almost identical to each other. C. Cavity Resonator Another microwave waveguide device we have analyzed is a circular cavity resonator. The device, sketched in Fig. 9(a), consists of a circular waveguide which is linked to the inport and outport rectangular waveguides through two rectangular slots. Full-wave numerical analysis has been performed using various numerical methods, including the frequency-domain FEM [3] and the combined mode-matching FEM (MM-FEM) [13]. In our TDFEM simulation, we discretize the cavity and the waveguides using mixed second-order tetrahedral elements, and apply the WPBC to the two rectangular ports. The frequency response of the parameter for the device is shown in Fig. 9(b). The frequency-domain FEM result obtained by using second-order prism elements is also plotted in Fig. 9(b) for comparison. Since the structure is highly resonant, a very long simulation (16 000 time steps) has been performed to fully capture the late-time response. It is noteworthy that in such situations, signal processing techniques such as Prony’s method can be

3022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 9. Circular cavity resonator. (a) Geometry and dimensions. (b) Frequency response of the S parameter.

employed to extract the frequency-domain parameters without requiring excessively long simulations in the time domain. D. Monopole Antennas As our last example, we perform the TDFEM simulation of two antenna structures: a cylindrical and a conical monopole antenna. The detailed geometry and dimensions are given in Figs. 10(a) and 11(a). Both antennas are fed by a coaxial line with a TEM mode incidence. The coaxial line is terminated by the WPBC at a certain distance below the ground plane. The open computation domain above the ground plane is truncated by a hemispherical surface on which the first-order ABC is imposed to absorb the radiated fields. Accurate analysis and measurement of the same antenna structures have been reported in [14], and the results are used here as reference data. The reflection coefficients calculated on the coaxial port are shown in Fig. 10(b) for the cylindrical monopole antenna, and Fig. 11(b) for the conical monopole antenna. The TDFEM results are found to be in good agreement with the measured data published in [14] for both cases.

Fig. 10. Cylindrical monopole antenna. (a) Geometry and dimensions: a = 1 mm, b = 2:3 mm, h = 32:8 mm. (b) Time-domain reflection coefficient at the coaxial port ( = h=c).

IV. CONCLUSION We have presented a time-domain multimode ABC that can be used to truncate a FEM mesh on a waveguide port. The boundary condition is derived by first expanding the waveguide modes in the frequency domain, and then transforming the corresponding frequency-domain operators into the time domain. The combined TDFEM/WPBC system is derived, and the final matrix representation is found to be simple and straightforward for numerical implementation. The accuracy of the WPBC is examined by calculating the spurious reflection from an empty waveguide. It is shown that the spurious reflection is mainly due to the numerical dispersion, which can be reduced by employing either smaller or higher order elements. Further, analytical and numerical stability analyses show that the introduction of the WPBC to the TDFEM system does not affect the stability of the overall system. Finally, we have presented a series of numerical examples, and in all the cases, the TDFEM results agree well with analytical solutions, numerical results by frequencymethods, and measured data. The proposed method is expected to find wide applications in the numerical simulation of a variety of waveguide structures.

LOU AND JIN: AN ACCURATE WAVEGUIDE PORT BOUNDARY CONDITION

3023

[9] M. Abramowitz and I. Stegun, Handbook of Mathematical Functions. New York: Dover, 1970. [10] Z. Lou and J. M. Jin, “Higher-order finite element analysis of finite-byinfinite arrays,” Electromagn., vol. 24, no. 7, pp. 497–514, Oct. 2004. [11] A. Yilmaz, D. Weile, B. Shanker, J. Jin, and E. Michielssen, “Fast analysis of transient scattering in lossy media,” IEEE Antennas Wireless Propagat. Lett., vol. 1, no. 1, pp. 14–17, Dec. 2002. [12] F. Edelvik, G. Ledfelt, P. Lotstedt, and D. Riley, “An unconditionally stable subcell model for arbitrarily oriented thin wires in the FETD method,” IEEE Trans. Antennas Propagat., vol. 51, no. 8, pp. 1797–1805, Aug. 2003. [13] J. Montejo-Garai and J. Zapata, “Full-wave design and realization of multicoupled dual-mode circular waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1290–1297, Jun. 1995. [14] J. Maloney, G. Smith, and W. Scott, “Accurate computation of the radiation from simple antennas using the finite difference time-domain method,” IEEE Trans. Antennas Propagat., vol. 38, no. 7, pp. 1059–1068, Jul. 1990.

Zheng Lou (S’05) was born in Wuhan, Hubei, China, in 1978. He received the B.E. degree in electrical engineering from the University of Science and Technology of China (USTC), Hefei, China, in 2001. He is currently working toward the Ph.D. degree in electrical engineering at the University of Illinois at Urbana-Champaign. Since 2002, he has been a Research Assistant with the Center for Computational Electromagnetics at the University of Illinois at Urbana-Champaign. His research interests include numerical simulation of antennas and microwave devices, time-domain finite-element methods, and periodic structures. Mr. Lou received the Raj Mittra Outstanding Graduate Research Award from the Department of Electrical and Computer Engineering in 2005.

Conical monopole antenna. (a) Geometry and dimensions: a = 1 mm, = 30 ; h = 23:1 mm, h = 2:0 mm. (b) Time-domain reflection coefficient at the coaxial port ( = h=c). Fig. 11.

b

:

= 2 3 mm,

REFERENCES [1] F. Alimenti, P. Mezzanotte, L. Roselli, and R. Sorrentino, “Modal absorption in the FDTD method: A critical review,” Int. J. Numer. Model., vol. 10, pp. 245–264, 1997. [2] J. Berenger, “Application of the CFS PML to the absorption of evanescent waves in waveguides,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 218–220, Jun. 2002. [3] J. Liu, J. M. Jin, E. K. N. Yung, and R. S. Chen, “A fast, higher order three-dimensional finite-element analysis of microwave waveguide devices,” Microw. Opt. Tech. Lett., vol. 32, no. 5, pp. 344–352, Mar. 2002. [4] F. Alimenti, P. Mezzanotte, L. Roselli, and R. Sorrentino, “A revised formulation of modal absorbing and matched modal source boundary conditions for the efficient FDTD analysis of waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 50–59, Jan. 2000. [5] T. Rylander and J. Jin, “Stable coaxial waveguide-port algorithm for the time-domain finite-element method,” Microw. Opt. Tech. Lett., vol. 42, no. 2, pp. 115–119, Jul. 2004. [6] D. Sun, J. Lee, and Z. Cendes, “The transfinite-element time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2097–2105, Oct. 2003. [7] T. Loh and C. Mias, “Implementaion of an exact modal absorbing boundary termination condition for the application of the finite-element time-domain technique to discontinuity problems in closed homogeneous waveguides,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 882–888, Mar. 2004. [8] J. M. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2003.

Jian-Ming Jin (S’87–M’89–SM’94–F’01) received the B.S. and M.S. degrees in applied physics from Nanjing University, Nanjing, China, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, in 1989. He is a Professor of Electrical and Computer Engineering and Associate Director of the Center for Computational Electromagnetics at the University of Illinois at Urbana-Champaign. He has authored and coauthored over 150 papers in refereed journals and 15 book chapters. He has also authored The Finite Element Method in Electromagnetics (New York: Wiley, 1st ed. 1993, 2nd ed. 2002) and Electromagnetic Analysis and Design in Magnetic Resonance Imaging (Boca Raton, FL: CRC, 1998), coauthored Computation of Special Functions (New York: Wiley, 1996), and coedited Fast and Efficient Algorithms in Computational Electromagnetics (Norwood, MA: Artech House, 2001). His current research interests include computational electromagnetics, scattering, and antenna analysis, electromagnetic compatibility, bioelectromagnetics, and magnetic resonance imaging. His name is often listed in the University of Illinois at Urbana-Champaign’s List of Excellent Instructors. He was elected by ISI as one of the world’s most cited authors in 2002. Dr. Jin is a member of Commision B of USNC/URSI and Tau Beta Pi. He was a recipient of the 1994 National Science Foundation Young Investigator Award and the 1995 Office of Naval Research Young Investigator Award. He also received the 1997 Xerox Junior Research Award and the 2000 Xerox Senior Research Award presented by the College of Engineering, University of Illinois at Urbana-Champaign, and was appointed as the first Henry Magnuski Outstanding Young Scholar in the Department of Electrical and Computer Engineering in 1998. He was a Distinguished Visiting Professor in the Air Force Research Laboratory in 1999. He served as an Associate Editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and Radio Science, and is also on the Editorial Board for Electromagnetics and Microwave and Optical Technology Letters. He was the Symposium Cochairman and Technical Program Chairman of the Annual Review of Progress in Applied Computational Electromagnetics in 1997 and 1998, respectively.

3024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Systematic Analysis of the Offset-PLL Output Spur Spectrum Ching-Feng Lee and Song Tsuen Peng, Fellow, IEEE

Abstract—This paper presents a mechanism that explains exactly the cause of spurs at the output of offset-PLL (OPLL) transmitters. By a rigorous analysis of the relationship between the signals and disturbances in OPLL, accurate formulas are obtained which elucidate systematically the intermodulation nature of the output spur spectrum. From the analysis, it is shown that in practical situations the OPLL spurs are due to the disturbances from the voltage-controlled oscillator leakage, the local oscillator leakage, and the image signal, rather than other spurious products of the offset mixer in the loop as previously explained. The formulas give insight into OPLL circuit design and frequencies accommodation and clearly depict the output spur distribution in the more realistic situation when the input is modulated. Finally, the formulas are verified by some elaborate experiments with extensive numerical results. Index Terms—Intermodulation, leakage, local oscillator (LO), offset mixer, offset-PLL (OPLL), spurs, voltage-controlled oscillator (VCO).

I. INTRODUCTION

F

OR THE global system for mobile communications (GSM) applications, a mixer in the loop, as illustrated in Fig. 1, is widely used. The main advantage of an offset-PLL (OPLL) is that it up-converts the modulated IF signal to the RF carrier frequency and meanwhile shapes out the broad-band phase noise modulator by the inherent loop filtering [1]–[5]. Usuof ally, when a constant-frequency signal is applied to the input of OPLL, spurious products also appear along with the desired fundamental at the voltage-controlled oscillator (VCO) output. Although the phenomenon had been observed in previous papers [1]–[4], no reasons were interpreted clearly. In this paper, we propose a new mechanism that explains exactly the cause of spurs in the realistic IC operating conditions. By the rigorous analysis of the relationship between the signals and disturbances in OPLL, the phenomenon of spurs can be accurately predicted in a systematic way. Formulas derived from the proposed mechanism provide insight into circuit design and frequency planning in OPLL. Besides, the spur distribution in the case of input modulation can also be evaluated intuitively from the viewpoint of the proposed mechanism. This paper is organized as follows. Section II first reviews the previous works related to the spur spectrum and then explains the cause of spurs in the realistic situation. A term called Manuscript received December 13, 2004; revised March 19, 2005. This work was supported in part by the Industrial Technology Research Institute, Taiwan, R.O.C. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsin-Chu 310, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854221

Fig. 1. Block diagram of the OPLL.

residual frequency is shown to be the consequence of the relationship between the reference IF and the feedback disturbance in a locked PLL. In Section III, the predicting formula for the output spur spectrum is rigorously derived. The pulsewidth equation of the disturbance is solved and the concept of sampling theorem is applied to deal with the spectrum problem. Various nonideal situations such as the dead zone and mismatches in the circuits are discussed. The spur spectrum in the situation with some disturbances coexisting is also captured by solving the general pulsewidth equation. Formulas to determine suitable IF frequencies for spur suppression are then proposed. In Section IV, the spur distribution in the case of input modulation in GSM is conceptually demonstrated and the strength reduction in spurs due to the modulation is explained. Both experimental and numerical results are given in Section V to verify the derived formulas. Also, the strength of the disturbance that cannot be probed from outside is estimated by the formulas. Finally, the conclusion is given in Section VI. II. REVIEW OF PREVIOUS WORKS AND FUNDAMENTALS OF THE CAUSE OF SPURS In the fairly few papers that talked about OPLL output spurs, the phenomenon was investigated by computer simulation only. In [2], the phase frequency detector (PFD) in the loop is treated as an ideal multiplier and the harmonic balance simulation is applied to convolute the IF, VCO, and local oscillator (LO) frequencies for all of the possible spurs. In [3], the open-loop dc conversion gain of the PFD loop filter is simulated at various IF harmonics, and the gain plot is interpolated to depict spurs. Since in both approaches the behavior of the PFD is not thoroughly understood, neither the cause of spurs were systematically explained, nor could any idea be given to predict their distribution in the realistic application when the input is modulated.

0018-9480/$20.00 © 2005 IEEE

LEE AND PENG: SYSTEMATIC ANALYSIS OF OPLL OUTPUT SPUR SPECTRUM

Fig. 2.

3025

Phase deviation caused by a small disturbance.

Fig. 3. Demonstration of the periodicity of phase-offset function.

For the better understanding of the cause of spurs, the operation principle of OPLL is described as below. As designated in Fig. 1, when OPLL is locked to a sinusoidal input at “a” in its steady state, the zero-crossing points of the feedback signal at “b” are coincident with those of the input. VCO is now controlled by a dc voltage and presents an RF sinusoidal output. In real situations, however, some disturbances coexist with the locked down-converted fundamental; therefore, the zero-crossing points of the fundamental signal are perturbed and deviate from those of the input reference, as shown in Fig. 2, where we assume that only one disturbance exists in the loop. This deviation series is sensed by PFD, and a train of current pulses is generated to feed the loop filter. As will be shown later, the deviation series presents periodicity and possesses specific frequency components. The VCO is thus modulated as in FM application and generates spurs at the output. The periodicity of the zero-crossing deviations that are sensed at the rate of reference frequency can be checked using the frequency relation between the reference signal and feedback disturbances. For the case of a single disturbance, it is written as

the zero-crossing points of the reference signal and disturbance . Apparently is the are assumed to coincide at required minimum number of reference-signal cycles to overlap their zero-crossing points again. It is noted that (2) can be interpreted as that, in one unit time cycles of reference signal have passed, there interval where are exactly excessive cycles of disturbance elapsed. This imraplies that the phase-offset function experiences a total dian of variation in this unit time interval and thus elucidates that the phase-offset function, i.e., the zero-crossing deviation series, is indeed a periodic function of time that contains a frequency component . In Section III, the spectrum of the generated current pulses is strictly analyzed. As will be found, it contains not only the . frequency component but also its various harmonics at Besides, due to the equivalent sampling effect inherent in the . loop, it also contains components at

(1)

In OPLL, input reference and feedback signals are in general fed to a high gain limiter before their differences in phase are extracted by PFD. Thus, current pulses caused by the zerocrossing deviations can be assumed to be ideal pulses with constant amplitude. A general aspect of these pulses for the case is an integer multiple of the residual frequency is dethat picted in Fig. 4, where the width of each pulse corresponds to the phase error between the perturbed feedback signal and the input reference. The width and sign of each pulse can be determined from the following two classified relations. Fig. 5(a) describes a condi, where tion when is an integer. In this condition, the disturbed signal leads the

is a positive integer and . The is where called the residual frequency. To view the relation in terms of phase offsets between them, (1) is rearranged as (2) where is the total phase offset in terms of time in one reference-signal cycle. can be reduced to where and are The ratio coprime. Obviously, the period of this phase-offset function is . This is indicated in Fig. 3, where is set to and

III. SPECTRUM ANALYSIS OF SPURS A. Pulsewidth Equation

3026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

According to Fig. 5, zero-crossing points of the disturbed feedback signal satisfy the equation (3) where is the amplitude of the feedback fundamental signal, is the amplitude of the disturbance, and Fig. 4.

Ideal current pulses caused by the disturbance.

(4) In (4), is the beginning of feedback fundamental in every cycle, is the beginning of a disturbance cycle preceding and is the difference between and the zeronearest to crossing of perturbed feedback signal at its rising-edge, and is the corresponding zero-crossing deviation around the beth reference-signal cycle, where ginning of the . Besides, is the initial time offset between the disturbance and the fundamental feedback signal. and, in Fig. 5(b), . Notice that, in Fig. 5(a), and the relation in (4), (3) can Using the fact that be rearranged as (5) where

, and . Equation (5) is the pulsewidth equation. It is solved in Appendix A by the method of power series approximation. The solution , i.e., the pulsewidth function, is approximated as (6) where

Fig. 5. Detailed phase relation between the reference and disturbed feedback signals. (a) The lead condition. (b) The lag condition.

and

for is negligible for small . Equation (6) declares that widths of pulses determined at discrete time instants follow a time function with components at the residual frequency and its harmonics. B. Spectrum of Spurs

reference and generates a positive pulse. The lag condition that produces a negative pulse is shown in Fig. 5(b), where . Note that in realistic situations the fundamental signal is much larger than the disturbance, so in each cycle the rising edge of the disturbed signal crosses the zero only once, as depicted in Fig. 5.

The spectrum of this periodic pulse series can be analyzed by the concept of the sampling theorem as explained below. First review that the Fourier transform of a narrow pulse for a short duration is (7)

LEE AND PENG: SYSTEMATIC ANALYSIS OF OPLL OUTPUT SPUR SPECTRUM

3027

Fig. 6. Impulse sequence that approximates the pulse series in Fig. 4. Fig. 7. Pulse sequence due to the finite gain of the charge pump.

Thus, in the frequency range , its spectrum almost behaves like an impulse of strength . This indicates that, in the low-frequency band in which we are interested, the spectrum of the pulse sequence illustrated in Fig. 4 is almost equal to the spectrum of an impulse series with the corresponding strengths as shown in Fig. 6. In other words, in its low-frequency band, the spectrum of the original pulse sequence almost equals the spectrum of the pulsewidth function that is obtained by sampling the continuous function on the right-hand side of (6) at a rate of . As derived in Appendix B, in the low-frequency band in which we are interested, the original periodic pulse sequence can be approximated as

(8) where is the curand are large positive integers. rent pulse amplitude, and Equation (8) clearly shows that the pulse sequence contains frequency components at in its low-frequency band. Note that, in general, these disturbing components are not very large and those with frequencies beyond the bandwidth of the loop filter are to be further attenuated. This implies that the output carrier of the VCO is modulated by a lot of signals with tiny modulation indexes [7] so that the generated spurs are only visible at the following frequency offsets from the output carrier:

(9) where and . Equation (9) systematically predicts the frequency positions of spurs. Note that the result is similar to the common intermodulation phenomenon usually encountered in nonlinear circuits. However, in OPLL, it is stemming from a totally new mechanism. Note also that, among all of the miscellaneous spurs preare nosented in (9), only the components with coefficient ticeable. This is evident since in real cases the signal-to-noise is quite large, so the components in (8) with ratio (SNR) coefficients other than are very weak and only generate tiny spurs with strengths below the noise floor of VCO. Thus, generand ally speaking, at the output of OPLL, only the spurs at offsets are observed.

It is noted that, for the spur located out of the loop bandwidth, of this spur to the VCO output carrier is the strength ratio

(10) where is the Bessel function of order [9], is the equivalent modulation index of the corresponding component at in the is the response of the loop filter at , and pulse sequence, is the gain of the VCO. For spurs located inside the loop bandwidth, the feedback effect must be considered. As analyzed in Appendix D, considering the closed-loop effect, the strength ratio can be approximated as (11) In practical OPLL circuits, a charge pump never generates ideal current pulses due to the finite gain of transistors. As illustrated in Fig. 7, the amplitudes and shapes are nonlinearly dependent on the zero-crossing deviations and the asymmetry in each pulse is due to the unequal turning-on and -off times of the current sources in the pump. Therefore, the spectrum derived above must undergo a further strength adjustment and slight amplitude modulation to reflect this realistic condition. Besides, the inevitable dead zone of the PFD will decrease the width of the pulse to some extent or even swallow it. However, the dead zone also causes the feedback fundamental to drift randomly around the ideal locked state [8], so the effect of dead zone on the pulse sequence must be evaluated from an average point of view. Apparently, on average, the width of each pulse is to be reduced due to this effect. Two other situations are the input offset of the limiter and the mismatch between the charge and sink currents in the charge pump, both of which are resulting from the process, layout, and design mismatches. These effects will cause amplitude unbalance between the positive and negative pulses offsets from the output and generate additional spurs at carrier. C. Spurs in the Case of Multiple Disturbances in the Loop All of the derivations above consider a single disturbance only. In realistic situations, some disturbances may coexist in OPLL such as the VCO leakage, the LO leakage, the undesired , and other intermodulation terms due to image at

3028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

the nonlinearity of the offset mixer in the loop. The spur distribution in this complex situation can be described by the general pulsewidth equation (12) and are the amplitude and phase offset of the diswhere and are the amplitude and turbance at frequency is the residual frequency of the feedback fundamental signal, in reference to , and specifies the time in frequency of an interval designated by the index as detailed in Appendix C. As derived in Appendix C, the general pulsewidth function almost equals the superposition of respective pulsewidth functions of the presenting disturbances. Obviously, the noticeable spurs in this complex situation will be located at and offsets. However, as for the disturbances in practical OPLL circuits, the VCO leakage, the LO leakage, are usually much larger than the and the image at RF-band intermodulation products of the offset mixer. On the other hand, those intermodulation products of the mixer such and , which fall into the low as frequency band and receive not so much attenuation by the following low-pass filter, are apparently high-order terms with slight strengths only. Besides, the residual frequencies of these terms are all zero, i.e., they always keep constant phase differences with the reference input. Therefore, these disturbances offsets that are obviously far only generate spurs at away from the signal band. D. Methods to Suppress Spurs 1) Frequency Planning: Let denote the residual frein reference to . Then it is now clear that, quency of in practical OPLL circuits, the appreciable spurs will occur at , and offsets from the output carrier since shares the same residual frewith and the residual frequency of the image quency is . Thus, for spurs not to appear within at offsets in the interested low-frequency band bounded by which , the should satisfy (13) Equation (13) can be rearranged as (14) Equation (14) can be used to figure out suitable reference frequencies for OPLL so that no spurs could appear in the region close to the carrier. For the GSM band from 880 to 915 MHz, if no spurs are allowed within the range bounded by 4-MHz offsets from the output carrier, then from (14) the suitable is located in the range from 83.5455 to 83.619 MHz. If the forbidden region of spurs is bounded by 10-MHz offsets, should fall into the range from 102.78 to 102.94 MHz. When spurs only appear at positions far away from the output carrier,

Fig. 8. Phase relation of the modulated input and the disturbed feedback in the phase-tracking process and the change of the actuated pulse in width.

their strengths are much more reduced because of the greater attenuation provided by the loop filter and the smaller modulation index of the disturbing component. 2) Circuit Design and Layout Considerations: To get rid of spurs thoroughly, the notorious disturbances such as the leaked LO and VCO signals from the mixer and the image at must be suppressed effectively. This requires reducing the layout and signal mismatches in the offset mixer [7] and the extensive attenuation at the RF band in the low-pass filter. Note that, in real situations, the external VCO usually provides a single output only, thus to reduce the mismatch the VCO signal should be converted to well-balanced ones before being fed to the balanced-type mixer. Reducing the gain of the limiter also suppresses spurs to some extent, but at the cost of desensitizing the phase-tracking capability of the OPLL. Decreasing the amplitude of the current sources in the charge pump reduces directly the strength of the spurs. However, this will decrease the loop bandwidth, i.e., the output frequency of the VCO will take a longer time to settle when OPLL changes the LO frequency to select another transmission channel. IV. OUTPUT SPUR SPECTRUM FOR THE INPUT MODULATION CASE IN GSM For the realistic application when the input is modulated, the spur distribution can also be evaluated by the proposed mechanism. Suppose the loop is under control. Then the downconverted feedback signal tracks the modulated input quite well except that some phase difference exists so that the PFD is actuated to up-convert the phase information in the input to VCO output. If in this phase-tracking process there is also a disturbance presenting, the duration of the original actuated pulse will change a little, as shown in Fig. 8. Obviously the net pulse is the superposition of the original actuated pulse and the perturbing pulse caused by the disturbance. Thus, the spur distribution can be figured out by calculating the spectrum of this perturbing pulse sequence alone. Note that, for GSM applications, the data rate is much lower than the intermediate

LEE AND PENG: SYSTEMATIC ANALYSIS OF OPLL OUTPUT SPUR SPECTRUM

Fig. 9.

3029

Microphotograph of the Offset-PLL.

frequency, so the original down-converted signal, the VCO can all be approximated leakage, and the image at as pure sinusoids at any instant in this phase-tracking process. Thus, the concept in Section II is still applicable. Suppose now that the instantaneous frequency of the modulated input ; then the VCO frequency is and is their relation can be written as

Fig. 10. Measured OPLL output spur spectrum with IF = 45:5 MHz and LO = 958 MHz.

(15) , and . Compared with (2), we can see that, when the instantaneous to frequency of the modulated input varies from , the residual frequency of the leaked VCO disturbance will vary correspondingly in the following frequency range: where

(16) in this design, if Similarly, since , the residual frequency of the image disturbance will vary in the frequency range

Fig. 11. Measured OPLL output spur spectrum with IF = 45:5 MHz and LO = 950 MHz.

(17) Obviously, now that the residual frequencies are also modulated, the strength of spurs decreases. Indeed, compared to that in the case of applying a sinusoidal input, the strength will be decreased by more than 10 dB when the input is GMSK modulated in GSM applications. This is evident since, compared to the sinusoid-input case, there is about 10 dB down in the fundamental output power level in this GMSK-modulation case. V. OPLL OUTPUT SPUR MEASUREMENTS AND VALIDATION As explained previously, when sinusoidal IF is applied, the practical OPLL circuit presents output spurs at the following offsets: (18) 1 or 2 and is any integer not very large. where In the following, experimental results are demonstrated to validate the proposed predictions. The measured OPLL circuit is fabricated in the TSMC 0.35- m SiGe BiCMOS technology with the microphotograph shown in Fig. 9.

Fig. 12. Measured OPLL output spur spectrum with IF = 45:5 MHz and LO = 935 MHz.

In the measurements shown in Figs. 10–12, the reference signals are all set to 45.5 MHz and the LO frequencies are 958, 950, and 935 MHz, respectively. The corresponding RF VCO

3030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

TABLE I SPUR POSITIONS SHOWN IN FIGS. 10–12 AND THE PREDICTIONS IN (18)

signals are then at 912.5, 904, and 889.5 MHz with their respective residual frequencies at 2.5, 40, and 25 MHz. As listed in Table I, all of the spurs marked in these figures can be exactly predicted by (18). Note that, in these measurements, the spurs at 45.5 MHz offset are also observed. It is mainly due to circuit mismatches as explained previously and can be included in (18) by setting and . Note also that, in the experiments, the maximum output power of VCO is in fact about 10 dBm. To show up any tiny spurs on the monitor, the maximum power level on the original display is set to 0 dBm. Due to the signal-processing problem in the instrument, this clamp also generates a very little spur at about 30-MHz offset in the measurements. To clearly observe the scattering phenomenon of a single spur due to the input modulation, the unbalanced VCO output signal is fed to the offset mixer in experiments. This results in severe disturbance problems in OPLL. Fig. 13 shows the output spectrums in this setting before and after input modulation. Note that, in this situation, the VCO leakage is much larger than the LO leakage, thus the disturbances in OPLL are mainly from the leaked VCO signal and the image signal at . In Fig. 13(a), LO is set to 958 MHz and the input IF before modulation is at 45.5 MHz. The nearest spurs at 2.5 MHz apart from the carrier are apparently due to the VCO leakage since MHz MHz. In GSM applications, the maximum frequency deviation almost equals to 0.068 MHz [13]. Thus, according to (16), with and MHz, the spurs at 2.5 MHz will, roughly speaking, be scattered over 1.292 MHz around their original positions by the input modulation. As illustrated, the distribution of the spectrum pollution on the left-hand side ends at about a 1.2-MHz offset from the carrier. Apparently, the difference between this position to the nearest spur on the left-hand side of the carrier is 1.3 MHz. This value is quite close to the predicted 1.292 MHz. Similarly, in Fig. 13(b), the LO is set to 934.9 MHz and the IF before modulation is again at 45.5 MHz. Obviously, the nearest spurs at 4.3 MHz apart from the carrier are due to the image disturbance since MHz MHz and . According to (17), the modulation will roughly scatter the spurs over 2.652 MHz around their original positions. The observed difference between the position of the nearest spur on the left-hand side of the carrier and the frequency position where the distribution of the spectrum pollution ends on the left-hand side of the carrier is 2.7 MHz, again following the predicted 2.652 MHz quite well.

Fig. 13. Comparison of the measured OPLL spur spectrums before and after GMSK modulation at the input. (a) With IF = 45:5 MHz and LO = 958 MHz. (b) With IF = 45:5 MHz and LO = 934:9 MHz.

To evaluate the amplitude of spurs from the derived formula, we perturb the sinusoidal IF by an external disturbance. Note that the spurs appearing at the output are generated in the same mechanism as proposed in Section II, because now PFD also senses a periodic phase-deviation series. As shown in Fig. 14,

LEE AND PENG: SYSTEMATIC ANALYSIS OF OPLL OUTPUT SPUR SPECTRUM

3031

with both sinusoidal and modulated IF are demonstrated to validate the derived formulas with excellent agreement. APPENDIX A Equation (5) can be expanded at mula and approximated as

Fig. 14.

using Taylor’s for-

(19)

Comparison between the measurement and the evaluation in (10) with

I = 2 mA, jG (5 MHz)j = 78 ; K = 2 2 23:5 MHz/V, IF = The solution = 915 MHz, and f = 5 MHz. 45:5 MHz, f

in (19) can be approximated as (20)

where

, and .

Note that

Fig. 15.

In-band behavior of spurs obtained from measurement and (11) with

= 2 mA and 5:2 mA. Disturbance frequency sweeps from 910.5 to 915 MHz and IF = 45:5 MHz. The power ratio of disturbance to reference I

is set to

050 dB.

(21)

the measured out-of-band spurs are about 8.3 dB larger than that expected. The discrepancy is due to the long turning-off time in the charging and sinking current pulses that makes the equivalent strength of each pulse about 2.6 times its original setting. The slower turning-off speed in each current pulse results from the inefficient discharge path in the pump driver. The increasing in the strength of current pulses also extends somewhat the loop bandwidth. The phenomenon is shown in Fig. 15, where the closed-loop behavior of spurs is measured and compared with ’s. the result in (11) with different The strength of disturbances delivered to the limiter can now be estimated from the measured Spurs 1 and 2 in Fig. 10 and (11) by using equivalent mA. After some algebraic operation, the power ratio of VCO leakage and image to the feedback signal at the limiter are found to be about 51.8 and 55.85 dB. This indicates the RF-band suppression by the lowpass filter is still not sufficient in this design. However, since the circuits are integrated in a silicon substrate, there are also many paths for the high-frequency disturbances to leak to the limiter and the PFD, and thus the layout also needs much attention. VI. CONCLUSION In this paper, we present a new mechanism, by which valuable formulas are derived to predict the frequency positions of output spurs exactly and systematically. Some inherent characteristics are identified to be the foundation of the intermodulation nature of the output spur spectrum and methods are proposed to suppress the spurs from the viewpoint of circuit design and system-level considerations. Finally, the experimental results

(22)

3032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 16.

Situation with two disturbances coexisting.

Since , the coefficient low-frequency band can be approximated as

of

in the

(25) where integers and satisfy . The representation at the right-hand side of (25) is just the th Fourier series coefficient of the function sampled at a rate of . The corresponding low-frequency signal is

(26) The Fourier transform of

therefore is

(23) When (21), obtained.

(22), and (23) are summed together, (6) is

(27)

APPENDIX B The Fourier series of total pulse sequence in Fig. 4 can be represented as

as depicted

is the Fourier transform of where can be approximated as in (8).

. Thus,

APPENDIX C

(24) where and

For clarity, we assume that there are now two disturbances presenting as shown in Fig. 16. Then, the zero-crossing points of the disturbed feedback signal satisfies the following equation:

for negative pulses, for positive pulses.

(28)

LEE AND PENG: SYSTEMATIC ANALYSIS OF OPLL OUTPUT SPUR SPECTRUM

3033

(30)

is the amplitude of the disturbance , and . Note that now the zero-cross point of the disturbed feedback cycle of the disturbance signal may not be located in the . Following the same procedures in Appendix A, (28) can be approximated as

where

and . According to (8) and the frequencies concept in Appendix C, we find that, for the original disturbance . Thus, the power ratio in (11) can be obtained. Note that the derivations above assume that the spurs at are dominant and the feedback of other spurs is negligible.

(29) ACKNOWLEDGMENT where , and . Note that is the least common multiple of and in which and . Thus, we have (30), shown at the top of this page. Following the procedures similar to (21)–(23), it is not hard to find that the solution can be represented as

(31) where ’s are what’s listed in (6) and ’s represent the intermodulation coefficients which are quite small when evaluated at the operating variables , and .

APPENDIX D Referring to Fig. 1, let the reference at “a” be and assume a locked state the low frequency signal at “c” is , then the signal at “d” is where is the gain of loop filter, and the signal at “e” is , which equals . Assume that the LO signal is and the conversion gain of the mixer is , and then the total signal at “b” is the disturbance plus , , and the gain of where the low-pass filter is assumed to be for signals at

C. F. Lee would like to thank T. Yang, J.-M. Hsu, S. Su, S.-Jean Liao, P. Su, and J.-L. Liu, ITRI, Hsinchu, Taiwan, for their kind assistance.

REFERENCES [1] G. Irvine, S. Herzinger, R. Schmidt, D. Kubetzko, and J. Fenk, “An up-conversion loop transmitter IC for digital mobile telephones,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 1998, pp. 364–365. [2] J. Dekosky, F. Martin, and J. Rollman, “Offset PLL analysis can cut spurious levels,” Microw. RF, vol. 38, no. 11, pp. 107–112, Nov. 1999. [3] M. A. Margarit and M. J. Deen, “A low power high spectral purity frequency translational loop for wireless application,” in Proc. IEEE Custom Integrated Circuits Conf., May 2000, pp. 593–596. [4] J. M. Hsu, “A 0.18-m CMOS offset-PLL upconversion modulation loop IC for DCS1800 transmitter,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 603–613, Apr. 2003. [5] T. Yamawaki, M. Kokubo, and H. Hagisawa, “A CMOS offset phase locked loop for a GSM transmitter,” Analog Integrat. Circuits Signal Process., vol. 25, pp. 253–259, Dec. 2000. [6] A. Hajimiri, “Noise in phase-locked loops for a GSM transmitter,” in Proc. Southeast Symp. Mixed-Signal Design, Feb. 2001, pp. 1–6. [7] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [8] R. Ahola, J. Routama, S. Lindfors, and K. Halonen, “A phase detector with no dead zone and a very wide output voltage range charge pump,” in Proc. IEEE Int. Symp. Circuits Systems, vol. 1, Jun. 1998, pp. 155–158. [9] G. Arfken and H. Weber, “Bessel functions,” in Mathematical Methods for Physicists, 4th ed. New York: Academic, 1995, ch. 11, pp. 627–629. [10] N. Morrison, Introduction to Fourier Analysis. New York: Wiley, 1994. [11] RF Transceiver IC for GSM and PCN Dual Band Cellular Systems—HD155 121F Datasheet. San Jose, CA: Hitachi Semiconductor America Inc., 2000. [12] ENFVZ4G59 Datasheet, 2002. [13] Digital Cellular Telecommunications System (Phase 2+); Mobile Station (MS) Conformance Specification; Part 1: Conformance Specification (GSM 11.10-1 Version 6.0.0 Release 1997), 1997. Eur. Telecommun. Standards Inst.. [14] Digital Cellular Telecommunications System (Phase 2+); Radio Transmission and Reception (GSM 05.05 Version 5.7.1): Eur. Telecommun. Standards Inst., 1998.

3034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Ching-Feng Lee was born in Kaohsiung, Taiwan, R.O.C., in 1967. He received the B.S. degree in control engineering from the National Chiao-Tung University, Hsinchu, Taiwan, in 1989, and the M.S. degree from the Institute of Electrical Engineering, National Taiwan University, Taipei, Taiwan, in 1991. He is currently working toward the Ph.D. degree at the National Chiao-Tung University. From 1991 to 1998, he was with the Computer and Communication Research Laboratory, ITRI, Hsinchu, Taiwan, where he was involved in power converter design, electrical analysis and design in backplanes and packages, and the discrete RF front-end circuit design. In 1998, he jointed ERSO, ITRI, where he was involved in the RF transceiver design. He holds a U.S. patent on the method of FM demodulation.

Song Tsuen Peng (M’74–SM’82–F’88) was born in Taiwan, R.O.C., on February 19, 1937. He received the B.S. degree in electrical engineering from the National Cheng Kung University, Tainan, Taiwan, in 1959, the M.S. degree in electronics from the National Chiao-Tung University, Hsinchu, Taiwan, in 1961, and the Ph.D. degree in electrophysics from the Polytechnic Institute of Brooklyn, Brooklyn, NY, in 1968. Following 1968, he held various research positions with the Polytechnic Institute of Brooklyn. From 1983 to 1990, he was a Professor of electrical engineering and Director of the Electromagnetics Laboratory, New York Institute of Technology, Old Westbury. Since 1991, he has been a Professor of communication engineering with the National Chiao-Tung University. Since September 1998, he has been the Director of the Microelectronics and Information Systems Research Center, National Chaio-Tung University. He has been active in the field of general waveguiding structures and has published numerous papers on electromagnetics, optics, and acoustics. His current research interests include the guidance and scattering characteristics of periodic structures, antenna design, and electromagnetic compatibility. Dr. Peng is a member of Sigma Xi.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

3035

Accurate and Scalable RF Interconnect Model for Silicon-Based RFIC Applications Choon Beng Sia, Beng Hwee Ong, Kiat Seng Yeo, Jian-Guo Ma, Senior Member, IEEE, and Manh Anh Do

Abstract—A new figure of merit, intrinsic factor for interconnects, is proposed to provide insights as to how back-end metallization influences the performance of radio frequency integrated circuits. An accurate and scalable double- radio frequency interconnect model, continuous across physical dimensions of width and length, is presented to demonstrate reliable predictions of interconnect characteristics up to 10 GHz. Using this interconnect model in gigahertz amplifier and voltage-controlled oscillator circuit simulations yields excellent correlations between simulated and on-wafer measured circuit results. Index Terms—Inductance, interconnects, intrinsic factor, metallization, parasitics, quality factor, radio frequency (RF), series resistance, skin effects, SPICE models, substrate loss.

I. INTRODUCTION

G

REAT advances in silicon-processing technologies over the past ten years have generated a remarkable surge in research, as well as immense commercial interest, in using these established and cost-effective technologies for radio frequency (RF) applications. Silicon technology is, at present, the most popular choice for radio frequency integrated circuit (RFIC) designs, due to huge cost savings and the possibility of achieving higher chip integration, with the RF front-end and digital/analog baseband coexisting on a common platform. Enhanced device characteristics, such as higher cutoff frequencies for transistors, allow traditional digital technologies to cope with stringent RF specifications set forth in popular communication standards, such as Bluetooth and IEEE 802.11a/b/g, etc. Nevertheless, low-resistive bulk silicon, which helps improve latch-up immunity at tighter design rules and huge resistive loss associated with the back-end-of-line metallization, are still major road blocks to achieving high circuit performance at gigahertz frequencies [1]–[3]. Hence, exploiting the cost-effective silicon technologies necessitates that circuit designers understand the technology limitations and required design tradeoffs for their RFICs. Silicon-based RF designs are now conceptualized on computer-aided design (CAD) tools that use feature-rich process design kits (PDKs) with accurate and scalable device models developed based on extensive and reliable on-wafer RF device

Manuscript received January 5, 2005; revised April 4, 2005 and May 25, 2005. C. B. Sia is with Advanced RFIC Pte. Ltd., Singapore 609903 (e-mail: [email protected]). B. H. Ong, K. S. Yeo, J.-G. Ma, and M. A. Do are with the School of Electrical and Electronics Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854218

characterization [4]. Despite having accurate device models capable of predicting device behavior at RF, a typical RFIC still requires several design iterations before it can comply with the product specifications. These design iterations can be avoided if substrate and metallization losses at gigahertz frequencies have been carefully considered when circuits are in the design-optimization and layout phase. Introduced to provide electrical connections between devices, interconnects have detrimental effects on circuits, and as frequency increases, undesirable parasitic responses, both inductive and resistive in nature, as well as capacitive coupling to the substrate, become even more pronounced, leading to significant deterioration in circuit performance as well as shifts in circuit frequency response. Experienced RFIC designers often have to overdesign their circuits to cater to performance degradation, and subsequent costly design iterations are required for their designs to be within specifications. With accurate, scalable, and SPICE-simulator-compatible RF interconnect models, circuit designers can easily take preventive measures prior to circuit fabrication if the RF interconnects are found to have adverse effects on their circuits during postlayout simulations, thereby reducing the number of design iterations, saving development costs as well as shortening the product time-to-market cycle. II. TEST STRUCTURES AND EXPERIMENTAL SETUP In this paper, an accurate and scalable RF interconnect model is presented. This subcircuit model is developed using a streamlined set of 25 top metal (Metal 6) interconnect test structures designed with lengths of 50, 100, 200, 400, and 800 m and widths of 1.5, 3, 5, 10 and 20 m, respectively. The test structures are fabricated using an industry-compatible 0.18- m radio frequency complementary metal–oxide–semiconductor (RFCMOS) processing technology with thick top metal (2 m) back-end-of-line process flow. On-wafer RF device measurements are carried out using Agilent 8510C Vector Network Analyzer and Cascade Microtech RF Infinity probes. The wafer and probes are shielded within the microchamber of the Cascade Microtech S300 semiautomated probe station. Two-port -parameters of the interconnects are measured over the frequency range from 50 MHz to 10.05 GHz. Substrate taps near the top metal lines are included in all ground pads of the six-pad ground–signal–ground (GSG) configuration to ensure that the substrate is effectively grounded. Parasitics of the test pads are accurately de-embedded by subtracting parameters of the open calibration structures from those test structures with the interconnects [5]. Fig. 1 shows die photos taken in the course of characterizing the interconnect test structures.

0018-9480/$20.00 © 2005 IEEE

3036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 1. Die photos. (a) Interconnect test structure with Cascade Microtech RF Infinity Probes. (b) Interconnects 800 m in length with different widths and open calibration structure.

III. FIGURES OF MERIT AND RF SUBCIRCUIT MODEL To quantify the detrimental effects of interconnects on circuit performances, this paper has made a first attempt to define figures of merit, which are very useful to circuit designers when they decide on the interconnect dimensions for their RF circuits. The interconnect’s figures of merit, parasitic inductance adopted for this paper are derived ( ), and intrinsic factor from the de-embedded -parameters in the following discussions. Although interconnects are not magnetic energy storage elements, to a certain extent, they can be regarded as on-chip inductors, and therefore, their parasitic inductance (units in Henry) can be defined as (1) Frequency Proceeding in this direction, the quality factor , shown in (2) for an inductor, can also be used to correlate how interconnects would influence the circuit performance (2) and are both extracted from the and not the parameter, since it is important to include and consider the effects of the lossy silicon substrate when evaluating the performance of interconnects. Examining (2), the quality factor is defined over real . This suggests that at as a ratio of imaginary a fixed resistive loss, when the inductance increases, the quality factor increases. However, for the case of interconnects, desirably low loss metallization should possess negligible parasitic inductance and resistance. In this paper, for RF applications, a more suitable figure of merit to describe interconnects, the in(units in , for frequency ), is proposed trinsic factor for the first time, as follows:

Fig. 2. Inductive source degeneration impedance matching (a) without and (b) with consideration of interconnect metallization. (c) A  -network and its corresponding admittance matrix representing a two-port symmetrical interconnect.

contrary, parasitic series resistance , associated with the metparameter [6], as shown in allization, is extracted from the (4), to exclude losses associated with the substrate, showing the skin effects of metallization at RF. Schematics in Fig. 2 help illustrate, with an inductive source-degeneration impedance-matching example, how can be used as a performance indicator for interconnects. Fig. 2(a) shows the ideal input matching circuit with lossless and providing optimal matching for the inductors nMOSFET, and only its transconductance and gate-source capacitance are considered [7] in the following discussions. As can be expressed as such, the input impedance (5) where is the transconductance of the nMOSFET, and is the gate-source capacitance of the nMOSFET and frequency. For maximum power transfer to occur at the operating have to match the source resistance frequency, real , which is typically 50 ohms

(3)

(6)

(4)

and the imaginary impedances must satisfy the following condition:

From (3), interconnects with large intrinsic factors insinuate that they have small resistive and inductive parasitics. On the

(7)

SIA et al.: ACCURATE AND SCALABLE RF INTERCONNECT MODEL FOR SILICON-BASED RFIC APPLICATIONS

From (6) and (7), values of and are first determined, so that the ideal impedance-matching criteria can be fulfilled. In the schematic-design phase, effects from the interconnect structures required to provide necessary electrical continuity between devices are not taken into account. If only metallization series resistive and inductive parasitics are considered in this example, can be foras shown in Fig. 2(b), the new input impedance mulated as

3037

Fig. 3. Double- RF subcircuit model for interconnects.

be described with just the parameter from its two-port admittance matrix (i.e., A network only) [8]. Hence, for the interconnects in this example can be written as (8) For impedance matching at the operating frequency, real will have to match the source resistance (9)

(13) Frequency From (13), when is very large, it suggests that both and are negligibly small, and hence, (11) and (12) tend to the original matching conditions described in (6) and (7)

and the imaginary impedance will have to satisfy the new condition

(10) must be To achieve maximum power transfer, real matched to the source resistance, but evaluating (6) and (9) and reveal that additional components of have impeded the performance of the . Comparing (7) and (10), original matching inductor the resonant frequency of the impedance-matching network and differs by the extra components of . These parasitic components from the interconnects contribute to an unfavorable shift in the frequency response of the circuit, as opposed to when input matching is and without considering effects from performed using the interconnects. Therefore, without prior knowledge of the parasitic resistances and inductances introduced by the interconnects, operating frequency in this narrow-band RFCMOS design is altered, and power is not transferred efficiently into the nMOSFET. If both interconnects in Fig. 2(b) are identical, and , i.e., (9) and (10) simplify to (11)

(12) In this example, for simplicity, the interconnects used are assumed to have only metallization series parasitics, and the substrate shunt parasitics are excluded. From Fig. 2(c), without having any shunt parasitics (emulated by B networks), the symmetrical interconnect, which is represented by a -network, can

Therefore, using interconnects with high will have less significant impact on circuit performance, as they allow fabricated circuits to have smaller frequency shifts and minimal degradation on the transfer of power, previously optimized in circuit schematic simulations, when interconnects are not taken into consideration. Monitoring the parasitic resistance, inductance, and capacitive substrate loss individually, i.e., real and imaginary impedances, is very cumbersome, and exploiting the provides a quick performance benchmarking indiproposed cator for circuit designers. More importantly, having accurate and scalable interconnect models which are SPICE-simulator compatible allow circuit designers to take evasive action before product fabrication, reducing design iterations if postlayout simulations reveal that additional metallization causes circuits to perform out of specifications. In this paper, a scalable double- RF subcircuit model for interconnects is proposed, as shown in Fig. 3. and describe the parasitic self-inductance and resistive loss on the and model the skin effects metallization, respectively. of the metallization at RF. Substrate loss for interconnect is modeled by the resistor–capacitor (RC) network that consists of , and . These three elements describe the oxide capacitance between the silicon substrate and metallization, the capacitive losses, and resistive losses in the silicon substrate, respectively. The double- model is symmetrical, and values for the model elements are identical for the two networks. It is also SPICE-simulator compatible, and does not contain any elements, especially resistors, which are described by frequency-dependent functions or equations, currently not supported in commercial SPICE circuit simulators. Fig. 4 illustrates a flowchart that summarizes an extraction strategy to obtain values of the model

3038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 4. Parameter-extraction procedure for double- RF interconnect model.

parameters accurately. For example, is extracted only at low frequencies of versus frequency plot, since from (1) contains substrate loss effects, which are apparent at high frequencies. To and , dominant at high frequencies, from (4) extract is used because lossy effects from substrate are excluded when instead of parameters are used to define .

IV. ACCURACY AND CONTINUITY OF THE RF INTERCONNECT MODEL The extraction routine described in Fig. 4 is implemented in IC-CAP (Agilent’s device characterization and modeling software) using parameter-extraction language (PEL) to obtain values of the components in the RF subcircuit model. These model parameters are then each formulated with empirical functions that best emulate their relationships in terms and length (both in m), of the interconnects’ width valid only within the physical-design boundaries described and m). As in this paper ( an example, the parasitic inductance can be predicted using . Fig. 5, on the other hand, demonstrates how well SPICE simulated (.s) two-port -parameters of this double- model can , and , for an interconnect predict the measured (.m) with length and width of 800 m and 20 m, respectively. In Fig. 5(c), increases as frequency increases. Fig. 5(d), on the contrary, reveals that is more appropriate to describe the performance of interconnects, since it decreases as frequency increases, describing the proliferation of parasitic inductance, resistance, and substrate losses associated with interconnects as operating frequency escalates. A single- lumped-element RF subcircuit model, shown in Fig. 6, can also be used to model interconnects operating at giga-

Fig. 5. (a) Measured versus simulated parasitic inductance. (b) Series resistance extracted from Y . (c) Quality factor Q. (d) Intrinsic factor I versus frequency for interconnect with length and width of 800 m and 20 m, respectively, using the proposed double- RF interconnect model.

hertz frequencies. However, there is a limitation to this approach at the higher RF regime, and this model inadequacy is even more evident for long interconnect lines, whereby the single- model is not able to predict the reduction of the extracted series re-

SIA et al.: ACCURATE AND SCALABLE RF INTERCONNECT MODEL FOR SILICON-BASED RFIC APPLICATIONS

Fig. 6.

3039

Single- lumped-element RF subcircuit model for interconnects.

Fig. 8. Box plots showing deviation between simulated and measured parasitic inductance and intrinsic factor I at 2.45 and 5.05 GHz for the Metal-6 interconnect test structures.

Fig. 9. Double- RF interconnect model continuity. Simulated and measured interconnect inductance versus length for different widths at 2.45 GHz.

Fig. 7. (a) Measured versus simulated series resistance extracted from Y . (b) Intrinsic factor I versus frequency for interconnect with length and width of 800 m and 20 m, respectively, using a single- lumped-element RF subcircuit model.

sistance revealed in Fig. 7(a). This phenomenon can be attributed to the fact that as frequency increases, interconnects behave like transmission lines with distributed characteristics, and hence, a simple lumped-element model is insufficient to describe its behavior. When a single- lumped-element model is adopted for the same interconnect in Fig. 5, the error between and worsen from the measured and simulated values for about 8% to more than 25%, as shown in Fig. 7.

Fig. 10. Double- RF interconnect model continuity. Simulated and measured intrinsic factor I versus length for different widths at 2.45 GHz.

Up to 10 GHz, employing models with multiple networks are less likely to provide any significant improvement in accuracy for predicting these interconnects. Such complex

3040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 11. Schematic of a simple gigahertz amplifier circuit to verify the accuracy of the double- RF interconnect model. Lengths of interconnects in various parts of the circuit are also included.

Fig. 14. Schematic of the gigahertz amplifier circuit showing representation of metallization interconnects with conventional RC extraction.

Fig. 12. Die photos showing on-wafer circuit characterization of gigahertz amplifiers with different interconnect widths. (a) 1.5 m. (b) 10 m.

Fig. 15. Magnitude of measured and simulated S -parameters versus frequency for giga-hertz amplifier circuit with interconnect width of 1.5 m using different interconnect schemes.

Fig. 13. Magnitude of measured S -parameters versus frequency for the two amplifier circuits with interconnect widths of 1.5 and 10 m, respectively.

models are more difficult to extract, and the amount of simulation time required when they are used in circuit-level simulation is most likely to increase. When compared with the frequency-independent circuit model for spiral inductors in [9], the

Fig. 16. Magnitude of measured and simulated S -parameters versus frequency for giga-hertz amplifier circuit with interconnect width of 10 m using different interconnect schemes.

proposed interconnect model is less complicated, with no capacitive coupling network between the input and output device terminals, and it possesses a much simplified substrate network.

SIA et al.: ACCURATE AND SCALABLE RF INTERCONNECT MODEL FOR SILICON-BASED RFIC APPLICATIONS

3041

TABLE I MEASURED VERSUS SIMULATED GAIN AND PEAK GAIN FREQUENCY FOR GIGAHERTZ AMPLIFIERS WITH DIFFERENT INTERCONNECT WIDTHS

The double- model is not only adequate in emulating high-frequency effects with excellent accuracy, but also scalable and continuous across various physical dimensions of the interconnects. Examining the model accuracy in predicting the test structures at popular application frequencies such as Bluetooth and wireless LAN applications, the box plot in Fig. 8 consolidates the deviation between the measured and simulated parasitic inductances for majority of the 25 interconnect test structures to % at 2.45 and 5.05 GHz. It also shows that the be within accuracy of proposed double- model has an intrinsic factor % at 2.45 and 5.05 GHz. within Fig. 9, on the other hand, demonstrates that at 2.45 GHz, the scalable interconnect model can accurately predict the measured inductances, and is continuous for all the RF interconnects with various metallization widths and lengths. Fig. 10 examines the for simulated versus measured interconnect intrinsic factor all the interconnects at 2.45 GHz, and further assures that the scalable double- model is incessant and precise. It also reveals that long-length narrow-width interconnects must be avoided in RFICs, since short-length wide-width interconnects are less values. lossy with relatively much larger V. MODEL VERIFICATION USING GIGAHERTZ AMPLIFIER AND VOLTAGE-CONTROLLED OSCILLATOR Conventional gigahertz amplifier and voltage-controlled oscillator (VCO) circuits are designed and fabricated in the same 0.18 m RFCMOS technology to demonstrate accuracy, scalability, and SPICE-simulator compatibility of the doubleRF interconnect model. The amplifier design, consisting of a RF nMOS thin gate transistor with input and output matching networks, is expected to operate at 2.45 GHz with a gain of about 10 dB. With reference to the gigahertz amplifier circuit , and are used to schematic shown in Fig. 11, provide narrow-band (2.45 GHz) 50-ohm input matching at . is employed to marginally the gate of the transistor reduce the quality factor of , so that a large input-matching bandwidth can be achieved. Output matching network to the and . is used to external test system is made up of isolate the DC biasing from a high-frequency signal path at the gate of the transistor. Last but not least, for the amplifier to and operate, 0.9 V and 1.8 V DC biases are applied to terminals, respectively.

To verify the validity and precision of the proposed RF interconnect model, two device-identical gigahertz amplifier circuitries are fabricated using the same interconnect lengths, but with interconnect widths of 1.5 and 10 m. Experimental control of maintaining the same interconnect length helps minimize unfavorable effects on circuit performances associated with signal couplinganddevice-layoutplacement,whichmayleadtoabiased experimental comparison. Fig. 12 shows die photos of the deviceidentical amplifier circuits with different interconnect widths. On-wafer RF circuit characterization for the amplifiers are performed on a “golden” or typical die, selected using data obtained from full wafer map device measurements of RF scribe-line test structures to account for variations in the fabrication process [10]. A similar experimental setup, described in Section II, has been used, taking into consideration the selection of source power on the network analyzer. The two amplifiers’ measured two-port -parameters consolidated in Fig. 13 reveal that an amplifier with interconnect width of 1.5 m has a much smaller gain and lower peak gain frequency, compared with the amplifier with interconnect width of 10 m. These observations are attributed to the fact that 1.5 m-width metal lines introduce both larger RF resistive losses and parasitic inductances along the RF signal path of the amplifier circuitry, compared with 10 m width interconnects, and hence, they resulted in larger gain reduction and a shift in circuit operating frequency, respectively. The experimental circuit results presented in this section have reiterated that interconnects with values are preferred for routing RFICs during the mask large layout phase, since across various corresponding lengths, 10 m-width interconnects have values at least twice as large as 1.5 m-width interconnects (see Fig. 10). More notably, Fig. 13 has disclosed the existence of intolerable discrepancies between simulated and measured circuit performances, even when very accurate RF device models are used for circuit simulations. Without prior knowledge of the interconnects’ intrinsic factor, as well as SPICE-compatible interconnect models, there is no way RFIC designers can quantify the detrimental effects of postlayout interconnects; costly and time-consuming design iterations will be necessary for the circuit to perform within specifications. The double- RF interconnect model proposed in Section III is deployed in the postlayout back-annotated schematic in Fig. 11 to predict the overall circuit degradation contributed by the inter-

3042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

connects at high frequencies. Fig. 14, on the other hand, shows the amplifier schematic with a typical RC approach to investigate the effects of the interconnects. These two schematics allow multiple SPICE simulations of the amplifiers to be performed, so that meaningful comparisons can be made between existing RC and the proposed RF interconnect modeling methodologies. The measured and simulated -parameters of the amplifier with interconnect width of 1.5 m are evaluated in Fig. 15. Simulated gain (11.25 dB) at peak gain frequency (2.93 GHz) of this amplifier without any interconnects is very much higher, compared with the actual measured gain (8.93 dB) and peak gain frequency (2.85 GHz). With RC interconnects, simulated results only managed slight improvement in predicting the measured gain, because this model does not account for the increase in the interconnect’s series resistance due to skin effects at high frequency. In addition, the absence of metallization self-inductance in the RC model also results in over-predicting the peak gain frequency by about 120 MHz. On the other hand, when the double- RF interconnect model is used, the simulated results correlate well with the measured amplifier performance in terms of absolute gain and peak gain frequency, with the input and output matching characteristics within acceptable limits. This outstanding agreement is possible because the parasitic inductance, as well as high-frequency resistive loss, associated with the interconnects are well emulated by the double- RF interconnect model. Similar improvements between the measured and simulated -parameters are also noted for the amplifier with 10 m-width interconnects in Fig. 16. The RC interconnect approach is inadequate in predicting the measured gain and peak gain frequency of the amplifiers. Using the RF interconnect models generates excellent agreement between the measured and simulated two-port characteristics for the amplifiers with different interconnect widths, demonstrating proficient model accuracy, continuity, and scalability. Table I summarizes and compares the measured versus simulated maximum gain and peak gain frequency for the two amplifiers using the RC and RF interconnect models. Accuracy and reliability of the double- RF interconnect model is further scrutinized using a conventional gigahertz VCO design. The postlayout back-annotated schematics illustrating the addition of interconnect metallization in the VCO and buffer amplifier designs are summarized in Fig. 17(a) and (b), respectively. In Fig. 17(a), the VCO tank circuitry comprises , and to define the oscillation frequency and . of the differential signal pairs at terminals These two terminals are then each connected to the buffer amplifier with low output impedance for higher load-driving capability, shown in Fig. 17(b). In Fig. 17(a), the crossed-coupled nMOSFETs for the VCO and , generate negative resistance to counteract design, the resistance from the inductor-capacitor (LC) tank, thereby and , launching the VCO into oscillation. MOS varactors operating in the accumulation mode, are used to vary the oscillating frequency of the VCO. Reference and control DC voltand , respectively, are ages, applied on terminals used to change the capacitances of the MOS varactors. Finally,

Fig. 17. Schematics (a), (b), and die photo (c) of a simple gigahertz voltage-controlled oscillator with interconnect width of 10 m to verify the accuracy of the double- RF interconnect model. (a) VCO. (b) Buffer amplifier. (c) VCO die photo.

isolation of the DC biasing from the RF oscillating signal is achieved using , and . Die photos capturing the VCO

SIA et al.: ACCURATE AND SCALABLE RF INTERCONNECT MODEL FOR SILICON-BASED RFIC APPLICATIONS

Fig. 18. Measured and simulated oscillation frequency versus control voltage for gigahertz voltage-controlled oscillator using double- RF interconnect model.

with the RF and DC probes for on-wafer circuit characterization is shown in Fig. 17(c). The double- interconnect model is used to perform a second VCO circuit simulation. With the RF interconnect model, the SPICE-simulated oscillation frequencies, with respect to the control voltages, have excellent associations with the on-wafer measured results. From Fig. 18, it is observed that the percentage error between the simulated and measured oscillating frequency for the VCO has improved from about 5.6% when interconnects are not considered to within 3 % when RF interconnect models are used, indicating excellent reliability of the proposed double- RF interconnect model, even for circuit transient simulations.

VI. CONCLUSION In this paper, RF interconnect test structures are designed, characterized, and modeled to predict their inductive, resistive characteristics, as well as substrate losses, at gigahertz frequencies. A new figure of merit, interconnect intrinsic factor , proposed in this paper, provided a convenient quantitative indication as to how interconnects affect the performance of RFICs. The recommended SPICE-simulator-compatible double- interconnect model accurately emulates the RF characteristics of metal lines, exhibiting continuity and scalability across physical dimensions of the interconnects. Circuit verifications using gigahertz amplifiers and VCO revealed that the RF interconnect model outperforms the conventional RC approach, achieving excellent correlations between SPICE-simulated and on-wafer measured circuit characteristics. This paper has demonstrated that it is possible to achieve cost-effective one-pass design success for silicon-based RFICs when accurate devices as well as interconnect SPICE models are adopted in RFIC design flows.

REFERENCES [1] B. Kleveland, C. H. Diaz, D. Vook, L. Madden, T. H. Lee, and S. S. Wong, “Exploiting CMOS reverse interconnect scaling in multigigahertz amplifier and oscillator design,” IEEE J. Solid-State Circuits, vol. 36, no. 10, pp. 1480–1489, Oct. 2001.

3043

[2] F. Alimenti, V. Palazzari, P. Placidi, G. Stopponi, A. Scorzoni, and L. Roselli, “Analysis of CMOS interconnects combining Le-FDTD method and SOC procedure,” in Proc. IEEE MTT-S Dig., Seattle, WA, 2002, pp. 879–881. [3] B. Kleveland, X. Qi, L. Madden, T. Furusawa, R. W. Dutton, M. A. Horowitz, and S. S. Wong, “High-frequency characterization of on-chip digital interconnects,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 716–725, Jun. 2002. [4] C. B. Sia, K. W. Chan, C. Q. Geng, W. Yang, K. S. Yeo, M. A. Do, J. G. Ma, S. Chu, and K. W. Chew, “An accurate and scalable differential inductor design kit,” in Proc. IEEE Int. Conf. Microelectron. Test Struct., vol. 17, Mar. 2004, pp. 63–68. [5] P. J. Van Wijnen, H. R. Claessen, and E. A. Wolsheimer, “A new straightforward calibration and correction procedure for “On Wafer” high frequency S -parameter measurements (45 MHz–18 GHz),” in Proc. IEEE Bipolar Circuit Technol. Meeting, 1987, pp. 70–73. [6] M. Park, C.-H. Kim, C. S. Kim, M.-Y. Park, S.-D. Kim, Y.-S. Youn, and H. K. Yu, “Frequency-dependent series resistance of monolithic spiral inductors,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 514–516, Dec. 1999. [7] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. New York: Cambridge Univ. Press, 2004, pp. 376–380. [8] M. J. Deen and T. A. Fjeldly, CMOS RF Modeling, Characterization and Applications, Singapore: World Scientific, 2002, p. 44. [9] Y. Cao, R. A. Groves, X. Huang, N. D. Zamdmer, J.-O. Plouchart, R. A. Wachnik, T.-J. King, and C. Hu, “Frequency-independent equivalentcircuit model for on-chip spiral inductors,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 419–426, Mar. 2003. [10] C. B. Sia, B. H. Ong, K. M. Lim, K. S. Yeo, M. A. Do, J. G. Ma, and T. Alam, “A novel RFCMOS process monitoring test structure,” in Proc. IEEE Int. Conf. Microelectron. Test Struct., vol. 17, Mar. 2004, pp. 45–50. Choon Beng Sia was born in Singapore in 1974. He received the B.E. (Hons.) and M.E. degrees in electronics from Nanyang Technological University, Singapore, in 1999 and 2001, respectively. He was with Chartered Semiconductor Manufacturing Ltd. while working on his postgraduate degree, and subsequently joined the SPICE modeling group as a Device Modeling Engineer in 2001. He is currently with Advanced RFIC (S) Pte. Ltd. as the Engineering Manager leading the RF Device Modeling group. His research interests include design optimization and over-temperature modeling of RF integrated inductors, interconnects, MIM capacitors, MOS varactors, MOSFETs, and SiGe transistors. His most recent work involved the successful implementation of the RF scribe line process monitoring test structures for silicon technologies. He currently has 1 U.S. patent granted, and has authored six technical publications. Beng Hwee Ong was born in Singapore in 1975. He received the B.E. (Hons.) degree in electrical engineering from the Nanyang Technological University (NTU), Singapore, in 2001. He joined NTU’s research staff in 2002, and is currently working on RFCMOS circuit designs.

Kiat Seng Yeo received the B.E. degree (Hons.) in electronics in 1993, and the Ph.D. degree in electrical engineering in 1996, both from Nanyang Technological University (NTU), Singapore. As a current Sub-Dean and Associate Professor of Electrical and Electronic Engineering with NTU, he is a recognized expert in CMOS technology and lowpower CMOS IC design. He provides consulting to multinational corporations and serves on the program committees of several international conferences. His research interests include device modeling and RF IC design. He holds 11 patents and has published four books and over 200 papers in his areas of expertise.

3044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Jian-Guo Ma (M’96–SM’97) received the B.Sc. and M.Sc. degrees in 1982 and 1988, respectively, with honors, from Lanzhou University, Gansu, China, and the Ph.D. degree in engineering from Gerhard-Mercator University, Duisburg, Germany, in 1996. From 1982 to 1991, he was with Lanzhou University, working on RF and microwave engineering. Before he joined Nanyang Technological University in 1997, he was with the Technical University of Nova Scotia, Halifax, NS, Canada. Currently, he is an Associate Professor and Director of the Center for Integrated Circuits and Systems, Nanyang Technological University, Singapore. His research interests include RFIC designs for wireless applications, RF characterization and modeling of semiconductor devices, RF interconnects and packaging, SoC and Applications and EMC/EMI in RFICs. He has published more than 130 technical papers and two books in the above-mentioned areas. He holds six patents in CMOS RFICs. Dr. Ma is an Associate Editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

Manh Anh Do obtained the B.E. (Hons.) degree in electronics in 1973, and the Ph.D. degree in electrical engineering in 1977, both from the University of Canterbury, Christchurch, New Zealand. Between 1977 and 1989, he held various positions, including R&D Engineer and Production Manager with Radio Engineering Ltd., Research Scientist with the Fisheries Research Centre, New Zealand, and Senior Lecturer at the National University of Singapore. He joined the School of Electrical and Electronic Engineering (EEE), Nanyang Technological University (NTU), Singapore, as a Senior Lecturer in 1989, and obtained the Associate Professorship in 1996 and the Professorship in 2001. Since 1995, he has been Head of the Division of Circuits and Systems, School of EEE, NTU. He has been a consultant for many projects in the Singapore electronic industry, and was the principal consultant for the design, testing, and implementation of the $200 million Electronic Road Pricing (ERP) island-wide project in Singapore from 1990 to 2001. His current research is on digital and mobile communications, RFIC design, mixed-signal circuits, and intelligent transport systems. Before that, he specialized in sonar designing, biomedical engineering, and signal processing. Dr. Do is a Fellow of the IEE, U.K., a Chartered Engineer (UK), a Professional Engineer (Singapore), and Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

3045

BJT Class-F Power Amplifier Near Transition Frequency Anna N. Rudiakova, Member, IEEE

Abstract—This paper presents the analysis and the design rules of bipolar junction transistor (BJT) class-F power amplifier operating at a frequency comparable with the transition one. Since the impulse of collector current becomes stretched with operation frequency increasing, its spectral content is changed. Thus, the requirements for the amplifier’s output network and bias conditions should be corrected compared with the classic low-frequency case in order to achieve high-efficiency class-F operation. Using the simple BJT model, these new requirements were obtained in this paper. The presented results of simulation and experimental verification show the advantages of proposed approach. Index Terms—Amplifiers, bipolar transistors, class F, power.

I. INTRODUCTION

T

HE IDEA of class-F power amplifier is based on producing the short- and open-circuit conditions for the higher harmonics at the transistor output [1]–[4]. The most widespread case of a class-F amplifier is the so-called “third harmonic peaking” [5]–[10]. In this case, the output network should provide zero impedance value at the second harmonic frequency and infinity impedance value at the third harmonic. Ideally (meaning a class-F amplifier), the transistor output voltage and current become square wave and truncated cosinusoid forms, respectively. Thus, collector efficiency is increasing due to dissipated power decreasing. However, the above-mentioned requirements for output network (short- and open-circuit conditions) are not sufficient to achieve proper operation. The phase relations between collector–current harmonics (for the case under consideration—between the fundamental and third harmonics) need to be investigated and accounted. This problem was considered in detail in [11], [12] by Colantonio et al.. The following assumptions were made by the authors: input impedance of output network is purely resistive at the fundamental frequency; the output transistor current has truncated cosinusoid form. Under these assumptions, the fundamental and third harmonics of output voltage can be out-ofphase (that is needed to achieve proper voltage waveform, see Fig. 1) only for conduction angles above 90 . However, when the operating frequency is increased and becomes comparable , with bipolar junction transistor (BJT) transition frequency the truncated cosinusoid approximation of collector current is not valid. The impulse of collector current becomes stretched;

Manuscript received January 3, 2005; revised April 4, 2005. The author is with the Radio Physics Department, Donetsk National University, Donetsk 83055, Ukraine (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854217

Fig. 1. (a) Target collector–emitter voltage’s waveform for “third harmonicpeaking” class-F amplifier. (b) First three harmonics’ and dc-component’s -coefficients of truncated cosinusoid approximation of collector current.

therefore, its spectral content is also changed. This effect was not taken into account in [11] and [12], thus, it is supposed to be interesting and useful to consider. Section II describes the theoretical background of the proposed design approach. The simple analytical forms for the fundamental and third harmonic’s Fourier components were obtained in Section II-A. The regions of possible class-F operation were defined indicating the cases for which one can obtain out-of-phase the first and the third harmonics. Section III presents the simulation and experimental results, followed by conclusions in Section IV.

0018-9480/$20.00 © 2005 IEEE

3046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 2. BJT model used for the analysis. The switches in the circuit are required for commutation between cutoff and active regions.

Fig. 4. Set of dependencies of  on  with !  as a parameter.

When a BJT operates at quite low frequencies (smaller then approximately 0.1 of transition frequency ), a transistor close is approximately equal to the low-frequency conducangle tion angle . However, when operating frequency is increased, is obtained from the transcendental equation

(2) Fig. 3. Effect of collector current stretching with an increase in operating frequency.

II. THEORETICAL BACKGROUND A. Account of BJT Inertance The equivalent circuit of the BJT model [13] used for the spectral analysis is shown in Fig. 2. Several assumptions were made: BJT operates within the active and cutoff modes, without and capacitances are much saturation; currents through smaller then current of voltage-controlled current source , thus, they were neglected. within the model The differential equation that describes is as follows:

. where as a parameter) The set of dependencies of on (with obtained from (2) is shown in Fig. 4. and , the Fourier coThus, for known values efficients of collector–current harmonics can be obtained is equal to zero beyond the interval taking into account that . In order to find the dc component, both parts of (1) were muland integrated on within to (actual tiplied on to due to zero current on inintegration interval was from to , and to ) tervals from

(3) (1) and are the input signal’s frequency and magniHere, tude, respectively, is transconductance, is the time constant of the input circuit, is the low-frequency , where conduction angle ( is the input bias, and is the cutoff voltage), which is equal to half of conduction state. start condition gives The solution of (1) with the to , where the collector current on the interval from is the transistor close angle (see Fig. 3). Beyond the interval , collector current is supposed to be zero due to cutoff.

The first addend of the left-hand side of (3) is equal . The second to zero because of addend presents the dc component of collector current ). Thus, from (3), the following is obtained:

In order to find the Fourier coefficients of the fundamental component, both parts of (1) were multiplied on

RUDIAKOVA: BJT CLASS-F POWER AMPLIFIER NEAR TRANSITION FREQUENCY

and , sequentially. As result, the following set of two algebraic equations on cosinusoidal and sinusoidal components were achieved:

(4)

3047

At the fundamental frequency, the output network should provide the matching with load so the input impedance of output is resistive and equal . Therenetwork at this frequency fore, the phase of is zero, and the phase of is equal to the as follows: phase of (7) The phase of the third harmonic of the collector–emitter voltage is as follows:

Here, . From (4),

(8) In order to achieve target waveform of collector voltage (see Fig. 1), it is necessary that the maximum of the fundamental frequency component and the minimum of the third harmonic are conterminous. This requirement is reduced to out-of-phase requirement (as in [10] and [11]) if the phase of the first harmonic of collector voltage is equal to zero. However, for nonzero phase of the first harmonic, just the out-of-phase requirement has to be corrected. Let us write expressions for the first and third harmonics as follows:

Analogously, in order to find the Fourier coefficients of the third harmonic component, both parts of (1) were multiplied on and sequentially. As result, the following set of two algebraic equations on cosinusoidal and sinusoidal components was achieved:

(5)

The derivatives of these harmonics are the following, respectively: (9) (10) After equating (9) to zero and solving, one can find the phase is in maximum angle for which

Here, (11) . After equating (10) to zero, substituting (11), and solving, one for which can obtain the phase angle of third harmonic is in minimum

From (5),

(12) Equation (12) defines the phase of the third harmonic, which is required to obtain target class-F collector voltage waveform (see Fig. 1). As one can see, (12) is reduced to out-of-phase . requirement [10], [11] for Using (7), one can write (13) B. Conditions of Class-F Realization is The Fourier coefficients of collector–emitter voltage determined by appropriate Fourier coefficients of collector curand input impedance of the output network. Thus, for rent the fundamental component and third harmonic, one can write (6) respectively.

As the passive network is used, one can obtain the phase shift and only; the phase of input impedance of between the output network at the third harmonic is also within this region. Therefore, from (8) and (9), the phase of the third harmonic of the collector current should match the following condition in order to achieve class-F operation

3048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

Fig. 5. Dependence of “allowed” conduction angles (for which one can obtain out-of-phase first and third harmonics by adjustment the output network) on !  .

Fig. 7. Collector current and collector–emitter voltage waveforms of Case 1) polyharmonic amplifier.

Fig. 6. Equivalent circuit of simulated polyharmonic power amplifier.

The dependence of “allowed” conduction angles (for which one can obtain out-of-phase first and third harmonics by adjustment the output network) on is shown in Fig. 5. One can see that the “allowed” region is displaced to smaller conduction angles with increasing frequency. Therefore, the class-F operation with conduction angles below 90 becomes possible for quite high frequencies ( , where ). However, the output power is decreased with a decrease in the conduction angle so one should note it in the design.

Fig. 8. Collector current and collector–emitter voltage waveforms of Case 2) polyharmonic amplifier.

A. Simulation Results With Accurate Transistor Model

used.1 The output network has the well-known “third harmonicpeaking” construction [9]. Two cases were simulated using a similar output network shown in Fig. 1, but different values of network components: Case 1) with respect to classic class-F tuning is summarized by Trask in [9] and Case 2) with respect to proposed tuning, which takes into account BJT inertance. Case 1) The collector current and collector–emitter voltage waveforms are shown in Fig. 7. One can see that the collector–emitter voltage has a strong asymmetrical form. The performance characteristics are: collector %, output power W, efficiency W. and dc supply power Case 2) The collector current and collector–emitter voltage waveforms are shown in Fig. 8. One can see, that the collector–emitter voltage has an almost symmetrical flat form. The performance characteristics %, output power are: collector efficiency W, and dc supply power W. In order to compare performances with the above cases and demonstrate the advantages of Case 2), the customary class-C

The polyharmonic power amplifier equivalent circuit is shown in Fig. 6. The Ansoft Serenade SV 8.5 simulator was

1Ansoft Corporation, Pittsburgh, PA. [Online]. Available: http://www.ansoft.com

III. CLASS-F POWER-AMPLIFIER SIMULATION AND EXPERIMENTAL VERIFICATION Here, we deal with the simulation and experimental verification of quite low-frequency prototype of polyharmonic power amplifier, which operates at 1 MHz. However, this frequency is one-third of transition frequency ( 3 MHz) for the used BJT. Such a selection of active device and operating frequency is made due to the ability to neglect the influence of package parasitic parameters on output current and voltage waveforms in order to better illustrate proposed theoretical results.

RUDIAKOVA: BJT CLASS-F POWER AMPLIFIER NEAR TRANSITION FREQUENCY

3049

Fig. 10.

Fabricated power-amplifier prototype.

Fig. 9. Collector current and collector–emitter voltage waveforms of class-C amplifier.

amplifier was simulated. The – – contour was shorted to realize class-C output network. The collector current and collector–emitter voltage of the simulated class-C amplifier are shown in Fig. 9. The performance characteristics of the simulated class-C amplifier are: %; 2) output power W; 1) collector efficiency W. and 3) dc supply power Taking into consideration the above simulation results, one can note that classic class-F tuning of the output network [Case 1)] even leads to the decrease of efficiency compared with the customary class-C amplifier for almost the same input power, bias, and supply. This unexpectedness takes place due to the stretching effect of the collector–current impulse at the operating frequency comparable with the transition frequency of the BJT. The stretched impulse has a changed spectral content. The phase shift (differ then just out-of-phase) exists particularly between the fundamental component and third harmonic. In order to obtain better efficiency, this phase shift needs to be compensated for by proper tuning of output network [as in Case 2)].

Fig. 11. Measured collector efficiency and output power dependencies on base–emitter rms voltage.

B. Experimental Results The prototype of the class-F polyharmonic power amplifier was built using the considered above output network (see Fig. 6) along with proposed tuning of the network, taking into account BJT inertance. MHz) The KT815A BJT (medium power transistor, was used. The frequency of operation was as high as 1 MHz. A photograph of the fabricated power amplifier prototype is shown in Fig. 10. The measured collector efficiency and output power dependencies on base–emitter rms voltage for 0.45-V bias are shown in Fig. 11. The negative bias was used in order to set proper cutoff angle, acceptable for class-F operation near transition frequency (see Fig. 5). As was expected, the efficiency dependence has a flat maximum at the input voltage value for which first and third harmonics are out-of-phase since the stretching effect is taken into account by the tuning of the output network. The oscillograms of collector–emitter voltage (upper beam) and load voltage (lower beam) are shown in Fig. 12. One can

Fig. 12. Oscillograms of collector–emitter voltage (upper beam) and load voltage (lower beam).

see that the collector–emitter voltage has an almost symmetrical form close to the target for the “third harmonic-peaking” class-F amplifier. IV. CONCLUSION The presented design rules of the BJT class-F power amplifier operating at a frequency comparable with the transition one are as follows. 1) According to the given operation frequency, the conduction angle should be selected from the “allowed” region (see Fig. 5). A smaller angle means higher efficiency, but a lower output power.

3050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

2) For the selected conduction angle, the Fourier components of the collector current should be calculated as given in Section II-A. 3) The phase mismatch between the first and third harmonics of the collector–emitter voltage should be obtained as shown in Section II-B. Thus, the proper input impedance of the output network can be calculated for the third harmonic. As was shown by simulations and experimental verification, the presented approach of a polyharmonic class-F power amplifier design is perspective for use at high frequencies. Taking into account the inertance of the BJT, the band of effective BJT operation can be considerably expanded from frequencies smaller then 0.1 of transition frequency to the ones comparable with . Thus, “inferior” transistors can be used. REFERENCES [1] H. Krauss, C. Bostian, and F. Raab, Solid-State Radio Engineering. New York: Wiley, 1980. [2] F. H. Raab, “Class F power amplifiers with maximally flat waveform,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2013, Nov. 1997. , “Maximum efficiency and output of class-F power amplifiers,” [3] IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1162–1166, Jun. 2001. [4] A. N. Rudiakova and V. G. Krizhanovski, “The theory of power amplifiers with a polyharmonic operating,” in XIII Int. Microwaves, Radar and Wireless Communication Conf., vol. 1, Wroclaw, Poland, May 2000, pp. 105–108. [5] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002.

[6] V. Radisic, Q. Yongxi, and T. Itoh, “Class F power amplifier integrated with circular sector microstrip antenna,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Denver, CO, Jun. 1997, pp. 687–690. [7] D. Barataud, M. Campovecchio, and J.-M. Nebus, “Optimum design of very high-efficiency microwave power amplifiers based on time-domain harmonic load–pull measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1107–1112, Jun. 2001. [8] G. A. Ellis and S. Liw, “Active planar inverted-F antennas for wireless applications,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2899–2906, Oct. 2003. [9] C. Trask, “Class-F amplifier loading network: A unified design approach,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Anaheim, CA, Jun. 1999, pp. 351–354. [10] A. V. Grebennikov, “Circuit design technique for high efficiency class F amplifiers,” in IEEE MTT-S Int. Microwave Symposium Dig., vol. 2, Boston, MA, Jun. 2000, pp. 771–774. [11] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “Very high efficiency microwave amplifier. The harmonic manipulation approach,” in XIII Int. Microwaves, Radar and Wireless Communication Conf., vol. 3, Wroclaw, Poland, May 2000, pp. 33–46. [12] , “On the class-F power amplifier design,” Int. J. RF Microwave Computer-Aided Eng., no. 9, pp. 129–149, Sep. 1999. [13] L. J. Giacoletto, “Study of p-n-p alloy junction transistors from dc through medium frequencies,” RCA Rev., vol. 15, pp. 506–562, Dec. 1954.

Anna N. Rudiakova (S’99–M’01) received the M.S. degree in radio physics from the Donetsk National University, Donetsk, Ukraine, in 1997, and is currently working toward the Ph.D. degree in radio physics at the Donetsk National University. Her research interests include high-efficiency polyharmonic power amplifiers, and electromagnetic stopband (ESB) structure applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

3051

Letters__________________________________________________________________________________________ Comments on “Thermal Resistance Calculation of AlGaN–GaN Devices” Wen-Yan Yin Index Terms—AlGaN–GaN, thermal resistance calculation.

I. INTRODUCTION Recently in the above paper, Darwish et al. [1] presented an accurate procedure for fast determining thermal resistance of semiconductor field-effect transistors (FETs), and derived the following equation:

total =

1

Wg k1

ln

4t1 Lg

f

1 ln f

+

+

1

sk2

1+

h ln

h

1

Wg k2

Wg 2t1

pWg

2s

2

Wg t2

2

pWg

2

2s

2

0 pt21s 04

t1 t2

0 4 pt1

2

2

(1)

2s

where the definitions of parameters t1 ; t2 ; k1 ; k2 ; S; Lg ; Wg ; and  and functions f ( 1 ) and h( 1 ) can be found in [1]. A similar formula was also given in [2]. Undoubtedly, (1) is very accurate and very useful to characterize the thermal resistance, channel temperature, and even power-handling capability of various semiconductor active devices. Based on (1), the authors have carried out a lot of calculations to investigate the effects of geometrical and physical parameters of different FET configurations on thermal resistance and channel temperature, as shown in [1, Figs. 4–20]. Equation (1) clearly indicates that the thermal resistance total decreases as the gatewidth Wg increases. However, in [1, Figs. 6, 10, 14, and 18] depicted that the calculated values of total all increase with increasing Wg with the finite-element method (FEM) results also plotted in [1]. With a code written based on (1), all cases shown in [1, Figs. 4–20] have been recalculated. The following numerical results showed that the results in [1, Figs. 6, 10, 14, and 18] are incorrect, and these will be subsequently verified. Manuscript received April 21, 2005. The author is with Temasek Laboratories, National University of Singapore, Singapore 119260 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854219

Fig. 1. Thermal resistance as a function of: (a) substrate thickness (t ) and (b) gate length (L ), respectively.

II. NUMERICAL RESULT VERIFICATIONS To verify the written code, the thermal resistance as a function of substrate thickness (t2 ) and gate length (Lg ) is calculated again and plotted in Fig. 1(a) and (b), respectively, with the parameters given in [1]. It is apparent that excellent agreements are obtained between the new calculated total and those shown in [1, Figs. 4, 5, 12, 13, 16, and 17], although the corresponding results are not plotted here. Furthermore, Fig. 2(a) and (b) shows the calculated values of total as a function of gatewidth (Wg ) for four types of FETs made of doublelayered GaN and SiC, GaN and silicon, GaN and GaN, and GaN and sapphire, respectively, with the same parameters given in [1].

0018-9480/$20.00 © 2005 IEEE

3052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

(a)

(b) Fig. 2.

Thermal resistance as a function of gatewidth.

From comparison between Fig. 2(a) and (b) and [1, Figs. 6, 10, 14, and 18], completely different phenomena are observed. It is, therefore, concluded that the incorrect results reported in [1] is not caused by (1) itself. REFERENCES [1] A. M. Darwish, A. J. Bayba, and H. A. Hung, “Thermal resistance calculation of AlGaN–GaN devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2611–2620, Nov. 2004. , “Thermal resistance calculation of AlGaN/GaN on SiC devices,” [2] in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Fort Worth, TX, Jun. 2004, pp. 2039–2042.

(c)

Authors’ Reply Ali M. Darwish, Andrew Bayba, and H. Alfred Hung

We would like to thank Dr. W.-Y. Yin for his comments. We became aware of the mislabeling of “Thermal Resistance” instead of “Channel Temperature” in the above paper [1, Figs. 6, 10, 14, and 18] shortly

Manuscript received May 27, 2005. The authors are with the Army Research Laboratory, Adelphi, MD 20783 USA (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854215

(d) Fig. 1. (a) Thermal resistance and channel temperature as a function of gatewidth for: (a) the GaN/SiC case, (b) the GaN/sapphire case, (c) the GaN/Si case, and (d) the GaN/GaN case. This is a replot of [1, Figs. 6, 10, 14, and 18] with proper labeling.

U.S. Government work not protected by U.S. copyright.

3052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

(a)

(b) Fig. 2.

Thermal resistance as a function of gatewidth.

From comparison between Fig. 2(a) and (b) and [1, Figs. 6, 10, 14, and 18], completely different phenomena are observed. It is, therefore, concluded that the incorrect results reported in [1] is not caused by (1) itself. REFERENCES [1] A. M. Darwish, A. J. Bayba, and H. A. Hung, “Thermal resistance calculation of AlGaN–GaN devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2611–2620, Nov. 2004. , “Thermal resistance calculation of AlGaN/GaN on SiC devices,” [2] in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Fort Worth, TX, Jun. 2004, pp. 2039–2042.

(c)

Authors’ Reply Ali M. Darwish, Andrew Bayba, and H. Alfred Hung

We would like to thank Dr. W.-Y. Yin for his comments. We became aware of the mislabeling of “Thermal Resistance” instead of “Channel Temperature” in the above paper [1, Figs. 6, 10, 14, and 18] shortly

Manuscript received May 27, 2005. The authors are with the Army Research Laboratory, Adelphi, MD 20783 USA (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854215

(d) Fig. 1. (a) Thermal resistance and channel temperature as a function of gatewidth for: (a) the GaN/SiC case, (b) the GaN/sapphire case, (c) the GaN/Si case, and (d) the GaN/GaN case. This is a replot of [1, Figs. 6, 10, 14, and 18] with proper labeling.

U.S. Government work not protected by U.S. copyright.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

after its publication. A submission of a correction was in progress. We have also received numerous inquiries and had subsequent discussions from readers interested in our study; the oversight was clarified. Specifically, [1, Figs. 6, 10, 14, and 18] are plots of the channel temperature with typical power Pdissipated of 4 W/mm versus gatewidth Wg , i.e., the figures show a plot of

3053

Corrections on “Precision Open-Ended Coaxial Probes for In Vivo and Ex Vivo Dielectric Spectroscopy of Biological Tissues at Microwave Frequencies” Dijana Popovic, Leah McCartney, Cynthia Beasley, Mariya Lazebnik, Michal Okoniewski, Susan C. Hagness, and John H. Booske In the above paper [1, Section II], there is an error in the first paragraph. The second sentence should read “The first section following the connector is a 9.5-cm-long coaxial line filled with low-loss porous silica ("r = 1:72).”

Tch = Pdissipated total = 4Wg total

where the thermal resistance total is in watts/Celsius and the gatewidth Wg is in millimeters. Here, Fig. 1(a)–(d) shows the corrected plots in [1, Figs. 6, 10, 14, and 18], respectively, of total versus Wg along with the original plots of Tch (for Pdissipated = 4 W/mm). The authors thank Dr. W.-Y. Yin for his comments and all the readers who shared with us their valuable feedback and new experimental data confirming the thermal model.

REFERENCES [1] A. M. Darwish, A. J. Bayba, and H. A. Hung, “Thermal resistance calculation of AlGaN–GaN devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2611–2620, Nov. 2004.

REFERENCES [1] D. Popovic, L. McCartney, C. Beasley, M. Lazebnik, M. Okoniewski, S. C. Hagness, and J. H. Booske, “Precision open-ended coaxial probes for in vivo and ex vivo dielectric spectroscopy of biological tissues at microwave frequencies,” IEEE Trans. Microwave Theory Tech., vol. 53, no. 5, pp. 1713–1722, May 2005. Manuscript received June 2, 2005. D. Popovic, L. McCartney, and M. Okoniewski are with the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada T2N 1 N4 (e-mail: [email protected]). C. Beasley, M. Lazebnik, S. C. Hagness, and J. H. Booske are with the Department of Electrical and Computer Engineering, University of Wisconsin–Madison, Madison, WI 53706 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854214

0018-9480/$20.00 © 2005 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005

after its publication. A submission of a correction was in progress. We have also received numerous inquiries and had subsequent discussions from readers interested in our study; the oversight was clarified. Specifically, [1, Figs. 6, 10, 14, and 18] are plots of the channel temperature with typical power Pdissipated of 4 W/mm versus gatewidth Wg , i.e., the figures show a plot of

3053

Corrections on “Precision Open-Ended Coaxial Probes for In Vivo and Ex Vivo Dielectric Spectroscopy of Biological Tissues at Microwave Frequencies” Dijana Popovic, Leah McCartney, Cynthia Beasley, Mariya Lazebnik, Michal Okoniewski, Susan C. Hagness, and John H. Booske In the above paper [1, Section II], there is an error in the first paragraph. The second sentence should read “The first section following the connector is a 9.5-cm-long coaxial line filled with low-loss porous silica ("r = 1:72).”

Tch = Pdissipated total = 4Wg total

where the thermal resistance total is in watts/Celsius and the gatewidth Wg is in millimeters. Here, Fig. 1(a)–(d) shows the corrected plots in [1, Figs. 6, 10, 14, and 18], respectively, of total versus Wg along with the original plots of Tch (for Pdissipated = 4 W/mm). The authors thank Dr. W.-Y. Yin for his comments and all the readers who shared with us their valuable feedback and new experimental data confirming the thermal model.

REFERENCES [1] A. M. Darwish, A. J. Bayba, and H. A. Hung, “Thermal resistance calculation of AlGaN–GaN devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2611–2620, Nov. 2004.

REFERENCES [1] D. Popovic, L. McCartney, C. Beasley, M. Lazebnik, M. Okoniewski, S. C. Hagness, and J. H. Booske, “Precision open-ended coaxial probes for in vivo and ex vivo dielectric spectroscopy of biological tissues at microwave frequencies,” IEEE Trans. Microwave Theory Tech., vol. 53, no. 5, pp. 1713–1722, May 2005. Manuscript received June 2, 2005. D. Popovic, L. McCartney, and M. Okoniewski are with the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada T2N 1 N4 (e-mail: [email protected]). C. Beasley, M. Lazebnik, S. C. Hagness, and J. H. Booske are with the Department of Electrical and Computer Engineering, University of Wisconsin–Madison, Madison, WI 53706 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.854214

0018-9480/$20.00 © 2005 IEEE

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.854071

Digital Object Identifier 10.1109/TMTT.2005.856961

Digital Object Identifier 10.1109/TMTT.2005.856962

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, K. ITOH, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, V. RIZZOLI, D. WILLIAMS REVIEWERS M. Abdul-Gaffoor M. Abe R. Abou-Jaoude M. Abouzahra A. Abramowicz L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agarwal D. Ahn H.-R Ahn M. Aikawa C. Aitchison M. Akaike C. Akyel A. Akyurtlu B. Albinsson F. Alessandri A. Alexanian C. Algani W. Ali-Ahmad F. Alimenti B. Allen D. Allsopp D. Allstot R. Alm B. Alpert A. Alphones A. Altintas A. Alvarez-Melcom M. Alzona S. Amari L. Andersen B. Anderson Y. Ando O. Anegawa K.-S. Ang I. Angelov R. Anholt Y. Antar G. Antonini D. Antsos K. Anwar I. Aoki R. Aparicio K. Araki J. Archer P. Arcioni F. Arndt R. Arora U. Arz M. Asai P. Asbeck K. Ashby H. Ashok J. Atherton A. Atia I. Awai K. Aygun S. Ayuz Y. Baeyens T. Bagwell Z. Baharav I. Bahl D. Baillargeat S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Bandurkin R. Bansal D. Barataud I. Barba F. Bardati I. Bardi S. Barker D. Barlage J. Barr D. Batchelor B. Bates H. Baudrand S. Beaussart R. Beck D. Becker K. Beilenhoff B. Beker V. Belitsky D. Belot H. Bell T. Benson M. Berroth G. Bertin S. Best W. Beyenne A. Beyer S. Bharj K. Bhasin P. Bhattacharya Q. Bi M. Bialkowski E. Biebl P. Bienstman R. Bierig R. Biernacki S. Bila L. Billonnet T. Bird B. Bishop G. Bit-Babik D. Blackham B. Blalock M. Blank P. Blondy P. Blount D. Boccoli B. Boeck F. Bögelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria V. Borich O. Boric-Lubecke E. Borie J. Bornemann R. Bosisio H. Boss S. Bousnina P. Bouysse M. Bozzi E. Bracken P. Bradley R. Bradley T. Brazil G. Brehm K. Breuer B. Bridges L. Briones T. Brookes S. Broschat E. Brown G. Brown R. Brown S. Brozovich S. Bruce

S. Bryan H. Bu D. Budimir T. Budka M. Bujatti C. Buntschuh J. Burghartz P. Burghignoli O. Buric-Lubecke D. Butler Q. Cai M. Calcatera C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa S. Cammer C. Campbell R. Campbell M. Campovecchio F. Canavero A. Cangellaris F. Capolino A. Cappy J.-L. Carbonero G. Carchon J. Carlin G. Carrer R. Carter F. Casas A. Cassinese J. Catala R. Caverly M. Celik M. Celuch-Marcysiak Z. Cendes B. Cetiner J. Cha N. Chaing H. Chaloupka M. Chamberlain C.-H. Chan C.-Y. Chang C. Chang F. Chang H.-C. Chang K. Chang H. Chapell W. Chappell W. Charczenko K. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen D. Chen H.-S. Chen J. Chen J.-I. Chen J. Chen K. Chen S. Chen W.-K. Chen Y.-J. Chen Y.-K. Chen Z. Chen K.-K. Cheng S. Cherepko W. Chew W.-C. Chew C.-Y. Chi Y.-C. Chiang T. Cho D. Choi J. Choi C.-K. Chou D. Choudhury Y. Chow C. Christopoulos S. Chung R. Cicchetti A. Cidronali T. Cisco J. Citerne D. Citrin R. Clarke J. Cloete E. Cohen L. Cohen A. Coleman R. Collin F. Colomb B. Colpitts G. Conciauro A. Connelly D. Consonni H. Contopanagos F. Cooray I. Corbella J. Costa E. Costamagna A. Costanzo C. Courtney J. Cowles I. Craddock G. Creech J. Crescenzi S. Cripps D. Cros T. Crowe M. Crya R. Culbertson C. Curry W. Curtice Z. Czyz S. D’Agostino C. Dalle G. Dambrine K. Dandekar A. Daryoush B. Das N. Das M. Davidovich M. Davidovitz B. Davis I. Davis L. Davis G. Dawe H. Dayal F. De Flaviis H. De Los Santos P. De Maagt D. De Zutter B. Deal A. Dec J. Deen J. Dees J. DeFalco D. Degroot C. Deibele J. Del Alamo A. Deleniv M. DeLisio S. Demir J. DeNatale E. Denlinger N. Deo

A. Deutsch Y. Deval T. Dhaene A. Diaz-Morcillo G. D’Inzeo C. Diskus B. Dixon T. Djordjevic M. A. Do J. Doane J. Dobrowolski W. Domino S. Dow C. Dozier P. Draxler R. Drayton A. Dreher F. Drewniak S. Dudorov S. Duffy L. Dunleavy V. Dunn J. Dunsmore A. Dutta D. Duvanaud A. Duzdar S. Dvorak L. Dworsky M. Dydyk L. Eastman J. Ebel R. Egri R. Ehlers T. Eibert H. Eisele B. Eisenstadt G. Eisenstein G. Eleftheriades I. Elfadel S. El-Ghazaly F. Ellinger T. Ellis B. Elsharawy R. Emrick N. Engheta B. Engst Y. Eo H. Eom N. Erickson J. Eriksson C. Ernst M. Eron L. Escotte M. Essaaidi J. Everard G. Ewell A. Ezzeddine M. Faber C. Fager D.-G. Fang N. Farhat M. Farina W. Fathelbab A. Fathy A. Fazal E. Fear R. Feinaugle M. Feldman P. Feldman A. Ferendeci C. Fernandes A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko I. Filanovsky P. Filicori D. Filipovic A. Fliflet P. Focardi B. Fornberg K. Foster P. Foster G. Franceschetti A. Franchois M. Freire R. Freund A. Freundorfer F. Frezza R. Fujimoto V. Fusco G. Gabriel T. Gaier Z. Galani I. Galin D. Gamble B.-Q. Gao M. Garcia K. Gard R. Garver G. Gauthier B. Geller V. Gelnovatch P. Genderen G. Gentili N. Georgieva W. Geppert J. Gerber F. Gerecht F. German S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini A. Gibson S. Gierkink J. Gilb B. Gilbert B.Gimeno E.Glass A. Glisson M. Goano E. Godshalk J. Goel M. Goldfarb C. Goldsmith P. Goldsmith M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon P. Gould K. Goverdhanam J. Graffeuil L. Gragnani B. Grant G. Grau A. Grebennikov B. Green T. Gregorzyk I. Gresham E. Griffin

J. Griffith A. Griol G. Groskopf C. Grossman T. Grzegorczyk M. Guglielmi P. Guillon K.-H. Gundlach A. Gupta K. Gupta R. Gupta F. Gustrau R. Gutmann W. Gwarek R. Haas J. Hacker G. Haddad S. Hadjiloucas C. Hafner M. Hagmann S. Hagness H.-K. Hahn A. Hajimiri D. Halchin A. Hallac B. Hallford K. Halonen R. Ham K. Hamaguchi M. Hamid J.-H. Han A. Hanke V. Hanna V. Hansen G. Hanson Y. Hao L. Harle M. Harris L. Hartin H. Hartnagel J. Harvey H. Hasegawa K.-Y. Hashimoto K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi J. Hayashi L. Hayden B. Haydl S. He T. Heath J. Heaton I. Hecht G. Hegazi P. Heide E. Heilweil W. Heinrich G. Heiter M. Helier R. Henderson R. Henning D. Heo J. Herren K. Herrick N. Herscovici J. Hesler J. Heston M. Heutmaker C. Hicks R. Hicks A. Higgins M. Hikita D. Hill G. Hiller W. Hioe J. Hirokawa T. Hirvonen V. Ho W. Hoefer R. Hoffmann M. Hoft J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii D. Hornbuckle J. Horng J. Horton K. Hosoya R. Howald H. Howe J.-P. Hsu Q. Hu C.-C. Huang C. Huang F. Huang H.-C. Huang J. Huang P. Huang T.-W. Huang A. Huber D. Huebner H.-T. Hui A. Hung C. Hung H. Hung I. Hunter J. Hurrell M. Hussein B. Huyart I. Huynen H.-Y. Hwang J. Hwang K.-P. Hwang J. Hwu C. Icheln T. Idehara S. Iezekiel P. Ikonen K. Ikossi K. Inagaki A. Ishimaru T. Ishizaki Y. Ismail K. Itoh T. Itoh F. Ivanek A. Ivanov T. Ivanov C. Iversen D. Iverson D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob M. Jacob H. Jacobsson D. Jaeger N. Jaeger N. Jain R. Jakoby G. James R. Janaswamy

Digital Object Identifier 10.1109/TMTT.2005.856960

V. Jandhyala W. Jang R. Jansen J. Jargon B. Jarry P. Jarry A. Jelenski W. Jemison S.-K. Jeng M. Jensen E. Jerby G. Jerinic T. Jerse P. Jia D. Jiao J.-M. Jin J. Johansson R. Johnk W. Joines K. Jokela S. Jones U. Jordan L. Josefsson K. Joshin J. Joubert R. Kagiwada T. Kaho M. Kahrs D. Kajfez S. Kalenitchenko B. Kalinikos H. Kamitsuna R. Kamuoa M. Kanda S.-H. Kang P. Kangaslahtii B. Kapilevich K. Karkkainen M. Kärkkäinen A. Karpov R. Karumudi A. Kashif T. Kashiwa L. Katehi A. Katz R. Kaul S. Kawakami S. Kawasaki M. Kazimierczuk R. Keam S. Kee S. Kenney A. Kerr O. Kesler L. Kettunen M.-A. Khan J. Kiang O. Kilic H. Kim I. Kim J.-P. Kim W. Kim C. King R. King A. Kirilenko V. Kisel A. Kishk T. Kitamura T. Kitazawa M.-J. Kitlinski K. Kiziloglu R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kobayashi G. Kobidze P. Koert T. Kolding N. Kolias B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa B. Kopp B. Kormanyos K. Kornegay M. Koshiba T. Kosmanis J. Kot A. Kraszewski T. Krems J. Kretzschmar K. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa H. Kubo C. Kudsia S. Kudszus E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar J. Kuno J.-T. Kuo P.-W. Kuo H. Kurebayashi T. Kuri F. KurokI L. Kushner N. Kuster M. Kuzuhara Y.-W. Kwon I. Lager R. Lai J. Lamb P. Lampariello M. Lanagan M. Lancaster U. Langmann G. Lapin T. Larsen J. Larson L. Larson J. Laskar M. Laso A. Lauer J.-J. Laurin G. Lazzi F. Le Pennec J.-F. Lee J.-J. Lee J.-S. Lee K. Lee S.-G. Lee T. Lee K. Leong T.-E. Leong Y.-C. Leong R. Leoni M. Lerouge K.-W. Leung Y. Leviatan R. Levy L.-W. Li

Y.-M. Li L. Ligthart C.-L. Lin J. Lin G. Linde S. Lindenmeier A. Lindner C. Ling H. Ling D. Linkhart P. Linnér D. Lippens F. Little A. Litwin L. Liu Q.-H. Liu S.-I. Liu Y.-W. Liu O. Llopis S. Lloyd C. Lohmann J. Long U. Lott D. Lovelace K. Lu L.-H. Lu S. Lu W.-T. Lu V. Lubecke S. Lucyszyn R. Luebbers L. Lunardi S. Luo J. Luy C. Lyons G. Lyons Z. Ma S. Maas G. Macchiarella S. Maci T. Mader M. Madihian A. Madjar M. Magana T. Magath C. Mahle S. Mahmoud I. Maio M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu D. Malocha L. Maloratsky V. Manasson C. Mann H. Manohara R. Mansour S. March V. Mark F. Marliani R. Marques G. Marrocco S. Marsh J. Martens L. Martens J. Marti A. Martin E. Martinez A. Massa D. Masse K. Masterson A. Materka K. Matsunaga A. Matsushima R. Mattauch M. Mattes G. Matthaei P. Mayer W. Mayer J. Mazierska J. Mazur G. Mazzarella K. McCarthy P. McClay T. McKay J. McKinney R. McMillan R. McMorrow D. McPherson D. McQuiddy E. McShane F. Medina D. Meharry C. Meng H.-K. Meng W. Menzel F. Mesa R. Metaxas P. Mezzanotte K. Michalski E. Michielssen A. Mickelson V. Mikhnev R. Miles E. Miller M. Miller P. Miller R. Minasian J. Mink S. Mirabbasi J. Miranda D. Mirshekar T. Miura S. Miyahara H. Miyashita M. Miyazaki K. Mizuno S. Mizushina C. Mobbs M. Mohamed A. Mohammadian A. Mohan A. Mondal T. Monediere R. Mongia M. Mongiardo C. Monzon C. Moore J. Morente M. Morgan A. Morini J. Morsey A. Mortazawi H. Mosallaei J. Mosig A. Moulthrop G. Mourou A. Moussessian M. Mrozowski J.-E. Mueller T. Mueller J. Muldavin M. Muraguchi V. Nair K. Naishadham T. Nakagawa M. Nakatsugawa

M. Nakhla C. Naldi J. Nallatamby S. Nam T. Namiki G. Narayanan T. Narhi M. Nasir A. Natarajan J. Nath B. Nauwelaers J. Navarro J. Nebus D. Neikirk B. Nelson A. Neto E. Newman H. Newman M. Ney E. Ngoya C. Nguyen T. Nichols K. Niclas E. Niehenke S. Nightingale Y. Nikawa P. Nikitin A. Niknejad N. Nikolova K. Nikoskinen M. Nisenoff T. Nishikawa G. Niu S. Nogi T. Nojima T. Nomoto A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon J. O’Callahan M. Odyneic H. Ogawa K.-I. Ohata T. Ohira H. Okazaki V. Okhmatovski A. Oki M. Okoniewski G. Olbrich A. Oliner S. Oliver J. Olsson F. Olyslager A. Omar B.-L. Ooi A. Orlandi R. Orta S. Ortiz J. Osepchuk J. Ou W. Ou T. Oxley R. Paglione T. Palenius W. Palmer D.-S. Pan S.-K. Pan C. Panasik R. Panock C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park H. Park D. Parker T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino S. Patel P. Pathak A. Pavio J. Pavio T. Pavio J. Pearce W. Pearson J. Pedro B. Pejcinovic S.-T. Peng R. Pengelly J. Pereda L. Perregrini M. Petelin A. Peterson D. Peterson O. Peverini U. Pfeiffer A.-V. Pham J. Phillips L. Pierantoni B. Piernas J. Pierro P. Pieters B. Pillans M. Pirola W. Platte A. Platzker C. Pobanz A. Podell R. Pogorzelski P. Poire R. Pollard G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prather R. Pregla D. Prescott M. Prigent S. Pritchett Y. Prokopenko S. Prosvirnin J. Pulliainen L. Puranen D. Purdy J. Putz Y. Qian T. Quach D. Quak P. Queffelec R. Quere F. Raab V. Radisic L. Raffaelli M. Raffetto C. Railton O. Ramahi S. Raman

J. Randa R. Ranson T. Rappaport J.-P. Raskin P. Ratanadecho J. Rathmell C. Rauscher J. Rautio J. Rayas-Sánchez H. Reader G. Rebeiz B. Redman-White E. Reese R. Reid H.-M. Rein J. Reinert I. Rekanos R. Remis K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle E. Rius J. Rius B. Rizzi V. Rizzoli I. Robertson P. Roblin S. Rockwell A. Roden A. Rodriguez M. Rodwell H. Rogier A. Rong Y. Rong J. Roos D. Root N. Rorsman L. Roselli A. Rosen J. Rosenberg U. Rosenberg F. Rotella E. Rothwell L. Roy J. Roychowdury T. Rozzi J. Rubio R. Ruby A. Rudiakova M. Rudolph A. Ruehli P. Russer D. Rutledge A. Rydberg D. Rytting T. Saad C. Saavedra K. Sabet M. Sachidananda G. Sadowniczak A. Safavi-Naeini A. Safwat M. Sagawa M. Salazar M. Salazar-Palma A. Sanada M. Sanagi A. Sangster W. Sansen K. Sarabandi T. Sarkar C. Sarris P. Saunier S. Savov D. Schaubert I. Scherbatko G. Schettini F. Schettino M. Schetzen B. Schiek M. Schindler M. Schlechtweg E. Schmidhammer L. Schmidt D. Schmitt F. Schmückle F. Schnieder J. Schoukens D. Schreurs G. Schreyer W. Schroeder H. Schumacher J. Schutt-Aine F. Schwering W. Scott F. Sechi A. Seeds J. Sercu R. Settaluri J. Sevic O. Sevimli D. Shaeffer L. Shafai O. Shanaa Z. Shao M. Shapiro A. Sharma V. Shastin P. Shastry R. Shavit T. Shen T. Shibata A. Shibib H. Shigesawa Y.-C. Shih T. Shiozawa M. Shirokov W. Shiroma Y. Shoji N. Shuley M. Shur P. Siegel D. Sievenpiper B. Sigmon A. Sihvola C. Silva M. Silveira M. Silveirinha K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler J. Sitch N. Skou Z. Skvor R. Sloan D. Smith G. Smith P. Smith C. Snowden R. Snyder R. So H. Sobol E. Sobolewski A. Sochava N. Sokal V. Sokolov

M. Solano K. Solbach M. Solomon B.-S. Song M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers T. Sowlati R. Sparks S. Spiegel P. Staecker D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson A. Stelzer J. Stenarson K. Stephan M. Stern C. Stevens S. Stitzer M. Stone B. Strassner P. Stuart M. Stubbs M. Stuchly R. Sturdivant A. Suarez N. Suematsu T. Suetsugu Y. Suh F. Sullivan C. Sun L. Sundstrom S. Sussman-Fort K. Suyama J. Svacina D. Swanson B. Szendrenyi A. Szu W. Tabbara A. Taflove G. Tait Y. Tajima Y. Takayama M. Taki Y. Takimoto S. Talisa K. Tan W.-C. Tang E. Taniguchi R. Tascone J. Taub J. Tauritz D. Teeter F. Teixeira M. Tentzeris S.-A. Teo K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson G. Thoren M. Thumm N. Tilston W. Tinga I. Tittonen G. Tkachenko M.-R. Tofighi T. Tokumitsu K. Tomiyasu P. Tommasino A. Toropainen M. Toupikov I. Toyoda C. Trask S. Tretyakov R. Trew A. Trifiletti C. Trueman P. Truffer A. Truitt C.-M. Tsai R. Tsai J. Tsalamengas L. Tsang H.-Q. Tserng J. Tsui M. Tsuji T. Tsujiguchi R. Tucker J. Tuovinen C.-K. Tzuang T. Ueda K. Uehara S. Ueno J. Uher A. Uhlir T. Ulrich Y. Umeda T. Uwano N. Uzunoglu R. Vahldieck M. Vaidyanathan P. Vainikainen M. Valtonen N. Van der Meijs D. Van der Weide P. Van Genderen E. Van Lil C. Van Niekerk M. Vanden Bossche G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie K. Varian L. Vegni G. Venanzoni I. Vendik S. Verdeyme V. Veremey R. Vernon J. Verspecht L. Verweyen H. Vickes A. Victor L. Vietzorreck A. Viitanen F. Villegas D. Vinayak C. Vittoria S. Vitusevich D. Viveiros E. Viveiros J. Volakis V. Volman J. Vuolevi K. Wagner K. Wakino P. Waldow A.-A. Walid T. Walid

D. Walker V. Walker P. Wallace J. Walsh C. Wan A. Wang B.-Z. Wang C. Wang E. Wang H. Wang J. Wang K.-C. Wang L. Wang T.-H. Wang W. Wang Y. Wang Z. Wang K. Warnick K. Washio T. Watanabe R. Waterhouse R. Waugh D. Webb J. Webb K. Webb R. Webster S. Wedge C.-J. Wei R. Weigel T. Weiland A. Weily S. Weinreb J. Weiss S. Weiss A. Weisshaar C. Weitzel K. Weller T. Weller C.-P. Wen W. Weng M. Wengler S. Wentworth C. Westgate C. Whelan J. Whelehan L. Whicker J. Whitaker P. White S. Whiteley K. Whites W. Wiesbeck G. Wilkins A. Wilkinson D. Williams B. Wilson J. Wiltse P. Winson K. Wong K.-L. Wong T. Wong J. Woo J. Wood G. Woods G. Wrixon B.-L. Wu H. Wu K.-L. Wu R.-B. Wu T. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin H.-Z. Xu S.-J. Xu Y. Xu Q. Xue A. Yakovlev S. Yamamoto C.-H. Yang F. Yang H.-Y. Yang Y. Yang H. Yano H. Yao K. Yashiro S. Ye J. Yeo K. Yeo S.-P. Yeo S.-J. Yi W.-Y. Yin H. Ymeri S. Yngvesson T. Yoneyama C.-K. Yong H.-J. Yoo J.-G. Yook R. York N. Yoshida S. Yoshikado A. Young L. Young G. Yu M. Yu A. Zaghoul K. Zaki J. Zamanillo P. Zampardi J. Zapata J. Zehentner Q.-J. Zhang R. Zhang A. Zhao L. Zhao L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin R. Ziolkowski H. Zirath A. Zolfaghari T. Zwick