MARCH 2012 
IEEE MTT-V060-I03 (2012-03A) [60, 3 ed.]

Citation preview

MARCH 2012

VOLUME 60

NUMBER 3

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Theory and Numerical Methods Quasi-Analytical Modeling of Transmission/Reflection in Strip/Slit Gratings Loaded With Dielectric Slabs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Rodríguez-Berral, F. Medina, F. Mesa, and M. García-Vigueras Characterization of Waveguides With a Combination of Conductor and Periodic Boundary Contours: Application to the Analysis of Bi-Periodic Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. E. Varela and J. Esteban Interpolation-Based Parameterized Model Order Reduction of Delayed Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Ferranti, M. Nakhla, G. Antonini, T. Dhaene, L. Knockaert, and A. E. Ruehli Portable Space Mapping for Efficient Statistical Modeling of Passive Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Zhang, P. H. Aaen, and J. Wood Longitudinal-Partitioning-Based Waveform Relaxation Algorithm for Efficient Analysis of Distributed Transmission-Line Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Roy, A. Dounavis, and A. Beygi Passive Components and Circuits Phase Velocities Equalization of Coupled Microstrip Lines Using -Shaped Particles and Suppression of the Second Harmonic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Hatefi Ardakani, S. Fallahzadeh, and J. Rashed-Mohassel Design of a New Type Planar Balun by Using Trans-Directional Couplers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-I. Shie, J.-C. Cheng, S.-C. Chou, and Y.-C. Chiang Novel Second-Order Dual-Mode Dual-Band Filters Using Capacitance Loaded Square Loop Resonator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Fu, B. Wu, J. Chen, S. Sun, and C. Liang Synthesis Methodology Applied to a Tunable Patch Filter With Independent Frequency and Bandwidth Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. L. C. Serrano, F. S. Correra, T.-P. Vuong, and P. Ferrari Simulation and Experiment of a Compact Wideband 90 Differential Phase Shifter . .. . M. Sorn, R. Lech, and J. Mazur

405 419 431 441 451

464 471 477 484 494

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Electron Devices and Device Modeling Modeling Inductive Behavior of MOSFET Scattering Parameter in the Breakdown Regime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-I. Lee, W.-C. Lin, and Y.-T. Lin Continuous Wave Terahertz Generation From Ultra-Fast InP-Based Photodiodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Rouvalis, C. C. Renaud, D. G. Moodie, M. J. Robertson, and A. J. Seeds Hybrid and Monolithic RF Integrated Circuits Miniaturized UWB Filters Integrated With Tunable Notch Filters Using a Silicon-Based Integrated Passive Device Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Wu, Y. Shim, and M. Rais-Zadeh Stability and Bifurcation Analysis of Self-Oscillating Quasi-Periodic Regimes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Suárez, E. Fernández, F. Ramírez, and S. Sancho Novel MMIC Power Amplifier Linearization Utilizing Input Reflected Nonlinearity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N.-C. Kuo, J.-L. Kuo, and H. Wang Low-Power Sub-Harmonic Direct-Conversion Receiver With Tunable RF LNA and Wideband LO Generator at U-NII Bands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-S. Syu and C. Meng 2–6-GHz BiCMOS Polar-Based Vector Modulator for - and -Band Diversity Receivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . U. Mayer, M. Wickert, R. Eickhoff, and F. Ellinger Instrumentation and Measurement Techniques Formulation for Complete and Accurate Calibration of Six-Port Reflectometer . . . . . . . . . . . . . . . . . K. Haddadi and T. Lasri The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Bae, H. Cho, K. Song, H. Lee, and H.-Y. Yoo Optimized Low-Complexity Implementation of Least Squares Based Model Extraction for Digital Predistortion of RF Power Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Guan and A. Zhu Closed-Loop Digital Predistortion System With Fast Real-Time Adaptation Applied to a Handset WCDMA PA Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. D. Presti, D. F. Kimball, and P. M. Asbeck RF Applications and Systems 24-GHz Integrated Radio and Radar System Capable of Time-Agile Wireless Communication and Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Han and K. Wu

502 509

518 528 542 555 567 574 582 594 604

619

LETTERS

Comments on “Theoretical and Experimental Study of a New Class of Reflectionless Filter” . . . . . . . . . . . . . . . . S. C. D. Roy

632

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

634

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . .

635

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

G. LYONS, Secretary

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2188582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

405

Quasi-Analytical Modeling of Transmission/ Reflection in Strip/Slit Gratings Loaded With Dielectric Slabs Raúl Rodríguez-Berral, Francisco Medina, Fellow, IEEE, Francisco Mesa, Senior Member, IEEE, and María García-Vigueras, Student Member, IEEE

Abstract—This paper presents a quasi-analytical approach to study the classic topic of transmission/reflection of electromagnetic waves through 1-D periodic arrays of strips/slits in metal screens. The approach is based on standard waveguide discontinuity theory. Starting from field equations, it is inferred a circuit-like reduced-order model with just one parameter to be determined. The value of this parameter can be obtained from the transmission/reflection coefficient provided by any full-wave method at just one single frequency. In this way, the computation effort to obtain very wide-band responses of periodically distributed slits or strips under oblique TE/TM illumination in the presence of loading dielectric slabs is reduced to the full-wave analysis of the structure at a single frequency value. For relatively narrow strip/slit gratings, this procedure gives very accurate results even for very complicated transmission/reflection spectra. An additional advantage of the present approach is that it allows for an easy understanding of the underlying physics of the phenomena involved. Index Terms—Diffraction gratings, equivalent-circuit model, extraordinary and conventional transmission/reflection, impedance matching.

I. INTRODUCTION HE study of the transmissivity/reflectivity through/from periodically structured metal surfaces has been a topic of intense research activity for decades. The study of optical gratings is a well-known example of this classical research activity [1]–[4]. More recently, a huge interest on the study of these periodic structures has flourished in connection with the discovery of the extraordinary optical transmission (EOT) phenomenon [5]. Since then, hundreds of papers have been published on the topic and, nowadays, EOT is quite well understood

T

Manuscript received June 07, 2011; revised December 08, 2011; accepted December 14, 2011. Date of publication January 31, 2012; date of current version March 02, 2012. This work has been supported by the Spanish Ministerio de Ciencia e Innovación and European Union FEDER funds (projects TEC2010-16948 and TEC2010-21520-C04-04), by Junta de Andalucía (project TIC–4595), and Regional Seneca project 08833/PI/08 (Regional Scholarship PMPDI-UPCT-2009). R. Rodríguez-Berral and F. Mesa are with the Microwaves Group, Department of Applied Physics 1, ETS de Ingeniería Informática, University of Seville, 41012-Seville, Spain (e-mail: [email protected]; [email protected]). F. Medina is with the Department of Electronics and Electromagnetism, Faculty of Physics, University of Seville, 41012-Seville, Spain (e-mail: [email protected]). M. García-Vigueras is with Departamento de las Tecnologías de la Información y las Comunicaciones. Universidad Politécnica de Cartagena, 30202 Murcia, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2181186

(see, for instance, a number of comprehensive and authoritative reviews in [6]–[11]). A point of view on EOT alternative to the widely accepted theory of the interaction of the impinging wave with surface waves supported by the periodic structure was reported in [12]. In this latter work, the electrically small aperture involved in the process is seen as a reactive discontinuity in the path of an electromagnetic mode propagating along a waveguide. The waveguide can be a real waveguide, such as the circular-section waveguide considered in [13] and [14], or a virtual waveguide accounting for each of the unit cells of the periodically perforated screen [12], [15], [16]. This point of view is very fruitful because it leads straightforwardly to a circuit-like model having just a few parameters to be determined. Models of this type have been successfully adapted to various structures, such as simple and compound slit gratings [17]. Note that once the parameters of the circuit model are known, the transmission and reflection coefficients of the structure can be accurately generated for a very wide frequency range, thus avoiding lengthy calculations. Apart from the computational advantage, the qualitative response of the system can easily be anticipated from simple circuit-theory-based reasoning. Many analytical approaches proposed to study the above structures have been restricted to free-standing metal structures. The presence of dielectric slabs to mechanically support the patterned metal surface, although not always mandatory, is often required. Furthermore, dielectric slab manipulation can give the designer additional control on the transmission/reflection properties of the periodic structure. Due to this reason, some authors have paid attention to the analysis of metallic perforated screens printed on dielectric slabs [18]–[21]. The presence of the dielectric slabs makes the transmission spectrum much richer than that of the free-standing structures. Hence, periodically patterned metallic structures printed on dielectric substrates have been of common use in microwave and antenna engineering: these are the so-called frequency selective surfaces (FSSs). This kind of filtering structure has exhaustively been studied for many years. The essentials of their history, performance, and design techniques can be found in a well-known textbook [22]. In the microwaves and antennas literature, most of the attention has been paid to the study of what it might be called conventional transmissivity/reflectivity. This can be motivated by the search for practical engineering applications rather than new electromagnetic effects. Nevertheless, the tools developed for the fast analysis and design of conventional FSSs (namely, circuit modeling techniques) could be adapted to account for the exotic phenomena that attract the attention of physicists and experts in optics.

0018-9480/$31.00 © 2012 IEEE

406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

The use of circuit models to deal with the electromagnetic behavior of periodic metallic, dielectric or metalo-dielectric structures has a long tradition. Circuit models were widely used to explain the filtering properties of 2-D diffraction grids employed in the design of infrared filters [23]–[25]. The same methodology can also be applied in other frequency ranges (see, for instance, [26]–[30], among many other papers). However, the circuit models proposed in the literature are often limited to frequencies significantly below the onset of the grating lobes of the periodic structure, which means that the unit-cell dimensions are smaller than the operating wavelength. This is an important drawback to explore the part of the frequency spectrum that exhibits exotic characteristics. Moreover, no systematic method is usually provided to build the circuit topology and to compute the circuit parameters. Thus, most of the available circuit models fail when dealing with relatively complex phenomena, such as extraordinary transmission or complex transmission spectra caused by the interaction of higher order modes. Due to this, it is important to develop new strategies to efficiently deal with this problem. In this way, a very accurate circuit model that incorporates some closed-form frequency-dependent components and transmission lines has been reported in [31] for the modeling of 2-D arrays of dipoles sandwiched between dielectric slabs. The problem of arrays of infinitely long narrow slits made in metal screens has recently been solved in [32] for the special case of TM normal incidence. In [33] and [34], a similar model has also been used to deal with the so-called anomalous extraordinary transmission of a periodic 2-D structure. The purpose of the present work is then to extend the heuristic approach presented in [32]–[34] in order to provide a more rigorous analytical basis to account for a number of new situations for narrow slit/strip systems sandwiched between different dielectric slabs under oblique incidence and TM/TE polarizations. Particular cases of the general situations to be considered in this work are shown in Fig. 1. In the notation employed for the specific layered media in this figure, it has been considered that medium (1) is the first dielectric slab found by the impinging wave coming from the free-space left hand side (free space will always be denoted by superscript (0)). The following dielec. The tric slabs are denoted by superscript , with case of slits/strips placed in the boundary between two semi-infinite media was studied in two classical papers by Guglielmi and Oliner [35], [36]. Unfortunately, the presence of dielectric slabs was not considered in the methodology reported in [35] and [36]. Now, our proposed technique provides a suitable circuit model for a very general situation with just one parameter to be numerically calculated. This parameter could eventually be known in closed form or, in general, extracted from one value of the scattering parameters computed at one specific frequency point (a method-of-moment scheme is used in this paper for this purpose). A number of examples will illustrate how our simple equivalent-circuit model accounts for the most fine details of the complex transmission/reflection spectra exhibited by this kind of lamellar gratings. The limits of validity of our model are also discussed. II. STATEMENT OF THE PROBLEM AND THEORETICAL BASIS In this section, we investigate the nature of the circuit models accounting for the physical situations depicted in Fig. 1. An

Fig. 1. Structures under study. (a) Slit grating consisting of a periodic distribution of relatively narrow slits made in a thin metal sheet embedded in a stratified dielectric medium. (b) Strip grating, similar to (a) but with narrow strips instead of narrow slits. The structures are illuminated with uniform TEM waves with an arbitrary angle of incidence.

infinitely thin metallic screen periodically perforated with slits (slit grating) and sandwiched between two different layered dielectric media is depicted in Fig. 1(a). The quasi-dual structure consisting of an array of parallel metal strips placed in a similar dielectric environment is considered in Fig. 1(b). A TM- or TE-polarized plane wave impinges on any of these structures. If the metal is removed, the incident wave would partially be reflected and transmitted through every interface between the dielectric layers. As is well known, this last problem can be studied in terms of an analogous transmission line network in which each dielectric layer is represented by a length of transmission line whose characteristic impedance is given by the wave impedance inside the layer [37]. The presence of the periodic metallic pattern can be accounted for by adding appropriate circuit elements to this basic transmission line background. Although there are many different circuits that could fit the experimental or numerically obtained reflection/transmission curves, an appropriate choice based on physical and/or mathematical considerations is key to keep the number of parameters as small as possible while yielding very good accuracy in a wide frequency band. Thus, in this section, we provide the theoretical foundation for the proposed circuit models for the situations depicted in Fig. 1. Under the assumption of electrically narrow slits/strips (or equivalently wide strips/slots), the topology of the appropriate equivalent circuits that account for the presence of metal strips/slits is easily inferred from the field equations at both sides of the discontinuity. For the sake of clarity, the derivation is first presented in detail for the case of normal incidence. Once the circuit models are obtained for this case, it is shown how they can be straightforwardly extended to the general case of oblique incidence. Due to the periodic nature of the structure and the excitation, the problem can be reduced to the study of a single unit cell. Taking into account the symmetries of the structure, the unit cell is equivalent to a parallel-plate waveguide with electric/magnetic walls for normal TM/TE polarization of the incident wave. Inside the equivalent waveguide the screen acts as a capacitive/inductive iris discontinuity. This discontinuity

RODRÍGUEZ-BERRAL et al.: QUASI-ANALYTICAL MODELING OF TRANSMISSION/REFLECTION IN STRIP/SLIT GRATINGS

407

launches higher order modes that are coupled between themselves and with the incident field. Since modes of different order only couple mutually at the screen and not at the dielectric interfaces, our initial goal in next section will be to find the equivalent circuit that models the behavior of an iris discontinuity between two parallel-plate waveguides filled with different homogeneous dielectric slabs. A. Narrow Slits, Normal Incidence The unit cell problem under consideration is shown in Fig. 2. Due to the invariance of the problem along the direction of the slits , the TM/TE incident wave only excites TM/TE modes at the iris plane [TM: , TE: ]. For both TM and TE excitations, the corresponding transverse (to ) component of the electric field at both sides of the discontinuity can be expressed in terms of its modal expansion at as (an is assumed and implicit time dependence of the type suppressed throughout the ongoing derivations) (1) (2) where superscript , 1, 2 refers to region (see Fig. 2), and are the reflection and transmission coefficients, respectively (an incident TEM wave with unit amplitude electric field has been considered), and is the transverse modal wavenumber of the th higher order mode. Similarly, the corresponding transverse magnetic field is given by

(3) (4) is the following modal admittance of the th mode where in region :

Fig. 2. Representation of the basic unit cell problems to be solved for normal incidence of TM/TE waves on a slit-like grating system. The top and bottom plane surfaces defining the generalized parallel plate waveguide will be perfect electric/magnetic walls for TM/TE waves. A general circuit valid for calculating the scattering parameters of the fundamental TEM mode is given at the bottom of the figure.

for all values of . Hence, the coefficients of their modal expansions have to be equal, namely, (8) (9) Note that (8) represents the continuity of the voltage in the transmission line model. Next we examine the projection of the tangential electric field over the modal profiles, given by the following integral: (10)

Due to the perfect conductor boundary condition on the metallic region, the integrand in (10) is nonzero only in the slit region . Also, for narrow slits and not very high values of , the cosine profile is approximately constant and equal to unity over the slit. Taking these facts into account, we have (11)

TM modes TE modes

(5) Introducing the modal expansion (1) in (11), it is obtained the following relation between the TEM and the higher order coefficients:

with (6) being the longitudinal wavenumber of mode

in region and (7)

the intrinsic wavenumber and impedance of the dielectric medium in region , respectively. The transverse electric field must be zero on the perfect conductor screen and continuous across the slit region. Therefore, the transverse component of the electric field satisfies

(12) Introducing now this approximation (valid in the narrow slit limit) into (3) and (4), and enforcing the continuity of the mag, it is obtained that netic field across the slit

(13)

408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Enforcing a point matching condition at , (13) leads to the following expression for the reflection coefficient:

(14) If the global admittance of the equivalent circuit that would account for the scattered higher order modes in Fig. 2 is denoted as , basic transmission line theory tell us that the reflection coefficient is given by (15) Comparing (14) and (15), the admittance of the equivalent circuit can be identified as (16) which clearly suggests an equivalent circuit that consists of a simple parallel connection of the modal admittances of the higher order modes, multiplied by two. Although the above derivation is basically correct, there are some nuances that need further discussion. The approximation [and also (12)] is accurate provided that . As a consequence, we cannot retain a high number of higher order modes in the sums in (13), (14), and (16). In for , which fact, for TE modes, it is found that would make (16) divergent. We could simply truncate the above series at some suitable value of , but although this strategy can provide accurate results, it is not useful in practice due to its instability and the difficulty in finding a general criterion for the number of terms to be retained in each specific case. Fortunately, the contribution of these “problematic” higher order modes can easily be taken into account in an alternative way, resulting in a much more stable and reliable model, as explained next. The contribution of modes with very high order gives rise to a strongly evanescent reactive field, confined in the close vicinity of the screen. As such, it seems reasonable to model the effect of this field as a given capacitance/inductance for TM/TE polarization. The mathematical reason for that comes from the frequency behavior of the modal admittances in (5), which can be written as TM modes

TM TE

(19)

where there is only one parameter to be determined, namely, for TM and for TE polarization. It should be emphasized that this model has neither been proposed heuristically nor is based on qualitative reasoning. Rather, it has been deduced as a valid approximation following a rigorous procedure based on the continuity of the fields and the appropriate boundary conditions at the screen. The equivalent admittance in (19) is clearly formed by a parallel connection of admittances whose values are twice the modal admittances of the first higher order modes at both sides of the discontinuity together with a global capacitance/inductance accounting for the contribution of the infinite set of remaining TM/TE higher order modes. In most practical situations, the required is very low, as it will be shown later. Here, it is important to note that the modal admittances at both sides of the screen appear in the model multiplied by the same factor. This might seem surprising since the screen is surrounded by different dielectric media, and hence it could be expected that the factors accompanying both admittances were different (in other words, these factors could be expected to depend on the permittivity of the dielectric medium). However, the derivation of the equivalent circuit suggests that these factors are not different because they are related to the vertical modal profiles, which clearly do not depend on the permittivity of the medium but only on the order of the mode and the size of the waveguide.

(17)

B. Narrow Strips, Normal Incidence

(18)

For the narrow strip limit, the situation to be considered is depicted in Fig. 3 (strip-like grating). Here, we use the modal expansion of the fields already proposed in (1)–(4), the relations (8) and (9), and consider the following projection of the magnetic field discontinuity over the cosine profiles:

TE modes where

than the cutoff frequency of the first higher order mode, then all the modal admittances in (17) are positive (negative) imagfor inary quantities and approximately proportional to TM (TE) modes. Hence, the global effect of the discontinuity can appropriately be accounted for by a frequency-independent capacitance/inductance for TM/TE polarization. However, as frequency increases, the lowest higher order modes get close to their cutoff frequency (and eventually become propagative) and, thus, the full frequency dependence of their admittances given in (5) or (17) must be taken into account. However, the remaining higher order modes are still far below their cutoff frequency and their global contribution can still be described, to a good approximation, by a single frequency-independent capacitance/inductance. It is worth mentioning that the basic idea of the separation of the contribution of propagative and evanescent modes has been extensively used in the study of waveguides and free-standing gratings [38]–[40]. According to the above discussion and the parallel connection already inferred, the equivalent admittance of our proposed circuit can be written as

is the operating frequency and

is the cutoff frequency of mode in region ( is the speed of light in vacuum). If the operating frequency is much lower

(20)

409

RODRÍGUEZ-BERRAL et al.: QUASI-ANALYTICAL MODELING OF TRANSMISSION/REFLECTION IN STRIP/SLIT GRATINGS

Similar to (10), the integrand of (20) is zero for . Also, for narrow strips and not very high values of , the cosines can , obtaining be approximated by unity for

(21)

Using (3), (4), (8), and (9) in (21), it is obtained that

(22) Taking into account the boundary condition for the electric field on the strip surface (23)

Fig. 3. Representation of the basic unit cell problems to be solved for normal incidence of TM/TE waves on a strip-like grating system. The top and bottom plane surfaces defining the generalized parallel plate waveguide will be perfect conductors for TM waves and perfect magnetic conductors for TE waves. A general circuit valid for calculating the scattering parameters of the fundamental TEM mode is given at the bottom of the figure.

at is now a Floquet-series expansion. For each of the modes of the normal incidence case, we have now a pair of positive/negative order spatial harmonics, namely,

and making use of (1) and (22), we have (28) (29)

(24) in this last After enforcing a point matching condition at equation, the reflection coefficient can finally be written as

and

(25)

(30)

Thus, the global impedance of the equivalent circuit is found to be (31)

(26) Hence, the proposed equivalent impedance of the one-parameter circuit model for narrow strips is given by TM TE.

where (32)

(27)

The equivalent circuit then consists of series connected elements, of which are shunt connections between the admittances of the th higher order mode in regions 1 and 2, divided by two. The last series-connected component is a global capacitance or inductance accounting for the very high-order modes. C. Generalization to Oblique Incidence In the case of oblique incidence, the unit-cell problem to be considered consists of a discontinuity in a generalized parallelplate waveguide with periodic boundary conditions (instead of electric or magnetic walls). The modal expansion of the fields

is the component of the incident wavevector tangential to the screen ( is the phase shift impressed by the incident wave between adjacent unit cells). Each spatial harmonic plays the role of a mode of the unit-cell in the generalized waveguide problem, whose “modal” admittance can be computed from (5) with (33) The cutoff frequency of the ( 1, 2) is given by

spatial harmonic in medium

(34)

410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

and its “modal” transverse field profile is . Considering now that this transverse field profile can be approximated as for

and

(35)

we obtain expressions completely analogous to the ones presented in the preceding two sections for the case of normal incidence. Thus, the following one-parameter equivalent admittance is obtained for the circuit model accounting for the narrow-slit case: TM TE

(36)

whereas, for the narrow-strip case, we obtain TM TE.

(37)

The corresponding equivalent circuits are similar to those obtained in previous sections, except for the factor 2 appearing in each term in (19) and (27), which is now absent. It makes the models for normal and oblique incidence mutually consistent, since as , both and tend to the corresponding modal admittance of the normal-incidence th-order mode. Thus, the corresponding terms in (36) or (37) add together to yield one single term multiplied by the factor 2. At this point, it should be noticed that the approximation used to obtain the normal incidence models is , whereas correct up to the second order in is valid only up to first order. Therefore, it is expected that the range of validity of the circuit model for oblique incidence is more restricted. Nevertheless, even for oblique incidence, the proposed one-parameter models are found to be quite robust and provide accurate results for and , which is remarkable.

Fig. 4. Unit cell for a multilayer slit-like grating structure together with its equivalent circuit (see expression (36)). The definition of the required input admittances (for the right and left sides) can be deduced from the bottom circuits.

D. Finite Thickness Dielectric Layers and Losses The equivalent-circuit models developed in preceding sections account for the coupling of the different modes (or spatial harmonics) at the periodic screen sandwiched between semi-infinite dielectric media. If finite-thickness dielectric slabs are now incorporated in the analysis, it should be considered that each mode is also partially reflected and transmitted at each dielectric interface, without coupling with modes of different order. As commented above, the propagation of each mode through the dielectric slabs (and its transmission/reflection at the interfaces) can be rigorously described in terms of a transmission line network in which each dielectric layer is represented by a length of transmission line whose characteristic impedance is the modal impedance inside the corresponding dielectric medium. Therefore, the presence of the different dielectric slabs can be straightforwardly incorporated in the model by simply replacing the modal admittances in (19), (27), (36), and (37) with the input admittances of the corresponding transmission lines. Note that, in most practical cases, the incident wave comes from free-space, and thus the component of the incident wavevector tangential to the screen is (the expression given in (32) was for the

Fig. 5. Unit cell for a multilayer strip-like grating structure together with its equivalent circuit (see expression (37)). The input admittances (for the right and left sides) can be deduced from the bottom circuits in Fig. 4.

case of two semi-infinite dielectric media). As an example, Fig. 4 shows the model for normal incidence in the case of a slit grating sandwiched between a dielectric slab at the left-hand side and two dielectric slabs at the right-hand side. The strip grating version is depicted in Fig. 5. When a higher order mode becomes propagative inside the dielectric layers, the corresponding input admittance may exhibit a complicated frequency behavior, including zeros, poles, and sign reversals. It may result in an equivalent admittance that behaves as a short/open circuit at given frequency values, and also in a change of its global reactive nature from capacitive to inductive, and vice versa. This rich frequency behavior is the reason for the transmission and reflection peaks introduced by

RODRÍGUEZ-BERRAL et al.: QUASI-ANALYTICAL MODELING OF TRANSMISSION/REFLECTION IN STRIP/SLIT GRATINGS

the presence of the dielectric slabs and, in general, for all the new phenomenology observed in the sophisticated spectra of these structures. According to the above discussion, the number of terms whose complete frequency dependence must be taken into account ( for normal incidence and for oblique incidence) in order to accurately reproduce the transmission behavior of the structure is determined by the number of higher order modes (or spatial harmonics) that become propagative inside the dielectric with the highest permittivity in the frequency range of interest. In addition, the first evanescent mode should also be included if its cutoff frequency is close to be reached. As a consequence, the number of terms in the equivalent circuits (lumped elements characterized by the input admittances shown in Figs. 4 and 5) is usually small for most practical cases. For an incident wave impinging from free space at an incidence angle , the cutoff frequency of the spatial harmonic inside an homogeneous dielectric layer with relative permittivity is given by

411

tial harmonic in the case of oblique incidence) that propagates inside the dielectric layer just at the immediate left side of the screen ( ). This reflection coefficient is denoted as and, according to our models, can be obtained in terms of the admittances in the equivalent circuit as (41)

where is the TEM wave admittance inside the dielectric medium to the immediate left of the screen, is the input admittance of the TEM transmission line network at the right side of the screen, and is the global equivalent admittance that accounts for the effect of the slit/strip discontinuity. From (41), this equivalent admittance can be expressed as (42)

(38)

For the case of narrow slits and TM normal incidence, after introducing the generalization of (19) to multiple dielectric layers into (42), it is found that

and thus the number of higher order modes whose cutoff frequencies inside this dielectric layer is below the onset of the first grating lobe is

(43)

(39) indicates the nearest smaller integer. Therefore, for where is commonly most practical cases, one single mode enough for low permittivity slabs whereas 3, 4 would generally suffice for high permittivity substrates. Finally, dielectric losses can be taken into account by simply introducing the complex value of the permittivity

and are the input admittances of the transmiswhere sion line network corresponding to the th higher order mode at the left- and right-hand sides of the screen, respectively. Given the value of at one given frequency, the value of the parameter can readily be obtained from (43). In practice, it is recommended to compute at a low-frequency value where the circuit model is virtually exact; specifically, we have used 1 GHz for a structure with 5 mm, which means , with the (0) superscript denoting vacuum.

(40) III. NUMERICAL RESULTS AND DISCUSSION in the expressions of the modal wavenumbers and characteristic admittances. E. Computation of the Circuit Parameters The equivalent-circuit models reported in previous subsections have only one parameter to be determined. This quasi-static capacitance/inductance appearing in expressions (19), (27), (36), and (37) can be known in closed form in some limiting cases although, in general, it can be computed from a single full-wave numerical modeling of the structure under study. Different specific strategies can be followed to relate the circuit parameters to the full-wave results. In the following, it is briefly explained the strategy used in this work to obtain the numerical results presented in Section III. Using a full-wave numerical technique, one can obtain the amplitude of the modal fields propagating to the left and to the right inside each dielectric layer for a given amplitude of the incident wave. (Here, our choice has been a method of moments using multiple basis functions that incorporate the edge singularities.) In order to obtain a simple linear relation between the full-wave data and the circuit parameters, we consider the reflection coefficient of the TEM wave (or the zeroth-order spa-

In this section, we discuss the two main features of the quasianalytical model here proposed: i) the numerical efficiency that is achieved by the combination of our equivalent-circuit model with a single full-wave computation to obtain even very complex spectra in a wide frequency band, and ii) the predictive ability of our approach, which provides us with information to qualitatively understand the details of the transmission/reflection spectra. To start with, we consider the case of narrow strips printed on a dielectric slab under normal TM illumination. Fig. 6 shows the magnitude of the reflection coefficient for this situation. The results obtained for the strip grating without the slab and for the slab without the metal grating are also shown for comparison purposes. The free-standing strip grating is, as expected, almost transparent along the whole explored frequency range, with a slight increase of reflectivity as frequency increases. Due to the weak interaction of the impinging wave with the metal grating, one could expect that the narrow strips only slightly disturb the reflection properties of a dielectric slab without metallic loading. This is approximately what is observed in Fig. 6 except for a sharp reflection peak located at about 56.26 GHz. The values shown as circles in the figure have been computed using

412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 7. Circuit model for the specific situation studied in Fig. 6. Fig. 6. Magnitude of the reflection coefficient for a strip grating printed on a dielectric slab under TM normal illumination. The reflection coefficient of the free-standing strip array and the reflection coefficient of the unloaded dielectric 5 mm, 1 mm, 1 mm, slab are also shown. Structure parameters: .

has a pole at and, therefore, at some frequency between and , we will find that (46)

the TM-polarization one-parameter circuit model in (27) (additionally, in (27); only the first higher order frequency-dependent input admittance is explicitly considered since only that mode propagates inside the dielectric region). Note that the equivalent-circuit model accounts very accurately for the reflection spectrum of the structure. The narrow-band reflection peak in Fig. 6 can be considered an example of extraordinary reflection [7] induced, in this case, by the presence of the dielectric slab. The circuit model also provides a simple and clear qualitative explanation of the existence of this extraordinary reflection peak. For that purpose, we consider the equivalent circuit proposed for this specific situation in Fig. 7. In this figure, is the capacitance associated with the below-cutoff first higher order mode in free space, given by (44) This capacitance has a pole singularity at the cutoff frequency of the first higher order mode in free space (i.e., at the onset of 59.96 GHz. The input admittance the first grating lobe), of the right hand side transmission line associated with the first higher order mode is

(45)

which takes into account the presence of the dielectric slab on the contribution of this specific mode. For low frequency values, at which the first higher order mode is evanescent in the dielectric region, the characteristic admittance is capacitive (imaginary and positive), feature that is passed on to the input admittance . At the cutoff frequency of this mode in the di40.42 GHz, has a singularity, but electric region, the wavenumber is zero, and the input admittance remains , is real capacitive and finite. For frequencies above and decreases as frequency increases. On the other hand,

where the denominator of (45) becomes zero. At this frequency, the input admittance becomes infinite, causing a short cir, in the cuit that leaves only the higher order capacitance, equivalent circuit shown in Fig. 7. For higher frequencies, the denominator in (45) reverses its sign causing that becomes , inductive. At frequencies slightly above the singularity of this inductive input admittance is very large and dominates over . However, taking into account once again that grows to infinity at , it is clear that this capacitance gains relevance as frequency is further increased, which counteracts the inductive admittance and decreases the value of the global admittance of the parallel connection between both of them. Eventually, this global inductive admittance will cancel out the higher order capacitance , namely, (47) When this condition is satisfied, the complete equivalent circuit in Fig. 7 acts as a resonant LC series, short-circuiting the transmission line and causing the incident wave to be totally reflected by the dielectric loaded strip grating. Note that this resonance takes place at a frequency between the divergence of the input admittance [given by condition (46)] and the onset of the first grating lobe ( 59.96 GHz in our case). As neither nor depend on the thickness of the dielectric slab, , it is clear that (46) is satisfied at a higher frequency value for smaller values of , making the total reflection peak move closer to for thinner dielectric slabs. Also, the peak will be narrower due to the more pronounced frequency dependence of . A similar shift of the reflection peak to higher frequencies is expected when the slab permittivity is decreased, due to the increase of the cutoff frequency of the first higher order mode in the slab region. All the above predictions are confirmed in Fig. 8, which shows the reflection coefficient for different values of the slab thickness and permittivity. As it can be observed, the agreement between the results provided by the circuit model and the very accurate full-wave method of moments (MoM) data is excellent in all cases. An interesting

RODRÍGUEZ-BERRAL et al.: QUASI-ANALYTICAL MODELING OF TRANSMISSION/REFLECTION IN STRIP/SLIT GRATINGS

Fig. 8. Reflection coefficient of the geometry in Fig. 6 for several values of: (a) 5 mm, 1 mm, ); and the thickness of the dielectric slab ( 5 mm, 1 mm, 1 mm). Solid lines: (b) the dielectric constant ( results obtained using a full-wave method of moments code. Discrete points: . Data provided by our equivalent circuit (27) with

feature of the reflection curves in Fig. 8(a) is that the total reflection peak is followed by a dip in the reflection coefficient (i.e., a transmission peak), which becomes more pronounced for the smallest values of . The equivalent circuit also provides a simple explanation for this detail. The input admittance of the transmission line that models the propagation of the TEM wave to the right of the grating can be written as

(48)

In the electrically thin limit,

, (48) can be reduced to (49)

if only first-order terms are retained in the small parameter expansion. This represents a parallel connection of the free-space admittance and a small capacitance that can be interpreted as the difference between the capacitance of the short length of transmission line representing the TEM wave in the dielectric

413

Fig. 9. Evolution of the position and bandwidth of the extraordinary reflection peak of the structure in Fig. 6 when varying the strip width . Solid lines represent full-wave MoM results whereas the discrete points are the data ob. Structure parameters: tained using our equivalent circuit (27) with 5 mm, 1 mm, (same as Fig. 6). (b) Same as (a) but (a) . with a practical lossy substrate with

and the capacitance representing the same length in vacuum. Now, for frequencies slightly above the short circuit condition (47), the admittance of the parallel connection of and is of inductive nature and slightly smaller than . Then, the admittance of the complete equivalent circuit is inductive quickly grows as frequency and very large. However, as further increases (recall that this parameter has a singularity at ), it will compensate for the inductive admittance , thus reducing the inductive global admittance of the complete equivalent circuit, which indeed will become zero when . During this process, the global inductive admittance of the complete equivalent circuit will eventually cancel out the capacitance introduced by the TEM input admittance in (49), giving rise to an almost perfect impedance matching (very low reflection) for very thin dielectric slabs. Next, we study the influence of the strip width, . This study is very important since, in principle, the proposed equivalentcircuit model is valid only in the narrow strip limit. Fig. 9(a) shows the excursion of the reflection peak for different values of . Specifically, as the strip width increases, becomes larger thereby shifting the reflection peak towards lower frequencies. The figure also shows that the results provided by our

414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

one-parameter circuit model start to deteriorate as the strips become wider. However, the results are excellent up to 1 mm ). For 1.25 mm, the model still pro(i.e., for vides very accurate results, whereas for 1.5 mm, the deviation is more noticeable; nevertheless, the relative error in the position of the peak is less than 0.4%. It was already mentioned that dielectric losses can be incorporated in a straightforward way in the model. Thus, Fig. 9(b) shows the same results as in Fig. 9(a) but now for a practical low-loss dielectric substrate . The parameter of the circuit model, , is obtained from the full-wave results at one single frequency value (1 GHz) for the lossless structure. In other words, the same value of the parameter is used in both Fig. 9(a) and (b). A slight deviation with respect to the full-wave results is observed for the wider strips, very similar to the lossless structure in Fig. 9(a). It can be observed that the decrease of the reflected power due to the presence of dielectric losses is very accurately predicted by the circuit model. As expected, the effect of losses is more significant for the narrower strips (the peaks are sharper). However, even for the narrowest considered strips 0.5 mm , the reflection peak is still quite noticeable, and the gratings with strips of 1 mm and wider are almost unaffected by the small dielectric losses here considered. The previous spectra were obtained for a strip grating printed on a thin low-permittivity substrate. It is clearly expected that the spectra increase their complexity for high-permittivity and/or thick substrates. Results (not included) obtained for different strip widths in the case of a high-permittivity substrate show that the range of applicability of the circuit model is slightly reduced with respect to the low-permittivity case. As a general rule, it has been found that our models provide and . reasonably accurate results for In Fig. 10, we study the performance of the equivalent-circuit model for a slit grating printed on a dielectric slab under normal TE incidence conditions. The transmission coefficient for the free-standing screen (i.e., without the dielectric slab) is also shown. As expected, the transmission is very low over most of the explored frequency band. However, the presence of the dielectric slab gives rise to a sharp transmission peak at 56 GHz, followed by a transmission zero (Fano-like resonance). Again, our circuit model very accurately reproduces the transmission behavior of the printed slit grating and provides a simple qualitative explanation of the observed behavior. shahb The equivalent circuit for the configuration studied in Fig. 10 is depicted in Fig. 11, where represents the inductance associated with the below-cutoff first higher order mode in free space, which is given by

Fig. 10. Magnitude of the transmission coefficient under normal TE illumina1 mm and 5 mm printed on a dielectric tion for a slit grating with 1.5 mm and . The transmission coefsubstrate with thickness ficient of the free-standing grating (without dielectric slab) and of the unloaded dielectric slab are also shown.

Fig. 11. Circuit model for the situation studied in Fig. 10.

(50) The value of the admittance of the global higher order inductance is very high when compared with the characteristic admittances of the lines, thus explaining the overall strong reflection for most of the considered frequency range. The input admittance can be written as

(51)

Fig. 12. Magnitude of the transmission coefficient for the same slit grating previously considered in Fig. 10, but printed on dielectric slabs with several dif. Solid lines: data obtained from ferent thicknesses and permittivity a full-wave MoM technique. Discrete symbols: data computed from the equivterm. alent-circuit model (19) with

At low frequencies, this admittance is inductive. However, is singular at the onset frequency of the first grating lobe

RODRÍGUEZ-BERRAL et al.: QUASI-ANALYTICAL MODELING OF TRANSMISSION/REFLECTION IN STRIP/SLIT GRATINGS

415

Fig. 13. Magnitude of the transmission coefficient at normal incidence. The period is 5 mm and the slit/strip width is . The grating is embedded , , , 1.5 mm. All the dielectric layers are lossy with . in the layered media shown in Fig. 1 with (a) Slit grating under TE illumination. (b) Slit grating under TM illumination. (c) Strip grating under TE illumination. (d) Strip grating under TM illumination.

59.96 GHz . Therefore, becomes capacitive at satisfying certain frequency below

(52) For thin substrates, this capacitive admittance is much lower than the global higher order admittance given by , and thus only strong reflection is expected for any frequency. However, if the electrical length of the transmission line corresponding to the first higher order mode inside the dielectric approaches one quarter of the dielectric wavelength , then might become significant. In fact, for those frequencies where this transmission line is slightly larger than one quarter of the wavelength, the tangent functions in (51) become negative, large and quickly decaying. Thus, the denominator of in (51) will eventually vanish, causing this admittance to become infinite, which explains the transmission zero. Moreover, as the capacitive admittance grows towards its divergence point, at some frequency value, it will counteract the and contributions to make the complete tank (open circuit). equivalent circuit behave as a resonant At this frequency, the slit grating does not have any effect on the impinging wave and the value of the transmission coefficient will be the same as for the dielectric slab alone, which means a considerable increase in the transmission level with respect

Fig. 14. Transmission coefficient for a strip-like grating under TE illumina), in such a way that tion. The strips are extremely narrow ( strong transmission is expected along the shown frequency range. However transmission zeros or dips induced by the presence of the slab are observed. 5 mm, 1.5 mm, . Data:

to the situation without slab (see Fig. 10). However, the transmission peak observed in the spectrum is even higher than the expected from this reasoning. To explain this fact, it should be considered that the input admittance of the right hand side TEM in (48), which is capacitive transmission line given by at low frequencies, has already become inductive (remind that

416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 15. Magnitude of the transmission coefficient under TM oblique incidence of a slit grating ( 5 mm, 0.5 mm) embedded in the layered media shown , , , 1.5 mm. All the dielectric layers are lossy with . (a) 10 , (b) in Fig. 1 with 30 , (c) 60 , and (d) 80 .

, which means that the length of transmission line corresponding to the TEM mode in the dielectric is already larger than one quarter of the wavelength). In consequence, for frequencies above the resonance, the growing capacitive admittance of the equivalent circuit compensates the inductive part of and results in an even higher transmission peak. The studied phenomenon is the same reported in [19] or, more recently, in [33] and [34]. Previously, it was mentioned that the slit grating under TE illumination does not exhibit peaks of extraordinary transmission unless the electrical thickness of the substrate reaches certain threshold. This can be clearly observed in Fig. 12, where only the curves with 1 mm show total transmission peaks, in agreement with the conclusions in [33]. A study carried out (not explicitly shown) on the efficiency and range of applicability of the equivalent-circuit model yields basically the same conclusions as for the strip grating under TM polarization. As a final example of normal incidence, Fig. 13 collects all the possible scenarios of TM/TE polarizations and strip/slit gratings. The gratings are embedded in a multilayered environment as that shown in Figs. 4 and 5, whose characteristics are detailed in the caption of Fig. 13. The multilayered nature of the structure makes the observed reflection/transmission spectra have multiple peaks and dips. However, and despite the very involved shape of the different spectra, all the situations are very accurately accounted for by our one-parameter equivalent-circuit model. It is remarkable that with the use of only one low-fre-

quency full-wave datum, the equivalent-circuit model is able to reproduce even the extremely narrow-band details of the spectra (it should be noted that the obtaining of these narrow-band details via the full-wave method requires a very fine frequency sweeping—about 2000 frequency points in our computations to generate the curves in Fig. 13). In order to validate our model under oblique incidence conditions Fig. 14 shows the transmission coefficient in the case of narrow strips and parallel polarization (TE) for three different incidence angles. For normal incidence, a behavior that is quasi-dual to the one reported in [19] and [33] or in Fig. 12 is observed (there is a strong narrow band transmission zero not expected in the absence of dielectric slab). This behavior can easily be explained from our circuit model. It is interesting to see how, for oblique incidence, the transmission zero splits into two dips. According to our model, it happens because of the splitting of each modal admittance into the pair of admittances associated with the corresponding spatial harmonics of positive and negative order. It should be noted that, for oblique incidence with 5 , the higher frequency dip is a perfect 10 this dip no longer reaches zero. The zero whereas for reason for that behavior can be explained looking at the cutoff frequencies of the first higher order spatial harmonic in free space: 55.15 GHz for 5 and 51.09 GHz for 10 . It can be observed that the dips are below the grating lobe regime for 5 , whereas the second dip for 10 is already within the grating lobe regime. In this

RODRÍGUEZ-BERRAL et al.: QUASI-ANALYTICAL MODELING OF TRANSMISSION/REFLECTION IN STRIP/SLIT GRATINGS

latter case, the input admittance of the harmonic is re, thus precluding the appearance of a perfect sistive for short circuit. In Fig. 15, it is shown a final example of oblique incidence and TM polarization for a slit-like grating embedded in a multilayered environment [this structure was already studied in Fig. 13(b) for normal incidence]. Full-wave MoM data are compared with those computed from the equivalent-circuit model for several angles of incidence (the whole spectra here considered require hundreds to thousands of evaluations of the scattering parameters when obtained from the full-wave numerical approach). This comparison shows a very good agreement in spite of the high number of peaks and dips observed in the transmission spectrum. In the present case, it is important to point out that our simple one-parameter models allow us to reproduce the spectrum even beyond the grating-lobe regime. The appearance of the grating-lobe regimes are at the following frequencies: 51.09 GHz for 10 , 39.97 GHz for 30 , 32.13 GHz for 60 , and 30.21 GHz for 80 . It is somewhat surprising that this very simple equivalent-circuit model can provide so accurately results for frequencies so high in the grating-lobe regime as that shown, for example, in Fig. 15(d). IV. CONCLUSION This paper has reported a quasi-analytical method to model strip-like and slit-like diffraction gratings printed or embedded in layered structures. The method provides different equivalent-circuit models for different polarizations and different types of structures. Each of these models allow us to reproduce the characteristics of complex reflection/transmission spectra using just one adjustable parameter, which can be computed from full-wave data generated at a single frequency point. In contrast with previously published works on the topic, the models are not restricted to the low-frequency limit or to the semi-infinite medium approximation. Recently reported phenomena such as extraordinary transmission/reflection induced by the presence of dielectric slabs are easily explained within the proposed simple circuit-theory frame. The accuracy of the quasi-analytical results is surprisingly good beyond the apparent limitation of the basic theory here developed. REFERENCES [1] R. W. Wood, “On a remarkable case of uneven distribution of light in a diffraction grating spectrum,” Phylosoph. Mag., vol. 4, pp. 396–402, 1902. [2] R. W. Wood, “Diffraction gratings with controlled groove form and abnormal distribution of intensity,” Phylosoph. Mag., vol. 23, pp. 310–317, 1912. [3] L. Rayleigh, “Dynamical theory of the grating,” Proc. Roy. Soc. (London), vol. A79, pp. 399–416, 1907. [4] U. Fano, “The theory of anomalous diffraction gratings and of quasistationary waves on metallic surfaces (Sommerfeld’s waves),” J. Opt. Soc. Amer., vol. 31, pp. 213–222, 1941. [5] T. W. Ebbesen, H. J. Lezec, H. F. Ghaemi, T. Thio, and P. A. Wolff, “Extraordinary optical transmission through sub-wavelength hole arrays,” Nature, vol. 391, pp. 667–669, Feb. 1998. [6] C. Genet and T. W. Ebbesen, “Light in tiny holes,” Nature, vol. 445, pp. 39–46, Jan. 2007. [7] F. J. García-de-Abajo, “Colloquium: Light scattering by particle and hole arrays,” Rev. Modern Phys., vol. 79, pp. 1267–1290, Oct.–Dec. 2007.

417

[8] K. Y. Bliokh, Y. P. Bliokh, V. Freilikher, S. Savel’ev, and F. Nori, “Colloquium: Unusual resonators: Plasmonics, metamaterials, and random media,” Rev. Mod. Phys., vol. 80, pp. 1201–1213, Oct.–Dec. 2008. [9] F. J. García-Vidal, L. Martín-Moreno, T. W. Ebbesen, and L. Kuipers, “Light passing through subwavelength apertures,” Rev. Mod. Phys., vol. 82, pp. 729–787, Jan.–Mar. 2010. [10] R. Gordon, A. G. Brolo, D. Sinton, and K. L. Kavanagh, “Resonant optical transmission through hole-arrays in metal films: Physics and applications,” Laser Photon. Rev., vol. 4, no. 2, pp. 311–335, 2010. [11] J. B. Pendry, L. Martín-Moreno, and F. J. Garcia-Vidal, “Mimicking surface plasmons with structured surfaces,” Science, vol. 305, pp. 847–848, Aug. 2004. [12] F. Medina, F. Mesa, and R. Marqués, “Extraordinary transmission through arrays of electrically small holes from a circuit theory perspective,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3108–3120, Dec. 2008. [13] F. Medina, J. A. Ruiz-Cruz, F. Mesa, J. Rebollar, J. R. Montejo-Garai, and R. Marqués, “Experimental verification of extraordinary transmission without surface plasmons,” Appl. Phys. Lett., vol. 95, p. 071102, Aug. 2009. [14] F. Medina, F. Mesa, J. A. Ruíz-Cruz, J. M. Rebollar, and J. R. Montejo-Garai, “Study of extraordinary transmission in a circular waveguide system,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1532–1542, Jun. 2010. [15] A. G. Schuchinsky, D. E. Zelenchuk, and A. M. Lerer, “Enhanced transmission in microwave arrays of periodic sub-wavelength apertures,” J. Opt. A, Pure Appl. Opt., vol. 7, pp. S102–S109, 2005. [16] M. Beruete, I. Campillo, M. Navarro-Cía, F. Falcone, and M. Sorolla, “Molding left- or right-handed metamaterials by stacked cutoff metallic hole arrays,” IEEE Trans. Antennas Propag., vol. 55, no. 6, pp. 1514–1521, Jun. 2007. [17] F. Medina, F. Mesa, and D. C. Skigin, “Extraordinary transmission through arrays of slits: A circuit theory model,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 105–115, Jan. 2010. [18] V. Lomakin and E. Michielssen, “Enhanced transmission through metallic plates perforated by arrays of subwavelength holes and sandwiched between dielectric slabs,” Phys. Rev. B, vol. 71, p. 235117. [19] E. Moreno, L. Martín-Moreno, and F. J. García-Vidal, “Extraordinary optical transmission without plasmons: The s-polarization case,” J. Opt. A, Pure Appl. Opt., vol. 8, pp. S94–S97, 2006. [20] V. Lomakin and E. Michielssen, “Beam transmission through periodic subwavelength hole structures,” IEEE Trans. Antennas Propag., vol. 55, no. 6, pp. 1564–1581, Jun. 2007. [21] R. Ortuño, C. García-Meca, F. J. Rodríguez-Fortuño, J. Martí, and A. Martínez, “Multiple extraordinary optical transmission peaks from evanescent coupling in perforated metal plates surrounded by dielectrics,” Opt. Express, vol. 18, no. 8, pp. 7893–7898, Apr. 2010. [22] B. Munk, Frequency Selective Surfaces: Theory and Design. New York: Wiley, 2000. [23] R. Ulrich, “Far-infrared properties of metallic mesh and its complementary structure,” Infrared Phys., vol. 7, pp. 37–55, 1967. [24] R. Ulrich, “Effective low-pass filters for far infrared frequencies,” Infrared Phys., vol. 7, pp. 65–74, 1967. [25] P. G. J. Irwin, P. A. R. Ade, S. B. Calcutt, F. W. Taylor, J. S. Seeley, R. Hunneman, and L. Walton, “Investigation of dielectric spaced resonant mesh filter designs for PMIRR,” Infrared Phys., vol. 34, no. 6, pp. 549–563, 1993. [26] C. T. Cunningham, “Resonant grids and their use in the construction of submillimeter filters,” Infrared Phys., vol. 23, no. 4, pp. 207–215, 1983. [27] F. Bayatpur and K. Sarabandi, “Single-layer higher-order miniaturized-element frequency-selective surfaces,” IEEE Trans. Microw, Theory Tech., vol. 56, no. 4, pp. 774–781, Apr. 2008. [28] F. Bayatpur and K. Sarabandi, “Multipole spatial filters using metamaterial-based miniaturized-element frequency-selective surfaces,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2742–2747, Dec. 2008. [29] O. Luukkonen, C. Simovski, G. Granet, G. Goussetis, D. Lioubtchenko, A. V. Risnen, and S. A. Tretyakov, “Simple and accurate analytical model of planar grids and high-impedance surfaces comprising metal strips or patches,” IEEE Trans. Antennas Propag., vol. 56, no. 6, pp. 1624–1632, Jun. 2008. [30] C. S. R. Kaipa, A. B. Yakovlev, F. Medina, F. Mesa, C. A. M. Butler, and A. P. Hibbins, “Circuit modeling of the transmissivity of stacked two-dimensional metallic meshes,” Opt. Express, vol. 18, no. 13, pp. 13309–13320, Jun. 2010.

418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[31] M. García-Vigueras, F. Mesa, F. Medina, R. Rodríguez-Berral, and J. L. Gómez-Tornero, “Equivalent circuits for conventional and extraordinary reflection in dipole arrays,” presented at the Int. Microw. Symp., Baltimore, MD, Jun. 2011. [32] R. Rodríguez-Berral, F. Medina, and F. Mesa, “Circuit model for a periodic array of slits sandwiched between two dielectric slabs,” Appl. Phys. Lett., vol. 96, p. 161104, Apr. 2010. [33] M. Beruete, M. Navarro-Cía, S. A. Kuznetsov, and M. Sorolla, “Circuit approach to the minimal configuration of terahertz anomalous extraordinary transmission,” Appl. Phys. Lett., vol. 98, p. 014106, 2011. [34] M. Beruete, M. Navarro-Cía, and M. Sorolla, “Understanding anomalous extraordinary transmission from equivalent circuit and grounded slab concepts,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 9, pp. 2180–2188, Sep. 2011. [35] M. Guglielmi and A. A. Oliner, “Multimode network description of a planar periodic metal-strip grating at a dielectric interface—Part I: Rigorous network formulations,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 535–541, Mar. 1989. [36] M. Guglielmi and A. A. Oliner, “Multimode network description of a planar periodic metal-strip grating at a dielectric interface-Part II: Small-aperture and small-obstacle solutions,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 542–552, Mar. 1989. [37] R. B. Adler, L. J. Chu, and R. M. Fano, Electromagnetic Energy Transmission and Radiation. London, U.K.: Chapman & Hall, 1960. [38] J. Schwinger and D. S. Saxon, Discontinuities in Waveguides. New York: Gordon and Breach, 1968. [39] L. A. Weinstein, The Theory of Diffraction and the Factorization Method. Boulder, CO: Golem Press, 1969. [40] L. Lewin, Theory of waveguides. New York: Wiley, 1975. Raúl Rodríguez-Berral was born in Casariche (Seville), Spain, in 1978. He received the Licenciado (M.Sc.) and Ph.D. degrees in physics from the University of Seville, Seville, Spain, in 2001 and 2008, respectively. In January 2002, he joined the Department of Applied Physics 1, University of Seville, where he is currently an Assistant Professor. His research interests include the study of the spectrum and the excitation of periodic and nonperiodic planar structures and high-frequency circuit modeling.

Francisco Medina (M’90–SM’01–F’10) was born in Puerto Real, Cádiz, Spain, in November 1960. He received the Licenciado and Doctor degrees from the University of Seville, Seville, Spain, in 1983 and 1987 respectively, both in physics. From 1986 to 1987, he spent the academic year with the Laboratoire de Microondes de l’ENSEEIHT, Toulouse, France. From 1985 to 1989, he was an Assistant Professor with the Department of Electronics and Electromagnetism, University of Seville, where, since 1990, he has been an Associate Professor of

electromagnetism. He has been a Full Professor of electromagnetism since July 2009 and he is also currently Head of the Microwaves Group. His research interest includes analytical and numerical methods for guiding, resonant, and radiating structures, passive planar circuits, periodic structures, and the influence of anisotropic materials (including microwave ferrites) on such systems. He is also interested on artificial media modeling and design and extraordinary transmission phenomena. Dr. Medina is on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering and is a reviewer of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and of approximately 30 other IEEE, Institution of Electrical Engineers (IEE), U.K., and American Physics Society journals. He has been a member of the Technical Programme Committees (TPC) of several international and local conferences and has organized a few conferences and workshops. He is a Fellow of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He was the recipient of a Spanish Ministerio de Educación y Ciencia (MEC) Research Scholarship and a French Ministére de la Recherche et la Technologie Scholarship.

Francisco Mesa (M’93–SM’11) was born in Cádiz, Spain, in April 1965. He received the Licenciado and Doctor degrees in physics from the Universidad de Sevilla, Seville, Spain, in 1989 and 1991, respectively. He is currently Professor in the Departamento de Física Aplicada 1, Universidad de Sevilla, Seville, Spain. His research interests focus on electromagnetic propagation/radiation in planar structures.

María García-Vigueras (S’09) was born in Murcia, Spain, in 1984. She received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Spain, in 2007, where she is currently working towards the Ph.D. degree. In 2008, she joined the Department of Communication and Information Technologies, UPCT, as a Research Assistant. She has been a visiting Ph.D. student at Heriot-Watt University, Edinburgh, Scotland, U.K., the University of Seville, Spain, and the Queen’s University in Belfast, Northern Ireland, U.K. Her research interests focus on the development of equivalent circuits to characterize periodic surfaces, with application to the analysis and design of leaky-wave antennas.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

419

Characterization of Waveguides With a Combination of Conductor and Periodic Boundary Contours: Application to the Analysis of Bi-Periodic Structures José Enrique Varela and Jaime Esteban

Abstract—Bi-periodic homogeneous cylindrical structures are studied, for the first time, under a waveguide viewpoint. The periodic nature of the structure allows for its characterization by means of a single period, or unit cell. Accordingly, the eigenvalues and eigenfunctions of the wave equation, under a combination of perfect conductor and periodic boundary conditions, are studied. The results show that, under certain conditions, bi-periodic homogeneous cylindrical structures share some important properties with closed waveguides, namely, they can be described by means of a complete set of TEM, TE and TM modes. Considering the aforementioned properties, a mode-matching approach is proposed for the analysis of multilayered, bi-periodic structures with arbitrary metallization and dielectric thicknesses, as an accurate, efficient and versatile method. The application of the proposed approach is exemplified through the analysis of the incidence of plane waves at arbitrary angles on bi-periodic surfaces, the analysis and measurement of a waveguide simulator, and the characterization of a parallel-plate waveguide with a bi-periodically textured surface. Numerical results and measurements confirm the accuracy of this approach. Index Terms—Generalized scattering matrices, mode matching (MM), periodic structures, transverse resonance, waveguide analysis.

I. INTRODUCTION

P

ERIODIC and quasi-periodic layered structures are found in a great number of applications as, e.g., frequency selective surfaces [1], high impedance surfaces [2], reflectarrays [3], [4] and negative-refraction-index slabs [5], [6]. The analysis of layered surfaces, such as the one shown in Fig. 1(a), is usually carried out by means of the integral equation method

Manuscript received June 22, 2011; revised November 24, 2011; accepted November 29, 2011. Date of publication January 31, 2012; date of current version March 02, 2012. This work was supported by the Spanish Ministerio de Ciencia e Innovación, Programa Consolider-Ingenio 2010, under Grant CSD2008-00066, EMET, and by the Junta de Andalucía, Spain, under Grant P10-TIC-6883. J. E. Varela is with the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería de Telecomunicación, Universidad de Málaga, 29071 Málaga, Spain (e-mail: [email protected]). J. Esteban is with the Departamento de Electromagnetismo y Teoría de Circuitos, Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain (e-mail: [email protected]. es). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2180734

Fig. 1. (a) An example of bi-periodic structure with a substrate layer and an array of metallizations with a non-negligible thickness. (b) Generic bi-periodic cylindrical structure. The red dashed line shows a period or unit cell.

[7], formulated either in the spatial or in the spectral domain, and making use of the method of moments (MoM) to solve the integral equation. This method deals easily with excitations from arbitrary incidence angles, but requires some extra effort to take into account the finite metallization thickness [8], which is relevant at millimeter-wave frequencies. Layered surfaces, reflectarrays, and more complex bi-periodic structures (wire-slabs and mushroom-like structures) have also been analyzed by hybrid MoM techniques [9], or by means of numerical CPU-intensive approaches, such as the finite-difference time-domain (FDTD) [10], [11], the finite integral technique, or the finite-element method (FEM) [12] –in this last two cases sometimes with the use of commercial software such as CST Microwave Studio [13] or HFSS [14]. As opposed to the integral equation approach, which searches for the current distribution on the metal patches (or for the magnetic currents at the apertures), this paper considers the field distribution between metallizations as the unknown magnitude to be determined. Thus, the metallizations become boundary conditions for the fields in the dielectric regions between them, rather than the carriers of the unknown currents. With this waveguide viewpoint bi-periodic cylindrical structures, such as the one shown in Fig. 1(b), have been studied. The structures considered are periodic in both and , and indefinite in the direction. Its periodic nature ensures that the analysis of a single period, or unit cell, as shown in Fig. 2(a), is enough to characterize the complete structure. The contour of the chosen unit cell, shown in Fig. 2(b), includes a combination of perfect conductor and periodic boundary conditions. The eigenvalues and eigenfunctions of the wave equation under such a combination of boundary conditions are studied, for the first time, in this

0018-9480/$31.00 © 2012 IEEE

420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 2. (a) Cross section of the bi-periodic homogeneous cylindrical structure shown in Fig. 1(b). Dashed–dotted line shows the usual unit cell, chosen in many analysis methods. The dashed line shows the unit cell that will be used in this paper. (b) Detail of a unit cell of the periodic cylindrical structure.

paper. The results show that, under certain values of the periodicity constants, bi-periodic cylindrical structures share many important properties with closed waveguides. For instance, in both structures the eigenvalues are real and positive and both can be described by means of a complete set of TEM, TE and TM modes. Taking into account the results of the aforementioned analysis, this paper considers bi-periodic homogeneous cylindrical structures, under the appropriate periodicity conditions, as waveguides, and their associated field solutions as normal modes. This identification with transversely periodic waveguides allows the use of closed waveguide theory in the analysis of a wide variety of bi-periodic structures, such as multilayered periodic surfaces. Among all the analysis methods for closed waveguide structures, this paper proposes the use of the mode matching (MM) technique, which has proven to be an efficient, versatile and accurate analysis tool in the analysis of a wide range of waveguide structures and devices [15]–[21]. Moreover, the metallization thicknesses, which can become an overburden for MoM approaches, are effortlessly taken into account by the MM technique. Section II contains the study of the eigenvalues and eigenfunctions of the wave equation under a combination of perfect conductor and periodic boundary conditions. The derivation of an expression for the eigenvalues, the orthogonality relation, and a variational expression for the derivation of an infinite series of eigenfunctions are detailed there. The particular values of the periodicity constants for which the structure considered behaves as a closed waveguide are justified, and some of the most important properties are commented for this case. Section III describes the transversely periodic waveguides that are used in the examples presented in this paper. On the one hand, the simplest transversely periodic waveguide is considered, so that some properties can be pointed out. On the other hand, how to characterize more complicated, non-analytical waveguides is commented. Once the analogies between transversely periodic and closed waveguides have been established, the MM technique is proposed in Section IV for the characterization of discontinuities between different periodic waveguides by means of the generalized scattering matrix (GSM). Since the MM technique is a well-known analysis method, no formulation details are provided. Finally, five different examples serve to show the ability of the proposed method to deal with problems of plane-wave incidence at arbitrary angles (Sections V-A and V-B), and with arbitrary metallization and dielectric thicknesses (Sections V-A–V-D). The examples also illustrate the

efficiency of the method, comparable to the most efficient MoM formulations (Section V-C), but without any extra burden in the handling of a finite metallization thickness. A waveguide simulator has been manufactured and measured (Section V-D) and provides a test for the excellent convergence and efficiency of the proposed method. As a final example, the analysis of a parallel-plate waveguide with a textured surface (Section V-E) points out the versatility of the proposed approach in a problem that, up to date, has only been tackled in an accurate manner with numerical CPU-intensive methods. II. TRANSVERSELY PERIODIC WAVEGUIDE MODES Fig. 2(a) shows the cross section of the bi-periodic homogeneous cylindrical structure shown in Fig. 1(b), i.e., a homogenous structure periodic in and and indefinite in . It is a well-known result that periodic structures can be completely characterized by means of a single period or unit cell. For convenience, this work uses the unit cell shown in red dashed lines (in online version) in Fig. 2(a), a choice that differs from the more usual unit cell—shown in green dashed–dotted lines (in online version). The cross section of the chosen unit cell is shown in Fig. 2(b). The black-line contour, , can be either a perfect electric conductor (PEC), a perfect magnetic conductor (PMC), or any combination of both of them. The periodic contour is shown in dashed lines, and is made up of four segments denoted as , , and , where subscripts , , and stand for north, south, east and west, respectively. This section will deal with this unit cell as the cross section of a homogeneous waveguide, in order to study the normal modes encountered when periodic boundary conditions are part of the waveguide contour. Therefore, the wave equation and the suitable boundary conditions have to be specified. The wave equation can be written, for the transverse electric field, as [22] (1) where (2) is the longitudinal propagation constant of a waveguide and mode. The PEC and PMC boundary conditions for the transverse electric field, to be imposed along the contour, are shown in Table I. Let and be the complex periodicity constants linking contours with and with , respectively. The boundary conditions for the transverse electric field along the and periodic contours are shown in Table II. The boundary conditions along and are completely analogous to the ones of Table II, but using instead of . The derivation of the periodic boundary conditions for the transverse electric field is detailed in Appendix A. It is a well-known result that any PEC closed cylindrical structure can be described in terms of a complete set of TEM, TE and TM modes, which is also true for PMC closed waveguides. This section will follow [22] to study the properties of waveguide cross sections such as the one shown in Fig. 2(b) that includes periodic boundaries. However, only the derivation of an expression for the eigenvalues of the wave equation, and

VARELA AND ESTEBAN: CHARACTERIZATION OF WAVEGUIDES

PEC

AND

TABLE I PMC BOUNDARY CONDITIONS TRANSVERSE ELECTRIC FIELD

421

further step in the analysis of bi-periodical homogeneous cylindrical structures is the evaluation of these integrals over the complete contour of the Fig. 2(b) cross section. Let us consider the contour. The line integrals of and are zero as discussed above. Considering now the contour, the line integral of is expanded, taking into account Table II, as

FOR THE

TABLE II PERIODIC BOUNDARY CONDITIONS FOR TRANSVERSE ELECTRIC FIELD

THE

(7) Similarly, the line integral of

its associated variational expression, will be detailed herein. Using the information obtained from this derivation, the complete study carried out in [22] can be adapted to the type of cross sections considered. Let be an eigenfunction of (1) and its eigenvalue. By substituting this solution in (1), scalar multiplying by and integrating over the complete cross section,

becomes

(8) Finally, considering the contour, analogous expressions are found for the line integrals of and . As a consequence,

(9) (3) where

, and then (4) may be rewritten as

By integrating by parts, the equation may be rewritten as follows:

(10)

(4) Thus, the where functions

, and for any two eigen-

(5)

(6) from which the expressions of and can be particularized (the general expressions with will be used further). Up to this point, the process followed is identical to that in [22]. For closed PEC waveguides, the line integrals of and become zero as it is readily deduced from Table I. For closed PMC waveguides, both line integrals are, once more, zero. As a result, for PEC and PMC closed waveguides, is real and positive. From this point, the well-known properties of waveguide modes, such as completeness or orthogonality, are derived and, therefore, these properties rely on the fact that the line integrals of and are zero for closed structures. A

values,

eigenvalue is, in general, complex. However, if is imposed (9) becomes zero and the eigen, are real and positive

(11)

The next step is to study the orthogonality between eigenfunctions. Let us consider (1) and subtract it’s complex conjugate with the subscripts and interchanged. If the result is integrated by parts over the cross section , the following equation is obtained: (12) where the fact that the right-hand side of the equation has interchanged subindexes must be highlighted. As opposed to the homogeneous PEC or PMC closed waveguides, this equation can not be used to derive an orthogonality relation. However, by imposing the condition, the right-hand side

422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

of the equation vanishes and the following orthogonality relation is obtained:

TABLE III TYPES OF MODES

(13) This paper focuses on the case in order to exploit the real-and-positive property of the eigenvalues , and the orthogonality property of the eigenfunctions. Note that these eigenfunctions, , cannot be assumed to be real without loss of generality (as opposed to the case of waveguides closed by PEC and PMC), since the periodicity constants are complex. Besides, if and are the PEC and PMC parts of the contour, and is the complete periodic contour, i.e., , then

Fig. 3. Periodic waveguides used in this paper. The upper row shows the common way in which this structures are analyzed. The lower row shows its unit cells as chosen in this paper. (a) Closed rectangular waveguide. (b) Periodic wire waveguide. (c) Periodic cross waveguide. (d) Periodic H-shaped waveguide.

(14) can be used as a variational expression to derive an infinite series of eigenfunctions as described in [22] (its first order variation is detailed in Appendix B). Each one of these eigenfunctions belong, according to (11), to one of the four groups enumerated in Table III. A complete set of eigenfunctions can be derived such that each function in the set belongs to any one of the first three groups. By analogy with closed waveguides, any eigenfunction initially belonging to group IV can be decomposed as a linear combination of two new eigenfunctions belonging to groups II and III, [22]. It is very important to note that the orthogonality relation, the variational expression, and the completeness proof, heavily rely on the condition, since expressions similar to (9) are involved. Furthermore, once the eigenvalue, , of a particular mode is known, the longitudinal propagation constant, , is obtained from (2) at any frequency. If the periodicity constants and are kept independent of the frequency, the eigenvalue is the only parameter needed to characterize the longitudinal propagation constant with frequency, just in the same way that the cut-off frequency of a mode in a closed waveguide characterizes the behavior with frequency.

In addition, the power transmission presents in the case some peculiar properties that should be highlighted. It can be checked that the complex power flow of any mode, propagating or not, over the segment of the periodic contour in Fig. 2(b) is equal to the complex power flow over the segment. The same is true between the and the segments. As a result, the net complex power flow through the complete waveguide contour vanishes and the complex power flows on the cross section of the waveguide in the direction. Once more, an analogy between closed PEC or PMC waveguides and transversely periodic waveguides is found. However, it is important to note that the Poynting vector is, in general, not directed. A clarification of this property will be provided when dealing with the periodic rectangular waveguide. To sum up, if , the Fig. 2(b) unit cell of a periodic cylindrical structure can be considered to be a waveguide, since it shares many important properties with closed PEC or PMC waveguides. Namely, it can be described by a complete set of TEM (group I), TE (group II) and TM (group III) modes. Therefore, structures such as that in Fig. 2 will be henceforth referred to as transversely periodic waveguides or simply periodic waveguides. III. TRANSVERSELY PERIODIC WAVEGUIDE EXAMPLES This section introduces the waveguides that will be used in the examples of this paper. In the first place, the periodic rectangular waveguide [see Fig. 4(c)] will be described in detail. Then, how to obtain the modes of the rest of the waveguides, shown in Fig. 3, will be commented on. A. Periodic Rectangular Waveguide Consider the particular case of a periodic waveguide in which the contour in Fig. 2(b) is removed. A rectangular contour

VARELA AND ESTEBAN: CHARACTERIZATION OF WAVEGUIDES

423

where

Fig. 4. Segmentation of a square-wire medium slab. (a) 3-D view of a bi-periodic wire-medium slab. (b) Longitudinal section of the unit cell and generalized equivalent circuit. (c) Cross section of a periodic rectangular waveguide. (d) Cross section of the periodic wire waveguide [also shown in Fig. 3(b)].

under entirely periodic boundary conditions is obtained. This particular case of periodic waveguide is the simplest possible cross section, and will be herein referred to as periodic rectangular waveguide. The modes of this periodic waveguide are analytical, thus making such waveguide a perfect example to illustrate some of the properties described above. These properties are much more difficult to clarify in more general periodic waveguides, for which it is necessary to resort to an explicit formulation of the modes, that would obscure the discussion. Solving the wave equation (1) by means of the separation of variables method leads to the longitudinal fields of the TE and TM modes (15) where constants are

and the transverse propagation

(16) and being and the sizes of the unit cell in the and directions respectively. Self-evidently, the TE and TM modes are degenerate. If the modes of each TE and TM sets have to be replaced with two TEM modes whose fields are (17) The fields described by (15)–(16) are direct TE and TM solutions of (1) under the periodic boundary conditions and hold for any complex value of the periodicity constants, and . The reader may have already identified these modes with the well-known Floquet harmonics. Each mode of this waveguide can be regarded as a plane wave propagating in the complex direction given by (18)

is obtained from (2) taking into account that . Let us consider the eigenvalues of these plane waves or periodical waveguide modes. Self-evidently, they are, in general, complex and hence producing a set of non-homogeneous plane waves. It is easily seen that these non-homogeneous plane waves are not orthogonal to each other in the sense of (13). Furthermore, (14) is not a valid variational expression and the completeness proof, as given in [22], does not hold. However, if , then the transverse wave-numbers (16) are real and the eigenfunctions become homogeneous plane waves. These homogeneous plane waves are orthogonal to their complex conjugates and form a complete set of eigenfunctions. Therefore, the cross section can be considered a closed periodic waveguide. As aforementioned, the complex power flow of each mode on the left- and right-hand-side and on the lowerand upper-side contours are equal to each other. Thus, the complex power flows on the cross section of the waveguide in the direction. However, the Poynting vector is not in the direction, but in the direction determined by . Therefore, although direction, the net comthe power is transmitted in the plex power flow of any mode is directed. B. Other Periodic Waveguides In addition to the periodic rectangular waveguide, a further section of this paper makes use of other periodic waveguides, shown in Fig. 3. The modes of these waveguides can be analytic, as the closed rectangular waveguide shown in Fig. 3(a), or nonanalytic, as the periodic Wire, Cross or H-shaped waveguides of Fig. 3(b)–(d). For the non-analytic waveguides the generalized transverse resonance technique (TRT) [23] has been used. In fact, this technique has recently been successfully adapted to the analysis of periodic structures [24], [25], and its use is the reason for the unusual selection of the unit cell mentioned in Section II. The generalized TRT is an efficient and versatile technique, and provides analytic expressions for the integrals required in the MM technique (which greatly enhances the overall MM efficiency). To sum up, when non-analytic waveguides are part of the structure under analysis, the generalized TRT can be used to find the TEM [26], TE and TM [25] mode sets. IV. METHOD OF ANALYSIS The previous discussion (Section II) has provided an alternative interpretation of the periodic cylindrical structures. Now, it is clear that if these structures can be somehow considered as closed waveguides. Assuming from now on that this condition holds, the next logical step is to consider a discontinuity between two different periodic waveguides, just in the same way as if they were discontinuities between closed waveguides. This paper proposes the MM technique as an efficient, accurate and versatile method for the analysis of these discontinuities. Since the MM technique is a mature and wellknown method of analysis, with a wide span of different formulations [15]–[19], no specific formulation details will be provided herein. However, it is important to note that the modes of the periodic waveguides are not orthogonal in self-reaction, (as defined in [20]), but in power, as stated by (13). Therefore, a

424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

power orthogonal MM formulation, such as the conservation of the complex power technique presented in [16], has to be used. To introduce the proposed approach, let us consider the wiremedium slab shown in Fig. 4(a) that, for simplicity, is made up of square-section wires (some results will be given for this structure in Section V-A). When dealing with periodic layered surfaces, the condition is fulfilled when a homogeneous plane wave impinges on the surface at an arbitrary angle since, as stated in Section III-A, the modes of the rectangular periodic waveguide can be regarded as homogeneous plane waves in such a case. Therefore, the proposed approach is not usable for non-homogeneous plane-wave excitation since, in such a case, at least one periodicity constant would not have unitary modulus. In addition, it shall be highlighted that the presence of losses in the structure under analysis does not violate the condition. Dielectric losses can be easily dealt with by using complex permittivity values, and there are MM formulations that account for the losses on the transverse metallic walls [27]. To use the proposed analysis method, the structure has to be appropriately split into different periodic waveguides. Fig. 4(b) shows the longitudinal section of a unit cell of the slab. It is evident that the structure can be split into three waveguides of two different types. On the one hand, Fig. 4(c) shows the cross section of a periodic rectangular waveguide, which constitutes waveguides and on the analysis of the wire-medium slab. On the other hand, Fig. 4(d) shows a periodic wire waveguide (waveguide ). Once the structure has been split into periodic waveguides, the discontinuities between the different waveguides are characterized, using MM, by means of the generalized scattering matrix (GSM), [21], [28]. A wire-medium slab has two discontinuities (characterized by the GSMs and ) and, since they are identical to each other, only one of them has to be computed. The reflection and transmission coefficients of the wire-medium slab are then obtained from the cascade connection of and through the matrix of the propagation factors of the waveguide modes, as represented by the generalized equivalent circuit shown on the right-hand side of Fig. 4(b). V. NUMERICAL AND EXPERIMENTAL RESULTS This section contains five examples in which the proposed analysis method shows its versatility, accuracy and efficiency. The first three structures are periodic surfaces that fit the generalized equivalent circuit shown in Fig. 4(b). The fourth example is a waveguide simulator of a periodic surface that has been built and measured. Finally, a parallel-plate waveguide with a bi-periodically textured surface is analyzed as a periodic waveguide resonator. A. Wire-Medium Slab The analysis of wire media has attracted a lot of attention because of the important role that it plays in the Sievenpiper’s high impedance surface [2]. Homogenization techniques have been developed to study the behavior of wire media [29] and multilayered mushroom-type structures [30]. The MoM is also an efficient alternative if the wire radius is small compared to the unit cell dimensions. The analysis method proposed in this paper can analyze thin and thick wires and deals with arbitrarily long

Fig. 5. Modulus of the reflection coefficient of the first TM mode under three , and ). The continuous lines show different incidence angles ( the MM-TRT results and the circles, crosses and triangles show the results taken from [31].

wires (arbitrarily thick wire-medium slabs) with no increased effort. The wire-medium slab has already been introduced in Section IV and Fig. 4. To compare the proposed approach with the results of the MoM in [31] a square unit cell has been considered with , , , and (see Figs. 3 and 4). Note that square wires of side have been used instead of circular wires of radius. Fig. 5 shows the modulus of the reflection coefficient for the first TM mode, i.e., the amplitude of the TM polarized wave reflected when a similar TM wave of unit amplitude impinges on the wire slab, for three different incidence angles, and , at . These incidence angles are related to the transverse periodicity constants as follows: (19) (20) Excellent agreement between both analysis methods has been obtained for the three different incidence angles. Thus, the equivalence between a circular and square wires is, once more [25], observed for thin wires. The considered example presents excellent convergence properties. The results shown in Fig. 5 were computed using 20 modes in every periodic waveguide. The computation of the complete scattering matrix of the wire slab required 120 ms of CPU per frequency point in a 2.8 GHz processor. This period includes the time spent by the generalized TRT to characterize the periodic Wire waveguide at each frequency point. Considering the very fast convergence shown by this example, Fig. 6 compares the MM-TRT results, computed using only the first two and three modes, with the homogenization theory approximation of [31, Fig.2]. The homogenization results, presented by the red dashed line, are derived in [31] by matching at the discontinuities the impinging wave with two

VARELA AND ESTEBAN: CHARACTERIZATION OF WAVEGUIDES

Fig. 6. Modulus of the reflection coefficient of the first TM mode under incidence. The relative permittivity is unity and the dimensions are identical to those of the previous example. The continuous line shows the MM-TRT results using 20 modes. The red dashed line (in online version) shows the approximated homogenization technique results from [31]. The green dashed–dotted and the blue dashed lines (in online version) show the MM-TRT results computed using one TEM and either one or two TM modes in the periodic Wire waveguide.

homogenized modes, the TEM and the first TM mode, of the wire medium. In order to obtain a solvable equation system, an additional boundary condition has to be introduced. This homogenization theory shows good agreement with the full-wave solution (continuous line) up to 8 GHz. The reason why the homogenization theory behaves so well is easily understood when its results are compared to the MM-TRT using only the TEM and the first TM mode of the periodic Wire waveguide (shown by the green dash-dotted line). The considered structure is very well described by means of only these two modes, especially in the long wavelength regime. Moreover, the blue dashed line shows that by adding just the next TM mode, i.e., using the TEM and the first two TM modes in the periodic Wire waveguide, the wire slab can be accurately described up to almost 10 GHz. B. Fishnet As a second example, the so-called fishnet structure [6], [32] has been considered. This structure has attracted a lot of interest as a way of achieving negative refraction at high frequencies because of its ease of manufacture. In [6] a MM approach was already adopted to analyze the wave propagation through periodically stacked fishnets along arbitrary directions and [33] proposed an approximated analytical method for the normal wave propagation of the same structure, even for metallic lossy structures [34]. The fishnet surface fits the generalized equivalent circuit shown in Fig. 4(b), by replacing waveguide with the closed rectangular waveguide shown in Fig. 3(a). The MM-TRT reflection coefficient for the fundamental TE mode, i.e., a TE polarized wave impinging at , is compared to the FEM results (using HFSS) in Fig. 7. The analyzed fishnet has a mm square unit cell, and a closed rectangular waveguide with mm, mm and m. Once more, excellent agreement between both analysis methods

425

Fig. 7. Reflection coefficients for the first TE mode under three different inciand ). The continuous lines show the results of dence angles ( the proposed approach and the circles, triangles and crosses show the numerical HFSS results.

is found for the three incidence angles. The results shown in Fig. 7 were computed using 200 periodic rectangular waveguide modes. This large number of modes come from the fact that the MM technique is well suited for finite thickness problems (i.e., finite waveguide lengths ), and the use of reduced values slows its convergence significantly. Nevertheless, only 3.4 ms of CPU is needed per frequency point. When comparing this analysis time with that of the previous example, it should be noted that the closed rectangular waveguide modes are analytical. The analysis time is then greatly reduced, since there is no need for the generalized TRT eigenvalue search. C. Cross-Shaped Metal Patches The third example is also a periodic surface, this time filled with the cross-shaped metal patches shown in Fig. 3(c). The results of a hybrid MoM-BIRME (Boundary Integral Resonant Mode Expansion) method, presented in [35, Fig. 5(a)] have been reproduced Fig. 8, along with the results of the MM-TRT, for a symmetric cross ( mm, mm) in a square unit cell ( mm), for two different metal thicknesses ( and 100 m), and under normal wave incidence. A slight shift of the resonant frequency, 0.145 GHz, representing a 0.5% relative error, can be observed in Fig. 8 when comparing the MM-TRT with the MoM-BIRME methods. However, very good agreement is found between the MM-TRT and the HFSS numerical solution. The zero metallization thickness curve shown in [35] is not reproduced here since the proposed approach is not well suited for that particular unrealistic situation. The MM-TRT results were computed using 200 modes in the periodic rectangular waveguide. It took 9 s to compute the periodic Wire waveguide modes by means of the generalized TRT and 72 ms, per frequency point, to compute the results shown in Fig. 8. These analysis times are comparable to those reported in [35] for the same example.

426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 8. Transmission coefficient of a periodic surface made up of cross-shaped metal patches for two different metal thicknesses. The continuous lines show the MM-TRT results, the dashed lines show the MoM-BIRME results and the circles show the numerical HFSS results. Red lines (in online version): m. Blue lines (in online version): m.

Fig. 9. Longitudinal section of a unit cell of the measured waveguide simulator and its generalized equivalent circuit. Waveguide is a periodic H-shaped waveguide and waveguide is a periodic rectangular waveguide filled with a .

D. Periodic H-Shaped Waveguide Simulator The last of this set of four periodic-surface examples is a waveguide simulator [36] that has been built and measured to test the proposed approach. An H-shaped thick metal patch on top of a ROHACELL dielectric ( ) was chosen for this example [see Fig. 3(d)] because of its resonant response, which provides a good test for the convergence of the method. The longitudinal section and the generalized equivalent circuit of the structure is shown in Fig. 9. The periodic H-shaped waveguide is waveguide and the dielectric slab is waveguide . As opposed to previous examples, the and matrices are not identical. Therefore, the three GSMs have to be computed in order to analyze the structure. However, the last one, , is a dielectric discontinuity between periodic rectangular waveguides and its solution is analytical and uncomplicated. Once the three discontinuities are characterized, the GSM of the complete structure is computed by cascading , and . Obviously, more intricate structures with multiple dielectric layers and metallizations can also be analyzed by just simply cascading either dielectric regions (as already done in the spectral domain approach [37]), or metallization regions, understood as periodic waveguides (and then from the point of view of [21]).

Fig. 10. Scattering parameters of the waveguide simulator of a periodic surface made up of H-shaped metal patches on top of a ROHACELL substrate. Continuous lines: measurements. Dashed lines: computed results. A photograph of the built breadboard is included as an inset.

A photograph of the metal H-shaped patch is shown in Fig. 10 as an inset. A WR-90 waveguide was used for the measurements. Note that the structure is rotated 90 to fit Fig. 3(d) ( mm and mm). Since the ultimate purpose of this measurement is to check the precision of the proposed approach, the H-shaped metal patch was built and the dimensions simulated by MM-TRT were those measured on the manufactured patch ( mm, mm, mm, mm, mm and mm). The measurements (continuous lines) are compared with simulation results (dashed lines) in Fig. 10. The computed results have been obtained by combining the scattering parameters of the first and second TE modes, and using and as periodic boundary constants (invariant with frequency). Excellent agreement is found between theory and measurements. A convergence study has been carried out. This study was not carried out in the previous examples because it required an abnormally low number of modes in the first example and an abnormally high number of modes in the second and third examples. The relative error on the transmission and reflection resonant frequencies is shown in Fig. 11, as a function of the number of modes used in the periodic rectangular waveguide. This relative error considers the values obtained with 150 modes as reference. An error of less than 0.5% is obtained by using more than 61 modes. For this reason the results shown in Fig. 10 were computed using 61 modes. It took 1.71 s to compute the modes of the four waveguides and 13.3 ms per frequency point to compute the scattering parameters of the structure. E. Parallel-Plate With a Bi-Periodic Textured Surface To illustrate the variety of situations in which the MM-TRT with periodic waveguides can be used, a last example has been borrowed from [13], and consists of a parallel-plate waveguide with one of the plates made up of square pins. The unit cell of

VARELA AND ESTEBAN: CHARACTERIZATION OF WAVEGUIDES

427

Fig. 11. Relative error of the transmission and reflection resonant frequencies of the waveguide simulator of Fig. 10, with respect to the values computed with 150 modes, as a function of the number of modes used in the periodic rectangular waveguide.

such a bi-periodic structure is shown as an inset in Fig. 12, in addition to its generalized equivalent circuit. The method of analysis proposed in this paper considers the structure as a periodic waveguide resonator. Therefore, the condition implies unattenuated transverse propagation in this case. By analogy with that previously commented, this condition is not incompatible with the presence of losses in the structure. If, for instance, dielectric losses were included in the analysis, the resonant frequencies of the structure would no longer be real but complex. The physical interpretation of such solutions is beyond the scope of this paper. Nevertheless, the proposed approach is perfectly capable of providing those complex solutions. For its analysis the structure is split into two different waveguides, a periodic rectangular waveguide (waveguide ) and a periodic Wire waveguide (waveguide ) cascaded to each other and short-circuited at both ends. Given the GSM that characterizes the discontinuity between both waveguides, (similar to the GSMs used in the example of Section V-A) the resonant frequencies of the structure are obtained from the resonance condition of the generalized equivalent circuit, i.e., (21) and are the propagation where is the unity matrix and matrices of waveguides and , respectively. Fig. 12 expands [13, Fig.4], to the complete contour of the irreducible Brillouin zone. Each point in the figure is a resonant frequency of the structure under particular periodic boundary conditions, or the phase constant of a propagating parallel-plate waveguide mode at that frequency. The complete Brillouin diagram shows that the structure presents a stopband from 10.9 to 22.3 GHz, where the lower limit is higher than the one predicted by only looking at the segment (9.4 GHz). Very good agreement is observed between the proposed approach and the numerical solution of [13] (using CST Microwave Studio) in the fundamental parallel-plate mode. However, some discrepancies are found in the first two higher-order modes, namely, a small frequency displacement and the presence/absence of some coupling between these two modes.

Fig. 12. Transverse dispersion diagram in the contour of the irreducible Brillouin zone of a periodic waveguide resonator. Continuous lines show the proposed approach results and black dots are the results taken from [13]. A 3-D representation of the resonator and its generalized equivalent circuit are shown as an inset.

The computation of the four resonant frequencies at each point of the irreducible Brillouin contour in Fig. 12 used an average of 1.6 s, considering 81 modes in the periodic rectangular waveguide. VI. CONCLUSION This paper has explored the properties of the eigenfunctions of a generic periodic cylindrical structure whose unit-cell contour is made up of a combination of periodic, PEC and PMC boundary conditions. It has been shown that the normal modes of this type of structures share many interesting properties with those of the traditional PEC or PMC closed waveguides, under certain periodicity conditions. Therefore, the unit cell of the periodic cylindrical structure has been dealt with as a periodic waveguide. A hybrid MM-TRT method has been proposed as an efficient, accurate and versatile method for the characterization of periodic waveguides and for the analysis of abrupt discontinuities between them. A variety of examples have been presented. Those focused on periodic layered surfaces have shown the ability of the proposed method to consider arbitrary dielectric and metallization thicknesses, and to obtain the reflected fields when plane waves impinge at arbitrary incidence angles. The finite conductivity of the metallizations, which has a significant effect at millimeter-wave and higher frequencies, has not been taken into account. Fortunately, the proposed MM formulation has a direct generalization to incorporate the surface impedance of the lossy transverse metallic wall [27]. Further research is being carried out in this area. A final example has been provided to show that the proposed method is not constrained to the analysis of layered surfaces, but can deal with other problems that include bi-periodic structures such as a parallel-plate waveguide characterization.

428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

All the examples show up the efficiency of the method and the accuracy of its results. Consequently, the fact that periodic structures can be successfully analyzed by means of waveguide concepts can be stated. APPENDIX A DERIVATION OF THE PERIODIC BOUNDARY CONDITIONS IN TERMS OF THE TRANSVERSE ELECTRIC FIELD Let and be two periodic boundaries linked through the complex periodicity constant . The electric and magnetic field must verify (A.1) (A.2) The objective of this appendix is to write these relations in terms of the transverse electric field. The following relations, obtained from Maxwell’s equations [22], are used in the derivation (A.3) (A.4) (A.5) The cross product

can be written as (A.6)

where is the outward normal to the contour. As a result, the first boundary condition can be written as (A.7) In terms of the transverse electric field these relations are (A.8) (A.9) where (A.3) has been used. On the other hand, the field equations are analogous (A.10) (A.11) Substituting

from (A.5) into (A.11) (A.12)

which is the first periodic boundary condition for the magnetic field. Consider now (A.4)

(A.13) Substituting the gradient of (A.3) into the following equation: (A.14)

using the wave equation (1) (A.15) Taking into account that is a directed vector, (A.5), the first term of (A.15) may be rewritten as (A.16) By substituting this expression into (A.10) and noting that since is periodic along , (A.12), its derivative with respect to must also be periodic with the same periodicity, the final periodic boundary condition in terms of the transverse electric field is (A.17) To sum up, (A.8), (A.9), (A.12), and (A.17) are the periodic boundary conditions for the transverse electric field. APPENDIX B FIRST ORDER VARIATION OF (14) Let be a first order variation corresponding to , a small variation from . In addition to , the magnitude of its derivatives and are also assumed to be small. By neglecting the higher order terms, the first order variation of (14) is

VARELA AND ESTEBAN: CHARACTERIZATION OF WAVEGUIDES

429

(B.1) It follows from this expression that if is an eigenfunction, the first order variation corresponding to any small vanishes. Conversely, if is equal to zero for every possible small variation from , is an eigenfunction [22]. ACKNOWLEDGMENT The authors thank Dr. M. Barba for his valuable help during breadboarding and measurements. REFERENCES [1] B. A. Munk, Frequency Selective Surfaces. New York: Wiley, 2000. [2] D. Sievenpiper, L. Zhang, R. Broas, N. Alexopolous, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2074, Nov. 1999. [3] D. Pozar, S. Targonski, and H. Syrigos, “Design of millimeter wave microstrip reflectarrays,” IEEE Trans. Antennas Propag., vol. 45, no. 2, pp. 287–296, Feb. 1997. [4] J. Encinar, “Design of two-layer printed reflectarrays using patches of variable size,” IEEE Trans. Antennas Propag., vol. 49, no. 10, pp. 1403–1410, Oct. 2001. [5] A. Bratkovsky, “Negative index materials with gain media for fast optical modulation,” Proc. IEEE, vol. 97, no. 7, pp. 1317–1328, Jul. 2009. [6] L. Jelinek, R. Marques, and J. Machac, “Fishnet metamaterials—Rules for refraction and limits of homogenization,” Opt. Exp., vol. 18, no. 17, pp. 17940–17949, Aug. 2010. [7] R. Mittra, C. Chan, and T. Cwik, “Techniques for analyzing frequency selective surfaces—A review,” Proc. IEEE, vol. 76, no. 12, pp. 1593–1615, Dec. 1988. [8] D. Webb, E. Michielssen, and R. Mittra, “Thick frequency selective surfaces,” in Proc. Antennas Propag. Soc. Int. Symp. (AP-S), Jul. 1992, vol. 4, pp. 1795–1798. [9] M. Bozzi and L. Perregrini, “Analysis of multilayered printed frequency selective surfaces by the MoM/BI-RME method,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2830–2836, Oct. 2003. [10] J. Roden, S. Gedney, M. Kesler, J. Maloney, and P. Harms, “Timedomain analysis of periodic structures at oblique incidence: Orthogonal and nonorthogonal FDTD implementations,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 4, pp. 420–427, Apr. 1998. [11] P. Harms, R. Mittra, and W. Ko, “Implementation of the periodic boundary condition in the finite-difference time-domain algorithm for fss structures,” IEEE Trans. Antennas Propag., vol. 42, no. 9, pp. 1317–1324, Sep. 1994. [12] I. Bardi, R. Remski, D. Perry, and Z. Cendes, “Plane wave scattering from frequency-selective surfaces by the finite-element method,” IEEE Trans. Magn., vol. 38, no. 2, pp. 641–644, Mar. 2002.

[13] P.-S. Kildal, A. Zaman, E. Rajo-Iglesias, E. Alfonso, and A. ValeroNogueira, “Design and experimental verification of ridge gap waveguide in bed of nails for parallel-plate mode suppression,” IET Microw., Antennas Propag., vol. 5, no. 3, pp. 262–270, 2011. [14] H. Rajagopalan and Y. Rahmat-Samii, “On the reflection characteristics of a reflectarray element with low-loss and high-loss substrates,” IEEE Antennas Propag. Mag., vol. 52, no. 4, pp. 73–89, Aug. 2010. [15] A. Wexler, “Solution of waveguide discontinuities by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 9, pp. 508–517, Sep. 1967. [16] R. Safavi-Naini and R. MacPhie, “On solving waveguide junction scattering problems by the conservation of complex power technique,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 4, pp. 337–343, Apr. 1981. [17] T. S. Chu, T. Itoh, and Y.-C. Shih, “Comparative study of mode-matching formulations for microstrip discontinuity problems,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 1018–1023, Oct. 1985. [18] F. Alessandri, G. Bartolucci, and R. Sorrentino, “Admittance matrix formulation of waveguide discontinuity problems: Computer aided design of brach guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-36, no. 2, pp. 394–403, Feb. 1988. [19] F. Arndt, R. Beyer, J. Reiter, T. Sieverding, and T. Wolf, “Automated design of waveguide components using hybrid mode-matching/numerical em building-blocks in optimization-oriented cad frameworks-state of the art and recent advances,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 747–760, May 1997. [20] G. V. Eleftheriades, A. S. Omar, L. P. B. Katehi, and G. M. Rebeiz, “Some important properties of waveguide junction generalized scattering matrices in the context of the mode matching technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1896–1903, Nov. 1994. [21] H. Patzelt and F. Arndt, “Double-plane steps in rectangular waveguides and their application for transformers, irises, and filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 5, pp. 771–776, May 1982. [22] K. Kurokawa, An Introduction to the Theory of Microwave Circuits. San Francisco, CA: Academic, 1969. [23] T. Itoh, Ed., Numerical Techniques for Microwave and MillimeterWave Passive-Structures New York, Wiley, 1989. [24] J. E. Varela and J. Esteban, “Analysis of laterally open periodic waveguides by means of a generalized transverse resonance approach,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 816–826, Apr. 2011. [25] J. E. Varela, J. Esteban, and C. Camacho-Peñalosa, “New approach to the analysis of bi-periodic cylindrical structures and its application to a wire medium,” in Proc. 4th Eur. Conf. Antennas Propag. (EuCAP), Apr. 2010, pp. 1–4. [26] J. E. Varela and J. Esteban, “Computation of the wire medium TEM mode by means of a transverse resonance technique,” in Proc. IEEE Int. Antennas Propag. Soc. Symp. Dig., Jul. 2011, pp. 1506–1509. [27] J. Wade and R. MacPhie, “Conservation of complex power technique for waveguide junctions with finite wall conductivity,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 4, pp. 373–378, Apr. 1990. [28] T. S. Chu and T. Itoh, “Generalized scattering matrix method for analysis of cascaded and offset microstrip step discontinuities,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 2, pp. 280–284, Feb. 1986. [29] M. Silveirinha, C. Fernandes, and J. Costa, “Electromagnetic characterization of textured surfaces formed by metallic pins,” IEEE Trans. Antennas Propag., vol. 56, no. 2, pp. 405–415, Feb. 2008. [30] C. S. R. Kaipa, A. B. Yakovlev, and M. G. Silveirinha, “Characterization of negative refraction with multilayered mushroom-type metamaterials at microwaves,” J. Appl. Phys., vol. 109, no. 4, pp. 044901–044901–10, Apr. 2011. [31] M. Silveirinha, “Additional boundary condition for the wire medium,” IEEE Trans. Antennas Propag., vol. 54, no. 6, pp. 1766–1780, Jun. 2006. [32] P. Ding, E. Liang, Q. Zhou, L. Zhang, Y. Yuan, and Q. Xue, “Influence of metal-layer thickness on the losses in fishnet metamaterial,” in Int. Workshop Metamater., Nov. 2008, pp. 191–193. [33] R. Marqués, L. Jelinek, F. Mesa, and F. Medina, “Analytical theory of wave propagation through stacked fishnet metamaterials,” Opt. Exp., vol. 17, no. 14, pp. 11582–11593, Jul. 2009. [34] V. Delgado, R. Marqués, and L. Jelinek, “Analytical theory of extraordinary optical transmission through realistic metallic screens,” Opt. Exp., vol. 18, no. 7, pp. 6506–6515, Mar. 2010.

430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[35] M. Bozzi, M. Montagna, and L. Perregrini, “Modeling of printed periodic structures with thick metal patches by the MoM/BI-RME method,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 692–695. [36] P. Hannan and M. Balfour, “Simulation of a phased-array antenna in waveguide,” IEEE Trans. Antennas Propag., vol. 13, no. 3, pp. 42–353, May 1965. [37] T. Cwik and R. Mittra, “The cascade connection of planar periodic surfaces and lossy dielectric layers to form an arbitrary periodic screen,” IEEE Trans. Antennas Propag., vol. 35, no. 12, pp. 1397–1405, Dec. 1987. José Enrique Varela was born in Madrid, Spain, in 1985. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 2008, and is currently working toward the Ph.D. degree from the Departamento de Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid, Madrid, Spain. Since 2008, he is a Research Assistant at the Departamento de Ingeniería de Comunicaciones, Universidad de Málaga, Málaga, Spain. His main research interests are the development of analytical techniques for the analysis of periodic structures, the synthesis of surface impedances and the electromagnetic field interaction with the human body.

Jaime Esteban received the Ingeniero de Telecomunicación degree and Ph.D. degree in engineering from the Universidad Politécnica de Madrid, Madrid, Spain, in 1987 and 1990, respectively. Since January 1988, he has been with the Departamento de Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid, ,Madrid, Spain. In 1990, he became Profesor Interino and Profesor Titular de Universidad in 1992. From 2005 to 2009, he was the Head of Studies of the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid. His research topics include the analysis and characterization of waveguides, transmission lines, planar structures and periodic structures, the analysis and design of microwave and millimeter-wave passive devices, and numerical optimization techniques (genetic algorithms and evolution programs). His present research is focused on the analysis and applications of composite right-left-handed transmission lines and waveguides. Dr. Esteban was the recipient of a Spanish Ministry of Education and Science scholarship (1988–1990).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

431

Interpolation-Based Parameterized Model Order Reduction of Delayed Systems Francesco Ferranti, Member, IEEE, Michel Nakhla, Fellow, IEEE, Giulio Antonini, Senior Member, IEEE, Tom Dhaene, Senior Member, IEEE, Luc Knockaert, Senior Member, IEEE, and Albert E. Ruehli, Life Fellow, IEEE Abstract—Three-dimensional electromagnetic methods are fundamental tools for the analysis and design of high-speed systems. These methods often generate large systems of equations, and model order reduction (MOR) methods are used to reduce such a high complexity. When the geometric dimensions become electrically large or signal waveform rise times decrease, time delays must be included in the modeling. Design space optimization and exploration are usually performed during a typical design process that consequently requires repeated simulations for different design parameter values. Efficient performing of these design activities calls for parameterized model order reduction (PMOR) methods, which are able to reduce large systems of equations with respect to frequency and other design parameters of the circuit, such as layout or substrate features. We propose a novel PMOR method for neutral delayed differential systems, which is based on an efficient and reliable combination of univariate model order reduction methods, a procedure to find scaling and frequency shifting coefficients and positive interpolation schemes. The proposed scaling and frequency shifting coefficients enhance and improve the modeling capability of standard positive interpolation schemes and allow accurate modeling of highly dynamic systems with a limited amount of initial univariate models in the design space. The proposed method is able to provide parameterized reduced order models passive by construction over the design space of interest. Pertinent numerical examples validate the proposed PMOR approach. Index Terms—Delayed systems, interpolation, parameterized model order reduction (PMOR), partial element equivalent circuit method (PEEC).

I. INTRODUCTION

C

OMPLEX high-speed systems require 3-D electromagnetic (EM) methods [1]–[3] as analysis and design tools. Large systems of equations are usually generated by the use of these methods, and model order reduction (MOR) techniques Manuscript received June 27, 2011; revised December 18, 2011; accepted December 20, 2011. Date of publication February 07, 2012; date of current version March 02, 2012. This work was supported by the Research Foundation Flanders (FWO) and by the Italian Ministry of University (MIUR) under a Program for the Development of Research of National Interest (PRIN Grant 20089J4SM9-002). F. Ferranti, T. Dhaene, and L. Knockaert are with the Department of Information Technology, Internet Based Communication Networks and Services (IBCN), Ghent University-IBBT, B-9050 Gent, Belgium (e-mail: [email protected]; [email protected]; [email protected]). M. Nakhla is with the Department of Electronics, Carleton University, Ottawa, ON K1S 5B6, Canada (e-mail: [email protected]). G. Antonini is with the UAq EMC Laboratory, Dipartimento di Ingegneria Elettrica e dell’Informazione, Università degli Studi dell’Aquila, 67100, L’Aquila, Italy (e-mail: [email protected]). A. E. Ruehli is with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA, and also with the Missouri University of Science and Technology, Rolla, MO 65409 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2181858

are crucial to reduce the complexity of EM models and the computational cost of the simulations, while retaining the important physical features of the original system [4]–[7]. Over recent years, the development of methods to build reduced order models (ROMs) of EM systems has been intensively investigated, with applications to interconnects, vias, and high-speed packages [8]–[11]. Among all EM methods, the partial element equivalent circuit (PEEC) method [2] has been found particularly useful for modeling PCBs, interconnects, and power systems. The PEEC method uses a circuit interpretation of the electric field integral equation (EFIE) [12], and it is especially suitable to problems involving both electromagnetic fields and circuits [2], [13], [14]. PEEC equivalent circuits are usually connected to nonlinear circuit devices such as drivers and receivers using a time domain circuit simulator (e.g., SPICE [15]). Complex systems can result in PEEC models where the number of circuit elements can be in the tens of thousands, and therefore the inclusion of these PEEC models directly into a circuit simulator may be computationally intractable. When signal waveform rise times decrease and the corresponding frequency content increases or the geometric dimensions become electrically large, time delays must be taken into account and included in the modeling. A PEEC formulation that includes delay elements, called the PEEC method [16], becomes necessary and leads to systems of neutral delayed differential equations (NDDEs) [17] with constant coefficients and constant delay times in the time domain and to complex algebraic systems of equations with frequency-dependent matrices in the frequency domain. Simply using quasi-static PEEC models can result in significant errors and artifacts in the modeling [18]. Over the years, some techniques for the reduction of NDDE systems have been proposed [19]–[24]. In [21], an equivalent first-order system is computed by means of a Taylor expansion, and then MOR Krylov subspace methods [6], [7] are applied. The reduction process does not preserve the NDDE formulation. In [24], an equivalent first-order system is computed using a single-point Taylor expansion [21], and a corresponding orthogonal projection matrix is computed using a block Arnoldi algorithm [7]. Then, an orthogonal projection matrix for the original NDDE system is extracted, and a reduced NDDE system is obtained. All these previous techniques cannot efficiently handle the reduction of electrically large structures, where delays among coupled elements cannot be neglected or easily approximated by rational basis functions. Recently, a novel MOR method for NDDE systems with large delays has been proposed [25]. It is based on an adaptive multipoint expansion and MOR of equivalent first-order systems [24].

0018-9480/$31.00 © 2012 IEEE

432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Traditional MOR techniques perform model reduction only with respect to frequency. However, during the circuit synthesis of large-scale digital or analog applications, it is also important to predict the response of the circuit under study as a function of design parameters, such as geometrical and substrate features. A typical design process includes optimization and design space exploration, and thus requires repeated simulations for different design parameter values. Such design activities call for parameterized model order reduction (PMOR) methods that can reduce large systems of equations with respect to frequency and other design parameters of the circuit, such as geometrical layout or substrate characteristics. Several PMOR techniques have been proposed over the years. Concerning rational systems, multiparameter moment-matching methods presented in [26]–[28] use a subspace projection approach to provide parameterized ROMs, while similar approaches are described in [29]–[31] for NDDE systems. However, the structure of such methods may present some computational problems, and the resulting reduced models usually suffer from oversize when the number of moments to match is high, either because high accuracy (order) is required or because the number of parameters is large. The selection of the multidimensional expansion points and the number of multiparameter moments need to be addressed in these methods. The technique presented in [32] combines traditional passivity-preserving model order reduction methods and interpolation schemes based on a class of positive interpolation operators. A PMOR method based on a parameterization process of matrices generated by EM methods and projection subspaces is proposed in [33]. Overall passivity of parameterized ROMs is guaranteed over the design space of interest in [32] and [33]. This paper proposes a PMOR method for NDDE systems, which is based on an efficient and reliable combination of univariate model order reduction methods, a procedure to find scaling and frequency shifting coefficients and positive interpolation schemes [34]. The PMOR method proposed in this paper starts by computing a set of reduced order PEEC models ( ROMs) using the MOR algorithm [25] for different design parameters values. We note that the MOR method [25] is able to perform reduction only with respect to frequency. Then, a set of scaling and frequency shifting coefficients is computed for the set of PEEC reduced models, which are finally interpolated to build a parameterized reduced model. In [32], a set of reduced models is interpolated to build a parameterized reduced model using standard positive interpolation schemes. The new proposed scaling and frequency shifting coefficients enhance and improve the modeling capability of standard positive interpolation schemes [32] and allow accurate modeling of highly dynamic systems with a limited amount of initial univariate models in the design space. The new proposed method does not have to deal with multiparameter moment computations and related issues. The expansion points are chosen only along the frequency axis for the ROMs using an adaptive algorithm [25]. Under the assumptions that the original PEEC models are passive and the MOR method used to provide the ROMs is passivity-preserving, the proposed PMOR method is able to build parameterized reduced models that are passive over the entire design space of interest.

Fig. 1. Illustration of PEEC circuit electrical quantities for a conductor elementary cell.

The paper is organized as follows. Section II describes the modified nodal analysis (MNA) equations of the PEEC method. Section III describes the proposed PMOR method for NDDE systems. Finally, some pertinent numerical examples based on PEEC models validate the proposed technique in Section IV. II.

PEEC FORMULATION

The PEEC method [2] stems from the integral equation form of Maxwell’s equations. With respect to other EM methods, it is worth pointing out its capability to provide a circuit interpretation of the EFIE equation, thus allowing it to handle complex problems involving both circuits and electromagnetic fields. In the standard approach, volumes and surfaces are discretized into elementary regions, hexahedra and patches, respectively [16] over which the current and charge densities are expanded into a series of basis functions. Following the standard Galerkin’s testing procedure, topological elements, namely nodes and branches, are generated, and electrical lumped elements are identified modeling both the magnetic and electric field coupling. Conductors are modeled by their ohmic resistance, while dielectrics require modeling the polarization charge due to the dielectric polarization [35]. Magnetic and electric field coupling are modeled by partial inductances and coefficients of potential, respectively. An example of PEEC circuit for a conductor elementary cell is illustrated, in the Laplace domain, in Fig. 1, where the current controlled voltage sources and the charge controlled current sources model the magnetic and electric field couplings, respectively. Let us assume that the meshing process of conductors and dielectrics has generated volume cells where currents flow and surface cells where charge is located; the resultant number of elementary cells of conductors and dielectrics is and , respectively, and that of electrical nodes is . Furthermore, let us assume to be interested in generating an admittance representation having output currents under voltage excitation . If the MNA approach [36] is used, the global number of unknowns is , and an admittance representation of the PEEC circuit is obtained [25]: (1a) (1b)

FERRANTI et al.: INTERPOLATION-BASED PARAMETERIZED MODEL ORDER REDUCTION OF DELAYED SYSTEMS

433

where

and contains all delays , which denote the center-to-center delay matrices for the magnetic and electric field coupling. Since this is an -port formulation, whereby the only sources are the voltage sources at the -port nodes, , where . Each delayed entry of matrices and act as a delay operator for the corresponding entry of vector . Hence, (1) can be rewritten in the Laplace domain as (2) (3) (4) (5) which corresponds to the admittance transfer function (6) The matrices

and

denote the quasi-static contribution.

III. PARAMETERIZED MODEL ORDER REDUCTION Considering the influence of the design parameters such as layout and substrate features, the MNA formulation (1a)–(1b) becomes (7a) (7b) while (6) becomes (8) In this section, we describe a PMOR algorithm that is able to include, in addition to frequency, design parameters in the reduced order model. The main objective of this PMOR method is to accurately approximate the original scalable system (having a high complexity) with a reduced scalable system (having a low complexity) by capturing the behavior of the original system with respect to frequency and other design parameters. The proposed algorithm guarantees passivity of the parameterized reduced models over the entire design space of interest, under the assumptions that the original PEEC models are passive and the MOR method used to provide the ROMs is passivity-preserving. A flowchart that describes the different steps of the proposed PMOR method is shown in Fig. 2. A.

Fig. 2. Flowchart of the proposed PMOR method.

ROMs

The proposed PMOR technique starts by computing a set of reduced order models of the PEEC admittance matrix , called ROMs, using the MOR method described in [25] for a set of points in the design space, which we call estimation design space grid. The design space is considered as the parameter space without frequency. The parameter space contains all parameters . If the parameter space is -dimensional, the

design space is -dimensional. Two design space grids are used in the modeling process: an estimation grid and a validation grid. The first grid is utilized to build the ROMs. The second grid is utilized to assess the capability of parameterized reduced models of describing the system under study in a set of points of the design space previously not used for the construction of the ROMs. To clarify the use of these two design space grids, we show in Fig. 3 a possible estimation and validation design space grid in the case of two design parameters . A ROM is built for each red cross (x) point in the design space. The set of ROMs is interpolated, as explained in what follows, to build a parameterized reduced model that is evaluated and compared with original PEEC models related to the blue circle (o) design space points. We note that these blue circle (o) points are not used for the generation of the ROMs. -dimensional and scattered design space grids can also be treated by the proposed PMOR technique that does not impose any constraint on the number of design parameters and the distribution of ROMs in the design space. B. Scaling and Frequency Shifting Coefficients After the computation of the ROMs, the next step is combining them together and building a multivariate representation . The design space is divided into cells using hyperrectangles (regular grids) [37] or simplices (regular and scattered grids) [38]. We note that the proposed PMOR technique can handle regular and scattered design space grids. Once the design space is divided into cells, a local parameterized model is associated to every cell that is a subdomain of the entire design space. We indicate a cell region of the design space as (shown in Fig. 4) and the corresponding vertices as . . We note that each vertex corresponds to a ROM For each cell, an optimization procedure is used to find the scaling and frequency shifting system coefficients that make each vertex an accurate approximant of the other cell vertices.

434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

corresponding sets of scaling and frequency shifting coefficients are interpolated in and a model is built, where and . Finally, the set of modified ROMs , is interpolated at an input–output level as described in [32]. We note that if a generic ROM has the admittance representation (11) then a corresponding scaled and frequency shifted version has the admittance representation (12) with Fig. 3. An example of estimation and validation design space grid.

(13) The scaling coefficients can be extended to scaling matrices such that a modified ROM is obtained with

(14) Fig. 4. An example of a design space cell

.

For each vertex , a set of scaling and frequency shifting coefficients are found, such that

real

(9) (10) This optimization problem can be solved using, for example, the Matlab [39] routines fmincon and fminsearchbnd with as an initial guess. These routines are able to impose some constraints on the optimized coefficients, which is important to guarantee the passivity of parameterized reduced order models as explained in what follows. If the response of the system under modeling needs to be computed in a specific design space point , a subdomain that contains is to be found. For each vertex ROM of the found subdomain, the

where superscript “ ” is the transpose operator. Even if the use of scaling matrices can provide more flexibility in the optimization step, we verified that the use of simple scaling coefficients leads to good results in terms of accuracy, while making the optimization faster and independent from the number of ports. In the numerical examples, scalar scaling and frequency shifting coefficients will be used. C. Multivariate Interpolation Passivity is crucial when the reduced model is utilized in a circuit simulator for transient analysis. Passive systems cannot generate more energy than they absorb through their electrical ports. When the system is terminated on any arbitrary passive loads, none of them will cause the system to become unstable. A linear network described by admittance matrix is passive if [40]: 1) for all , where “ ” is the complex conjugate operator; 2) is analytic in ; 3) is a positive-real matrix, i.e.: : and any arbitrary vector .

FERRANTI et al.: INTERPOLATION-BASED PARAMETERIZED MODEL ORDER REDUCTION OF DELAYED SYSTEMS

Similar results are valid for a linear network described by impedance matrix . The interpolated scaling and frequency shifting real coefficients have to satisfy the following conditions: (15a) (15b) to preserve the passivity of the corresponding scaled and freare used inquency shifted ROM. If scaling matrices stead of scaling coefficients , no particular condition has to be satisfied by . Multivariate interpolation schemes based on a class of positive interpolation operators [41] are used to parameterize . These schemes are able to guarantee the passivity of each scaled and frequency-shifted ROM by satisfying the properties (15a)–(15b). The same positive multivariate interpolation schemes are used to interpolate the set of modified ROMs at an input–output level, which results in a parameterized reduced model passive over the entire design space. Multivariate interpolation can be realized by means of tensor product [42] or tessellation [38] methods. Any interpolation scheme based on a class of positive interpolation operators can be used. In the bivariate case , each interpolated function , being in turn , can be written as (16) represents the number of ROMs vertices of a spewhere cific subdomain, and each interpolation kernel is a scalar function satisfying the following constraints:

435

parameterized reduced models that are passive over the entire design space of interest. A scaling coefficient or matrix is applied at the input–output level of the system, while a frequency shifting coefficient is a compression or expansion term for the Laplace variable . Considering admittance and impedance representations, if satisfy (15a)–(15b), passivity is preserved when these coefficients are applied to a passive system. It is straightforward to prove that if a nonnegative scalar coefficient is applied to a passive system, it preserves the three passivity conditions. A positive frequency shifting coefficient is a compression or expansion term for the Laplace variable , and therefore if a system is passive in the -domain, it is also passive in a compressed or expanded -domain. Once a set of scaled and frequency-shifted ROMs , which are passive, is built for each cell in the estimation design space grid, the next step of the proposed PMOR method is focused on combining together these ROMs by a multivariate interpolation scheme to obtain a parameterized ROM with overall passivity. Conditions 1)–2) are preserved in (16) and corresponding multivariate extensions, as they are weighted sums with real nonnegative weights of systems respecting these two conditions. Concerning Condition 3), we refer to the following theorem [43]. Theorem 1: Any nonnegative linear combination of positive real matrices is a positive real matrix. Since (16) and the multivariate extensions are weighted sums with real nonnegative weights of passive systems, condition 3) is satisfied by construction over the entire design space of interest. We have proven that all the three passivity conditions for admittance (and impedance) representations are preserved in our PMOR algorithm.

(17)

E. Method Complexity and Parallelization

(18)

As shown in Fig. 2, the proposed method is composed of the following four steps with a related complexity. 1) The construction of the ROMs is performed using the MOR method [25]. 2) The computation of scaling and frequency-shifting coefficients is performed using optimization routines. We recall that using scalar scaling and frequency-shifting coefficients leads to a good accuracy of parameterized ROMs, while keeping the optimization process computationally cheap and fast. 3) The multivariate interpolation of scaling and frequency-shifting coefficients uses positive local interpolation methods with interpolation kernel functions that only depend on the estimation grid points. 4) Comments similar to those of the previous point are valid for the multivariate interpolation of scaled and shifted ROMs. We recall that the estimation design space grid is divided into cells using hyperrectangles (regular grids) [37] or simplices (regular and scattered grids) [38]. Each cell is defined by a specific number of vertices that are used to perform interpolation in the cell itself. All four steps of the proposed technique have a certain degree of parallelism, as follows.

as in piecewise linear interA possible choice is to select polation. In the general multivariate case, multivariate interpolation methods that belong to the general class of positive interpolation operators can be used, e.g., the piecewise multilinear and multivariate simplicial methods [37]. We note that the interpolation kernel functions of these methods only depend on the design space grid points, and their computation does not require the solution of a linear system to impose an interpolation constraint. These positive interpolation schemes have already been used in [32]. In the proposed new PMOR technique, a powerful novelty is introduced by the interpolation process of a set of scaling and frequency shifting system coefficients, which increase the modeling capability of the proposed algorithm with respect to [32], where the interpolation process were only applied to the ROMs treated as input–output systems. D. Passivity Preserving Interpolation Under the assumptions that the original PEEC models are passive and the MOR method used to provide the ROMs is passivity-preserving, the proposed PMOR method is able to build

436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 5. Structure of the three-port microstrip power-divider circuit.

TABLE I PARAMETERS OF THE THREE-PORT MICROSTRIP POWER-DIVIDER CIRCUIT

Fig. 7. Magnitude of the bivariate ROMs of mm). Fig. 6. Magnitude of the bivariate ROM of

and

(

TABLE II (ORDER, DELAYS) OF PEEC MODELS AND ROMS

.

1) Since each ROM is independent from the others, the construction of a single ROM can be performed in parallel with the others. 2) The computation of scaling and frequency-shifting coefficients can be parallelized cell by cell. 3) A parallelization cell by cell is also feasible for the multivariate interpolation of scaling and frequency-shifting coefficients. 4) Comments similar to those of the previous point are valid for the multivariate interpolation of scaled and shifted ROMs. IV. NUMERICAL RESULTS This section presents two numerical examples that validate the proposed PMOR method. Let us define the weighted RMSerror as

with (20) where and are the number of input and output system ports, respectively, and is the number of frequency samples. The worst case RMS error over the validation grid is chosen to assess the accuracy and the quality of parameterized ROMs validation grid

(21) (22)

(19)

ehavior of the system. and it is used in the numerical examples. The proposed PMOR algorithm was implemented in Matlab R2009A [39], and all experiments were carried out on Windows

FERRANTI et al.: INTERPOLATION-BASED PARAMETERIZED MODEL ORDER REDUCTION OF DELAYED SYSTEMS

437

Fig. 8. Structure of the three bends. TABLE III PARAMETERS OF THE THREE BENDS

Fig. 10. Magnitude of the trivariate ROMs of mm, 2.69 mm and 1.15 mm, mm.

for for

A. Three-Port Microstrip Power-Divider Circuit

Fig. 9. Magnitude of the trivariate ROM of 2.94 mm (bottom). mm (top) and

for

2.56

platform equipped with Intel Core2 Extreme CPU Q9300 2.53 GHz and 8 GB RAM.

A three-port microstrip power-divider circuit [44], [45] has been modeled in this example. The structure is shown in Fig. 5. The dimensions of the circuit are [20, 20, 0.5] mm in the directions, and the width of the microstrips is equal to 0.8 mm. The relative dielectric constant is equal to . A bivariate ROM is built as a function of the length in addition to frequency. Their corresponding ranges are shown in Table I. The PEEC method is used to compute the matrices in (1a)–(1b) for 11 values of . Then, we have built reduced models for six values of by means of the MOR algorithm described in [25]. Table II shows the order and the number of delays of these PEEC models and corresponding ROMs. A bivariate ROM is obtained using the proposed PMOR method with the piecewise linear interpolation scheme. Fig. 6 shows the magnitude of the parameterized reduced model of . Fig. 7 shows the magnitude of and for the length values mm. These specific values have not been used in the ROMs generation process; nevertheless, an excellent agreement between reduced and PEEC models can be observed. The worst case RMS-error defined in (22) is equal to 0.08. As clearly seen, the parameterized reduced model captures very accurately the b

438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE IV (ORDER, DELAYS) OF PEEC MODELS AND ROMS

V. CONCLUSION We have presented a new PMOR technique applicable to NDDE systems. It is based on an efficient and reliable combination of univariate model order reduction methods, a procedure to find scaling and frequency shifting coefficients and positive interpolation schemes. An innovative passivity-preserving interpolation of reduced systems at an input-output level is proposed, which allows accurately modeling of highly dynamic systems. The proposed method does not have to deal with multiparameter moment computation and related issues. The expansion points are chosen only along the frequency axis using an adaptive algorithm. Under the assumptions that the original PEEC models are passive and the MOR method used to provide the ROMs is passivity-preserving, the proposed PMOR method is able to build parameterized reduced models that are passive over the entire design space of interest. Numerical examples have validated the proposed PMOR approach on practical application cases, showing that it is able to build very accurate parameterized ROMs of dynamic EM systems.

REFERENCES

B. Three Bends Three bends over a ground plane with differential ports have been modeled in this example. The structure is shown in Fig. 8. The width of the bends and the distance of the bends from the ground plane are equal to 1 mm. A trivariate ROM is built as a function of the length and the spacing between the conductors in addition to frequency. Their corresponding ranges are shown in Table III. The PEEC method is used to compute the matrices in (1a)–(1b) over the estimation grid (six values of and five values of ) and the validation grid (five values of and four values of ), as shown in Fig. 3. Then, we have built ROMs in the estimation grid by means of the MOR algorithm described in [25]. Table IV shows the order and the number of delays of these 30 PEEC models and corresponding ROMs. A trivariate ROM is built by means of the presented PMOR approach with the piecewise multilinear interpolation scheme. Fig. 9 shows the magnitude of the parameterized reduced model of for the spacing values mm. Fig. 10 shows the magnitude of for the length and spacing values mm, 2.69 mm and of for the length and spacing values 1.15 mm, mm. Even if these specific and values have not been used in the ROMs generation process, the model accurately describes the system under study in these design space points. The worst case RMS-error defined in (22) is equal to 0.065. The parameterized reduced model is able to accurately describe the parameterized behavior of the system.

[1] R. F. Harrington, Field Computation by Moment Methods. New York: Macmillan, 1968. [2] A. E. Ruehli, “Equivalent circuit models for three dimensional multiconductor systems,” IEEE Trans. Microw. Theory Tech., vol. 22, no. 3, pp. 216–221, Mar. 1974. [3] J. M. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2002. [4] L. T. Pillage and R. A. Rohrer, “Asymptotic waveform evaluation for timing analysis,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 9, no. 4, pp. 352–366, Apr. 1990. [5] K. Gallivan, E. Grimme, and P. Van Dooren, “Asymptotic waveform evaluation via a Lanczos method,” Appl. Math., vol. 7, no. 5, pp. 75–80, Sep. 1994. [6] P. Feldmann and R. W. Freund, “Efficient linear circuit analysis by Padé approximation via the Lanczos process,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 14, no. 5, pp. 639–649, May 1995. [7] A. Odabasioglu, M. Celik, and L. T. Pileggi, “PRIMA: Passive reduced-order interconnect macromodeling algorithm,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 17, no. 8, pp. 645–654, Aug. 1998. [8] A. Dounavis, E. Gad, R. Achar, and M. S. Nakhla, “Passive model reduction of multiport distributed interconnects,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2325–2334, Dec. 2000. [9] R. Achar and M. Nakhla, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [10] B. Denecker, F. Olyslager, L. Knockaert, and D. De Zutter, “Generation of FDTD subcell equations by means of reduced order modeling,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1806–1817, Aug. 2003. [11] N. A. Marques, M. Kamon, L. M. Silveira, and J. K. White, “Generating compact, guaranteed passive reduced-order models of 3-D RLC interconnects,” IEEE Trans. Adv. Packag., vol. 27, no. 4, pp. 569–580, Nov. 2004. [12] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [13] A. E. Ruehli and A. C. Cangellaris, “Progress in the methodologies for the electrical modeling of interconnects and electronic packages,” Proc. IEEE, vol. 89, no. 5, pp. 740–771, May 2001. [14] W. Pinello, A. C. Cangellaris, and A. Ruehli, “Hybrid electromagnetic modeling of noise interactions in packaged electronics based on the partial-element equivalent-circuit formulation,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1889–1896, Oct. 1997. [15] L. W. Nagel, “SPICE: A computer program to simulate semiconductor circuits,” Univ. of California, Berkeley, CA, Electr. Res. Lab. Rep. ERL M520, May 1975.

FERRANTI et al.: INTERPOLATION-BASED PARAMETERIZED MODEL ORDER REDUCTION OF DELAYED SYSTEMS

[16] A. E. Ruehli, G. Antonini, J. Esch, J. Ekman, A. Mayo, and A. Orlandi, “Non-orthogonal PEEC formulation for time and frequency domain EM and circuit modeling,” IEEE Trans. Electromagn. Compat., vol. 45, no. 2, pp. 167–176, May 2003. [17] P. J. Restle, A. Ruehli, S. G. Walker, and G. Papadopoulos, “Full-wave PEEC time-domain for the modeling of on-chip interconnects,” IEEE Trans. Comput. -Aided Design, vol. 20, no. 7, pp. 877–887, Jul. 2001. [18] G. Antonini and J. Ekman, “On characterizing artifacts observed in PEEC based modeling,” presented at the IEEE Int. Symp. Electromagn. Compat., Santa Clara, CA, Aug. 2004. [19] A. E. Ruehli, H. Heeb, E. Bracken, and R. A. Rohrer, “Three dimensional circuit oriented electromagnetic modeling for VLSI interconnects,” presented at the Packag., Interconnects, Optoelectron. for Design of Parallel Comput. Workshop, Schaumberg, IL, Mar. 1992. [20] E. Chiprout, H. Heeb, M. Nakhla, and A. Ruehli, “Simulating 3-D retarded interconnect models using complex frequency hopping (CFH),” in Dig. Tech. Papers, IEEE/ACM Int. Conf. Comput.-Aided Design, Nov. 1993, pp. 66–72. [21] J. R. Phillips, E. Chiprout, and D. D. Ling, “Efficient full-wave electromagnetic analysis via model-order reduction of fast integral transforms,” in Proc. 33rd Annu. Design Autom. Conf. (DAC), New York, 1996, pp. 377–382. [22] J. Cullum, A. Ruehli, and T. Zhang, “A method for reduced-order modeling and simulation of large interconnect circuits and its application to PEEC models with retardation,” IEEE Trans. Circuits Syst. II, vol. 47, no. 4, pp. 261–373, Apr. 2000. [23] T. Klemas, L. Daniel, and J. White, “Segregation by primary phase factors: A full-wave algorithm for model order reduction,” in Proc. 42nd Design Autom. Conf., Jun. 2005, pp. 943–946. [24] W. Tseng, C. Chen, E. Gad, M. Nakhla, and R. Achar, “Passive order reduction for RLC circuits with delay elements,” IEEE Trans. Adv. Packag., vol. 30, no. 4, pp. 830–840, Nov. 2007. [25] F. Ferranti, M. Nakhla, G. Antonini, T. Dhaene, L. Knockaert, and A. E. Ruehli, “Multipoint full-wave model order reduction for delayed PEEC models with large delays,” IEEE Trans. Electromagn. Compat., vol. 53, no. 4, pp. 959–967, Nov. 2011. [26] P. K. Gunupudi, R. Khazaka, M. S. Nakhla, T. Smy, and D. Celo, “Passive parameterized time-domain macromodels for high-speed transmission-line networks,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2347–2354, Dec. 2003. [27] L. Daniel, O. C. Siong, L. S. Chay, K. H. Lee, and J. White, “A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 5, pp. 678–693, May 2004. [28] Y.-T. Li, Z. Bai, Y. Su, and X. Zeng, “Model order reduction of parameterized interconnect networks via a two-directional arnoldi process,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 27, no. 9, pp. 1571–1582, Sept. 2008. [29] P. Gunupudi, R. Khazaka, and M. Nakhla, “Analysis of transmission line circuits using multidimensional model reduction techniques,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 174–180, May 2002. [30] M. Sampath, A. Dounavis, and R. Khazaka, “Parameterized model order reduction techniques for FEM based full wave analysis,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 2–12, Feb. 2009. [31] M. Ahmadloo and A. Dounavis, “Parameterized model order reduction of electromagnetic systems using multiorder arnoldi,” IEEE Trans. Adv. Packag., vol. 33, no. 4, pp. 1012–1020, Nov. 2010. [32] F. Ferranti, G. Antonini, T. Dhaene, and L. Knockaert, “Guaranteed passive parameterized model order reduction of the partial element equivalent circuit (PEEC) method,” IEEE Trans. Electromagn. Compat., vol. 52, no. 4, pp. 974–984, Nov. 2010. [33] F. Ferranti, G. Antonini, T. Dhaene, L. Knockaert, and A. Ruehli, “Physics-based passivity-preserving parameterized model order reduction for PEEC circuit analysis,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 3, pp. 399–409, Mar. 2011. [34] G. Allasia, “Simultaneous interpolation and approximation by a class of multivariate positive operators,” Numer. Algorithms, vol. 34, no. 2, pp. 147–158, Dec. 2003. [35] A. E. Ruehli and H. Heeb, “Circuit models for three-dimensional geometries including dielectrics,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1507–1516, Jul. 1992. [36] C. Ho, A. Ruehli, and P. Brennan, “The modified nodal approach to network analysis,” IEEE Trans. Circuits Syst., vol. 22, no. 6, pp. 504–509, Jun. 1975.

439

[37] A. Weiser and S. E. Zarantonello, “A note on piecewise linear and multilinear table interpolation in many dimensions,” Math. Comput., vol. 50, no. 181, pp. 189–196, Jan. 1988. [38] D. F. Watson, “Computing the n-dimensional delaunay tessellation with application to Voronoi polytopes,” Comput. J., vol. 24, no. 2, pp. 167–172, Feb. 1981. [39] Matlab User’s Guide. Natick, MA: The Mathworks, Inc., 2009. [40] B. D. Anderson and S. Vongpanitlerd, Network Analysis and Synthesis. Englewood Cliffs, NJ, 1973. [41] G. Allasia, “A class of interpolating positive linear operators: Theoretical and computational aspects,” in Recent Developments in Approximation Theory, Wavelets and Applications, S. P. Singh, Ed. Dordrecht, Germany: Kluwer, 1995, pp. 1–36. [42] E. W. Cheney, “Multivariate approximation theory: Selected topics,” in CBMS-NSF Regional Conference Series in Applied Mathematics. Philadelphia, PA: SIAM, 1986, vol. 51. [43] R. A. Horn and C. R. Johnson, Matrix Analysis. Cambridge, U.K.: Cambridge Univ. Press, 1985. [44] E.-P. Li, E.-X. Liu, L.-W. Li, and M.-S. Leong, “A coupled efficient and systematic full-wave time-domain macromodeling and circuit simulation method for signal integrity analysis of high-speed interconnects,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 213–223, Feb. 2004. [45] R. Wang and J.-M. Jin, “A flexible time-stepping scheme for hybrid field-circuit simulation based on the extended time-domain finite element method,” IEEE Trans. Adv. Packag., vol. 33, no. 4, pp. 769–776, Nov. 2010.

Francesco Ferranti (M’10) received the B.S. degree (summa cum laude) in electronic engineering from the Università degli Studi di Palermo, Palermo, Italy, in 2005, the M.S. degree (summa cum laude and honors) in electronic engineering from the Università degli Studi dell’Aquila, L’Aquila, Italy, in 2007, and the Ph.D. degree in electrical engineering from the University of Ghent, Ghent, Belgium, in 2011. He is currently a Postdoctoral Research Fellow with the Department of Information Technology (INTEC), Ghent University. His research interests include parametric macromodeling, parameterized model order reduction, electromagnetic compatibility numerical modeling, and system identification.

Michel Nakhla (S’73–M’75–SM’88–F’98) received the Ph.D. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 1975. From 1976 to 1988, he was Senior Manager of the Computer-Aided Engineering Group with BellNorthern Research, Ottawa, ON, Canada. In 1988, he joined Carleton University, Ottawa, ON, Canada, as a Professor and the holder of the Computer-Aided Engineering Senior Industrial Chair established by BellNorthern Research and the Natural Sciences and Engineering Research Council of Canada. He is a Chancellor’s Professor of Electrical Engineering at Carleton University. He is the founder of the High-Speed CAD Research Group at Carleton University. His research interests include modeling and simulation of high-speed circuits and interconnects, nonlinear circuits, parallel processing, multidisciplinary optimization and neural networks. Dr. Nakhla is serving on various international committees, including the standing committee of the IEEE International Signal Propagation on Interconnects Workshop (SPI), the Technical Program Committee of the IEEE International Microwave Symposium (IMS), the Technical Program Committee of the IEEE Conference on Electrical Performance of Electronic Packaging (EPEP) and the CAD committee (MTT-1) of the IEEE Microwave Theory and Techniques Society. He is an Associate Editor of the IEEE TRANSACTIONS ON ADVANCED PACKAGING and served as Associate Editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS. He has also served as a member of many Canadian and international government-sponsored research grants selection panels He serves as a technical consultant for several industrial organizations and is the Principal Investigator for several major sponsored research projects.

440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Giulio Antonini (M’94–SM’05) received the Laurea degree (summa cum laude) in electrical engineering from the Università degli Studi dell’Aquila, L’Aquila, Italy, in 1994 and the Ph.D. degree in electrical engineering from University of Rome “La Sapienza” in 1998. Since 1998, he has been with the UAq EMC Laboratory, Department of Electrical Engineering, University of L’Aquila where he is currently Associate Professor. His research interests focus on EMC analysis, numerical modeling, and in the field of signal integrity for high-speed digital systems. He has authored or coauthored more than 170 technical papers and two book chapters. He holds one European Patent. Dr. Antonini has given keynote lectures and chaired several special sessions at international conferences. He has been the recipient of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY Best Paper Award in 1997, the CST University Publication Award in 2004, the IBM Shared University Research Award in 2004, 2005, and 2006, and the IET-SMT Best Paper Award in 2008. In 2006, he has received a Technical Achievement Award from the IEEE EMC Society “for innovative contributions to computational electromagnetic on the Partial Element Equivalent Circuit (PEEC) technique for EMC applications.”

Tom Dhaene (SM’05) was born in Deinze, Belgium, on June 25, 1966. He received the Ph.D. degree in electrotechnical engineering from the University of Ghent, Ghent, Belgium, in 1993. From 1989 to 1993, he was Research Assistant at the University of Ghent, in the Department of Information Technology, where his research focused on different aspects of full-wave electromagnetic circuit modeling, transient simulation, and time-domain characterization of high-frequency and high-speed interconnections. In 1993, he joined the EDA company Alphabit (now part of Agilent). He was one of the key developers of the planar EM simulator ADS Momentum. Since September 2000, he has been a Professor in the Department of Mathematics and Computer Science at the University of Antwerp, Antwerp, Belgium. Since October 2007, he has been a Full Professor in the Department of Information Technology (INTEC) at Ghent University. As author or coauthor, he has contributed to more than 150 peer-reviewed papers and abstracts in international conference proceedings, journals, and books. He is the holder of three U.S. patents.

Luc Knockaert (SM’00) received the M.Sc. degree in physical engineering, the M.Sc. degree in telecommunications engineering, and the Ph.D. degree in electrical engineering from Ghent University, Ghent, Belgium, in 1974, 1977, and 1987, respectively. From 1979 to 1984 and from 1988 to 1995, he was working in the North–South cooperation and development projects at the Universities of the Democratic Republic of the Congo and Burundi. He is currently affiliated with the Interdisciplinary Institute for BroadBand Technologies (www.ibbt.be) and a Professor at the Department of Information Technology, Ghent University (www.intec.ugent.be). His current interests are the application of linear algebra and adaptive methods in signal estimation, model order reduction, and computational electromagnetics. As author or coauthor, he has contributed to more than 100 international journal and conference publications. Dr. Knockaert is a member of the MAA and the SIAM.

Albert E. Ruehli (LF’03) received the Ph.D. degree in electrical engineering from the University of Vermont, Burlington, in 1972 and an honorary doctorate from Lulea University, Sweden, in 2007. He has been a member of various projects with IBM, including mathematical analysis, semiconductor circuits, and devices modeling, and as Manager of a VLSI design and CAD group. Since 1972, he has been at IBM’s T. J. Watson Research Center, Yorktown Heights, NY, where he was a Research Staff Member in the Electromagnetic Analysis Group. He is now an Emeritus of IBM Research and an Adjunct Professor in the EMC area at the Missouri University of Science and Technology. He is the editor of two books: Circuit Analysis, Simulation and Design (New York: North Holland, 1986, 1987), and he is an author or coauthor of over 190 technical papers. Dr. Ruehli has served in numerous capacities for the IEEE. In 1984 and 1985, he was the Technical and General Chairman, respectively, of the ICCD International Conference. He has been a member of the IEEE ADCOM for the Circuit and System Society and an Associate Editor for the TRANSACTIONS ON COMPUTER-AIDED DESIGN. He has given talks at universities, including keynote addresses and tutorials at conferences, and has organized many sessions. He received IBM Research Division or IBM Outstanding Contribution Awards in 1975, 1978, 1982, 1995, and 2000. In 1982, he received the Guillemin-Cauer Prize Award for his work on waveform relaxation, and in 1999, he received a Golden Jubilee Medal, both from the IEEE CAS Society. In 2001, he received a Certificate of Achievement from the IEEE EMC Society for Inductance Concepts and the Partial Element Equivalent Circuit (PEEC) method. He received the 2005 Richard R. Stoddart Award, and in 2007 he received the Honorary Life Member Award from the IEEE Electromagnetic Compatibility Society for outstanding technical performance. He is a member of SIAM.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

441

Portable Space Mapping for Efficient Statistical Modeling of Passive Components Lei Zhang, Member, IEEE, Peter H. Aaen, Senior Member, IEEE, and John Wood, Fellow, IEEE

Abstract—In this paper, a portable space-mapping technique is presented for efficient statistical modeling of passive components. The proposed technique utilizes the cost-effective model composition of a statistical space mapping, while introducing the portable mapping concept for flexible model development for passive modeling. The portable mapping is a single-development-multiple-use versatile wrapper, such that after development it can be conveniently combined with any nominal model to form a set of statistical models of different speed and accuracy. This provides variety in model selection for different design needs. To further reduce modeling cost, i.e., the simulation time required for model data generation, a smart sampling technique is used to achieve better sampling fidelity with smaller sample size. The portable statistical mapping technique is demonstrated through modeling a transmission line and a spiral inductor. Index Terms—Electromagnetic (EM), passive components, space mapping, statistical modeling.

I. INTRODUCTION

A

CCURATE statistical models are crucial in yield-driven design, where process parameter variations affect the performance of both on-wafer and packaged active and passive components [1]. As the operating frequency increases, the modeling of distributed effects of passive components becomes important [2], and it is necessary to examine the effects of process variations on these distributed passives for successful high-frequency circuit design. While passive nominal models can be obtained from full-wave electromagnetic (EM) simulations, which are computationally intensive, statistical analysis with EM simulations may be a time-prohibitive endeavor. Research has been conducted on efficient statistical modeling methods, leading to a variety of techniques, such as equivalent circuit-based parameter extraction method [3], factor analysis [4], [5], and response surface methodology (RSM) [6], [7]. The equivalent circuit-based statistical model is developed through repetitive optimization-based parameter extractions. The link to component physical dimensions may be removed if the equivalent circuit model does not contain physics-based elements. Manuscript received June 10, 2011; revised December 15, 2011; accepted December 19, 2011. Date of publication January 24, 2012; date of current version March 02, 2012. L. Zhang and P. H. Aaen are with the RF Division, Freescale Semiconductor Inc., Tempe, AZ 85284 USA (e-mail: [email protected]; [email protected]). J. Wood was with the RF Division, Freescale Semiconductor Inc., Tempe, AZ 85284 USA. He is now with Maxim Integrated Products Inc., Sunnyvale, CA 94086 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2182655

Factor analysis searches for primary factors in process variations that contribute to the component behavior change. A response surface model can be built upon these critical factors by relating them to the component output responses of interest. This usually results in a mathematical model with no circuit representation. Recently, space-mapping-based techniques have emerged as a flexible alternative for statistical design and yield analysis of RF and microwave circuits [8]–[12]. Initiated as an efficient optimization concept, space mapping has been successfully applied to the yield analysis of EM-based design [9], [10] and statistical modeling of nonlinear devices [11], [12], achieving accuracy at reduced simulation time. In [11] and [12], a novel formulation of space mapping, namely, statistical space mapping, for statistical modeling of active devices, was presented, combining a nominal model and a mapping network to compose the statistical model. The nominal model represents the average behavior for a population of device samples and contains no statistical information. The manufacturing-process-initiated behavior difference between the samples is captured by the mapping network, which maps the model inputs into a new space where the nominal behavior is modified to include the statistical effects. Reliable statistical prediction of the model behavior has been reported. In this paper, we demonstrate the application of space mapping for statistical passive component modeling. In the context of this paper, the “model” is described as a representation of a set of data, which are obtained through measurement or simulation of the passive component. A variety of passive models exists in the literature, such as equivalent-circuit models from function fitting, outputs from EM simulations, or data from direct measurements. These different model formulations provide a list of choices for nominal models. In statistical space mapping, since the statistical behavior is captured entirely by the mapping network [12], which is a separate module from the nominal model, it is possible to apply the same statistical mapping to different nominal models. The portable mapping concept is introduced, and an approximate nominal model, such as an equivalent-circuit model, is used for the fast statistical mapping extraction. The extracted mapping can be applied to a finer nominal model (2-D or 3-D EM simulation) to satisfy higher accuracy requirements. The accuracy and speed of the model is dependent on the nominal model and the statistical behavior is maintained by the mapping network. This paper is organized as follows. In Section II, we reformulate the statistical space mapping to accommodate the passive modeling scenario. A smart sampling method, Latin hypercube sampling (LHS), is addressed in Section III for reliable

0018-9480/$31.00 © 2012 IEEE

442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

statistical characterization. Through modeling passive components of a transmission line and a spiral inductor, Section IV demonstrates the portable statistical mapping technique as a reliable and cost-effective means to complement existing statistical modeling techniques. II. PORTABLE STATISTICAL MAPPING FOR PASSIVE MODELING A. Formulation of Statistical Mapping Network In general, manufacturing process variations result in the changes of physical geometries and material properties, such as the length and width of a transmission line and the thickness and dielectric of the substrate. Statistical analysis is needed to model the behavior perturbation caused by these changes. Fast, but approximate, statistical models can be obtained in the form of equivalent-circuit or physics-based models, and accurate statistical models can be obtained through EM simulations, which could be computationally expensive. It is desired to have a statistical model that has both statistical accuracy and simulation speed. We formulate a model whose response can be written as a function of the physical parameters as where represents the behavior, , of the model with respect to the physical inputs . Inputs may contain statistical variations, which directly deviates the nominal model behavior. We classify this category of parameters as explicit statistical variables. On the other hand, the statistical model response may also depend on another set of variables , which is not explicitly present in above model formulation. Such statistical parameter is categorized as implicit statistical variables. In practice, the statistically explicit variables are often geometrical parameters, such as the length and width of the transmission line, while the statistically implicit variables are often material parameters such as the dielectric and loss tangent of the substrate. Implicit variables can be made explicit if the model formulation is changed to . In this way, both and are explicit to the new model, which is by default statistically capable. The proposed technique is to develop this new model formulation to incorporate the implicit statistical variables into the model’s explicit parameters and enable a fully statistically capable model. In this paper, we propose to solve this modeling problem by extending the application of statistical space mapping [11], [12] from active modeling to passive modeling. To accommodate passive modeling needs, the statistical mapping is reformulated such that the geometry variations are considered as explicit inputs to the mapping network, and the material variations are represented by the mapping coefficients, i.e., parameters of the mapping network. Thus, existing fast models, in terms of component geometries, can be used as the nominal model, whose behavior will be statistically perturbed by the mapping network. This modification complements the nominal model with statistical behavior due to both explicit (through inputs to mapping network) and implicit (through parameters of mapping network) variations. Fig. 1 illustrates the composition of the proposed statistical mapping model. Consider a statistical population of samples of a passive structure. Let represent the geometry parameters of a passive component. Let represent the

Fig. 1. Structure of the proposed statistical model composed of a nominal model and a statistical mapping network.

mapping parameters for the th sample. The statistical mapping network is formulated as (1) where denotes the new geometry space incorporating variations in both physical dimensions (explicit variables ) and material properties (implicit variables that are explicitly represented by mapping parameters ). The mapping function can be a simple linear function or more complicated nonlinear function depending on how big these variations are and how much they affect the component behavior. The nominal model outputs are modified by the statistically mapped geometry as (2) represents the nominal model, and represents where the response such as -parameters at a given frequency . In this formulation, the behavior variation between component samples in a statistical population is captured only by the mapping network, given that the nominal model represents the average behavior of the population. The statistical difference (the behavior deviation from the nominal) can be independent of the nominal model. In other words, the statistical mapping network is “portable,” i.e., a mapping developed from a fast nominal model of “coarse accuracy” can be combined with a detailed EM simulation to produce “fine accuracy.” This methodology of portable mapping can speed up statistical model development and reduce the modeling cost when large numbers of EM simulations are required. It also allows use of statistically capable EM-based models to improve the yield of RF circuit. B. Statistical Mapping Extraction The core of this portable mapping technique is to find the statistical mapping parameters. This is achieved through an optimization-based parameter extraction procedure, as in [12], where the mapping parameters are adjusted to minimize the difference between model outputs and component data for each sample in the statistical population. A fast nominal model is

ZHANG et al.: PORTABLE SPACE MAPPING FOR EFFICIENT STATISTICAL MODELING OF PASSIVE COMPONENTS

443

structure as , where represents the physical input of the nominal structure, and the output response can be further expanded by using Taylor series as

(4) With the perturbation being small, a first-degree linear polynomial can be sufficient to approximate the behavior variation between a random sample and the nominal, by removing the second-order and higher order terms in (4), Fig. 2. Diagram for developing statistical mapping network through optimization-based parameter extractions.

preferable for this step to avoid heavy computational cost by detailed models. Fig. 2 shows the optimization diagram. Define as the component data for the th statistical sample. The optimization objective is to minimize the difference between data and the model response (3) for each sample in the populaby finding tion. After all ’s are found, their means , standard deviations , and correlations are computed and become the statistical equivalents to the material variations. These statistical quantities of mapping coefficients, together with the geometrical inputs, form the statistical mapping network, which can then be combined with the nominal model to build the overall statistical model. C. Use of the Statistical Model The component we modeled may have different forms of nominal models. Once the statistical mapping is developed, it can be applied to other forms of nominal models to compose a statistical model ready for design use. Depending on the design requirement, the nominal model can be at the same level of speed and accuracy as the one used in statistical mapping extraction, or a more accurate model at the cost of simulation speed. The mathematical mapping formulation is simulator independent and convenient to implement. It fully represents the statistical distributions in both physical geometries and material properties, and can effectively produce statistical estimations for RF passive components. D. Discussion Let us consider a linear passive modeling problem where statistical perturbation to the component structure is relatively small to the nominal structure. For a random structure under such assumption, the output response with respect to a given physical input can be represented by . Define the statistical difference between this random structure and the nominal

(5) In (5), is only dependent on the nominal structure and the model representation function . It is seen that the statistical perturbation from the input to the nominal behavior resides only in and independent of the nominal model. In this sense, the statistical mapping is not only portable to different nominal models for this one physical structure of the passive component being modeled, but it can also be portable to this passive component with different physical dimensions. For example, the statistical wrapper could be extracted on an inductor with one set of geometrical inputs, and the wrapper could be applied to a different set of geometrical inputs, as will be shown later in Section IV. When the behavior deviation from the nominal is large and higher degree polynomials are necessary, the mapping is portable to different nominal models of one structure but not to different structures. If implicit variables are present and their statistical variations are also small, a multivariable Taylor series can be applied to the model response at given explicit input and implicit input . As in (1), the implicit variables are explicitly represented by mapping parameters , whose extracted values and statistical information are fictitious representations of the implicit inputs. In this case, output response of a random structure can be defined as . If the small variations in the implicit inputs can be propagated into the mapping coefficients , (5) can be expanded to accommodate the implicit inputs as

(6) is the nominal representation of the implicit where inputs, and represents the implicit random deviation from nominal. In (6), the first-order derivatives , and are evaluated at the nominal input values. The statistical behavior deviation from the nominal only relies on and , and independent of nominal model. Thus, the portability of the mapping to different nominal models and different physical dimensions still hold.

444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

We tend to formulate the mapping using linear equations to simplify the model computation. This is usually applicable if nominal models are properly chosen, e.g., passive structures whose models have reasonably good accuracy. This results in small behavior variations between random samples, which can be well captured by a simple linear network, as we will illustrate in Section IV. A nonlinear mapping may be needed if the nominal model is inappropriately chosen and does not accurately exhibit the average behavior, or the statistical variations are large enough causing random samples to differ greatly from the nominal behavior. Note that in Fig. 1, the frequency parameter was considered as the input to the nominal model and not an input to the mapping network. This structure can be modified to accommodate frequency-sensitivity mapping [8] by adding the frequency as an extra input to the mapping network, which then produces a frequency-dependent geometrical space. This can expand a nominal model usage from its developing frequency to different frequency regions, which is useful for model enhancement, though this may impact the portability of the statistical wrapper. III. LATIN HYPERCUBE SMART SAMPLING Statistical modeling often involves sampling the input space to form a random population through selection of limited number of samples. This population becomes a statistical representation of the manufacturing process induced variations, and the samples in the population are used to generate data for statistical model development. A straightforward sampling technique is the simple random sampling (SRS) [13], which randomly picks samples from some prescribed probability distributions of the input space. This method usually requires hundreds of samples to achieve a good coverage of multidimensional input space for accurate estimation of statistical variations. In reality, data generation by hundreds of measurements or EM simulations is very time consuming and often prohibitive. In computer modeling, smart sampling techniques [14] have been developed as efficient alternatives to SRS. Such techniques provide a systematic means in choosing important samples to build a population with improved coverage of the input space. In this way, a smaller population from smart sampling may produce the same or better statistical representation as an intensively sampled population than SRS does. In this paper, we adopt a smart sampling technique, the LHS [14], [15], to statistical passive modeling. In addition to have improved efficiency over SRS as other stratified smart sampling methods, the LHS technique also ensures a full coverage of the range of each variable by maximally stratifying each marginal distribution, and appears to be a good method to use for selecting values of input variables [14]. It is efficient in sampling input variables for reliable statistical estimation and cost-effective data generation. Consider an -dimensional input space of the passive component. LHS involves sampling values from the prescribed distribution of each of the input variables. The cumulative distribution for each variable is divided into equiprobable intervals. A value and is selected randomly from each interval for each variable. The values obtained for the th variable

Fig. 3. Example of LHS. (a) Random stratified sampling of variables and at five intervals. (b) Random pairing of sampled and forming a Latin hypercube.

are then paired with the other variables based on the correlation matrix of the input variables [16] to form samples of input vectors . Fig. 3 illustrates this sampling procedure with an example of and , where both inputs have Gaussian distribution with mean values , standard deviations , and correlation matrix

.

To demonstrate the advantage of LHS over SRS, sampling experiments are performed for the above two-input example shown in Fig. 3. Four populations with different sample sizes of 50, 100, 200, and 500 are generated using each sampling method. Fig. 4(a) shows the surface plot of the probability density function (PDF) for the 50 and 100 population sampled using SRS, as compared to that from LHS. It is observed that in Fig. 4(a), the peak of the SRS PDF changes for the two sample sizes we chose to plot, while the peaks of the LHS PDF stay close to each other. We also plot the contours at for all populations. As depicted in Fig. 4(b), the probability density contours for 50, 100, 250, and 500 samples from LHS almost align with each other, while the probability density contours from the SRS exhibit difference. This shows that the LHS provides more reliable coverage of the input space with much smaller sample size compared to the SRS. IV. EXAMPLES A. Portable Mapping for Transmission Line Modeling To demonstrate the proposed statistical modeling approach, we select a pedagogical example in which we have the statistical model and try to duplicate it using our technique. A microstrip transmission line is studied where geometric and material parameters, along with their nominal values, are listed in Table I. The “MLIN” circuit component in Agilent EDA software, the Advanced Design System (ADS), is considered as the component to be modeled. MLIN is a frequency-domain analytical model, which uses closed-form formulas to calculate the transmission-line parameters such as the static impedance, effective

ZHANG et al.: PORTABLE SPACE MAPPING FOR EFFICIENT STATISTICAL MODELING OF PASSIVE COMPONENTS

445

TABLE II EXTRACTED STATISTICS OF THE MAPPING PARAMETERS FOR THE TRANSMISSION LINE EXAMPLE

parameters, with 5% standard deviations around their nominal values. A statistical model is built following the formulation in Section II-A. The MLIN circuit model with nominal substrate parameters is deliberately picked as the nominal model, with the assumption that only geometrical parameters, and , are explicit inputs. The statistically perturbed substrate parameters , , and are considered as implicit inputs. To capture the behavior deviation due to variations in the substrate parameters, a statistical mapping network is developed whose mapping parameters become the explicit representation of the implicit substrate parameters. We formulate the statistical mapping as a simple linear function of (1)

(7)

Fig. 4. Comparison between LHS and SRS using a two-input sampling example with zero mean values, unit standard deviations, and correlation coefand . (a) Sample surface plots of ficient of 0.5 between the two inputs PDF of with 50 and 100 samples from LHS and SRS. (b) Contour plots at (— for LHS and - - - for SRS) and at maximum PDF (o for LHS and x for SRS) for four different population sizes. LHS contours of all four populations align well with each other, showing that only 50 LHS samples can provide good coverage of the overall input space.

TABLE I PHYSICAL PARAMETERS OF THE MICROSTRIP STRUCTURE

where contains the mapping parameters for the th sample. Note that , , and are not present in (7), but indirectly represented by , to be found for each sample through statistical mapping extraction. After extraction, the means, standard deviations, and correlations of extracted ’s are used to describe the substrate variations. For statistical model development, a population of samples is generated using the LHS by varying the physical parameters in Table I. As described in Section III, we expect this population size to efficiently sample the overall input space and small enough as in practical experiment. This population is for model development and is called “training population”. Smallsignal -parameter simulations are performed at each sample in the training population, whose responses are called “training data” and used for statistical mapping extraction following the optimization procedure of Fig. 2. The means and standard deviations of the extracted ’s and ’s are shown in Table II. The correlation matrix of the extracted parameters is

(8)

dielectric constant, and attenuation factor, thus the scattering parameters of the line. The physical dimensions to be varied for MLIN are the length and width of the microstrip, the substrate height , dielectric constant , and loss tangent ( ). The Gaussian distribution is presumed for all these four

As observed from (8) and Table II, and have very small values compared to and , and their correlations with and are also small. This indicates that and are two dominating factors of the statistical mapping, while and are less important thus can be neglected or removed to simplify the mapping network. This is as expected, because the length and width of the transmission line are uncorrelated. Fig. 5 shows the

446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 5. Probability density plot of the extracted mapping parameters. Gaussian distribution is observed for the transmission-line example.

probability density plot of the extracted and . Notice that, in this example, the mapping parameters follow Gaussian distribution. If the distributions of the extracted mapping parameters are irregular, a normal transformation may be needed to convert the extracted distribution to Gaussian [12]. To test the statistical mapping, we generated another population of 200 samples using LHS with the same distribution in Table I. This population is used to verify the statistical model, thus called the “test population”, and the corresponding 200 sets of -parameter data are called “test data.” Using the statistical means and variances in Table II and (8), which are extracted from the 50 training samples, another 200 sets of mapping parameters are generated. These mapping parameters, together with nominal model, compose the statistical model for test. To demonstrate the portability of the mapping network, we apply the mapping to three different nominal models: the ADS MLIN circuit model used for model development, and two other models with fine EM accuracy obtained from two EM simulators: ADS Momentum and HFSS. All three nominal models have the same physical parameters with nominal values in Table I. For statistical verification, the three models represented by the MLIN equivalent circuit, the output data from Momentum simulation, and the output data from HFSS simulation are evaluated using the same physical parameters in the test population. Their small-signal -parameters are compared with those computed from the statistical models using different nominal models. As shown in Fig. 6, the portable mapping is able to statistically perturb the nominal model to reproduce the statistical means and standard deviations of the small-signal responses independent of the nominal model. The correlation between the -parameters is also successfully reassembled using the portable mapping, as demonstrated in Table III. The portability of the mapping network is further tested by wrapping it around other nominal structures. Two new transmission lines with different nominal parameters from the original structure are used, with lengths of 80 and 50 mil, width of 20 and 30 mil, substrate height of 8 and 5 mil, dielectric constant of 10.8, and loss tangent of 1.5e-4. These two lines have characteristic impedance of 26 and 17 , respectively, compared to the 30- line in Table II. Two populations of 100 samples

Fig. 6. Means and standard deviations of: (a) magnitude of and and and for the microstrip example. Comparison (b) phase components of is between the test population and the statistical mapped model. The same mapping developed from the MLIN nominal model is applied to two other nominal models using Momentum and HFSS simulation data. The portable statistical mapping successfully captured the statistical behavior for all three nominal models.

are generated for both of these new transmission lines using the same distribution as the original line, and the same mapping of Table II and (8) is applied to the corresponding MLIN nominal models. As illustrated in Fig. 7, the statistical behaviors are accurately captured for both transmission lines, by the mapping extracted from a different (original) line structure.

ZHANG et al.: PORTABLE SPACE MAPPING FOR EFFICIENT STATISTICAL MODELING OF PASSIVE COMPONENTS

SAMPLES

OF

447

TABLE III CORRELATION COEFFICIENTS FROM THE -PARAMETERS OF THE TRANSMISSION-LINE EXAMPLE

Another important benefit of the portable mapping technique is the cost-effective model development using a fast nominal model. To illustrate this, we compared modeling cost by recording statistical parameter extraction time for a population of 100 samples using both a fast circuit model and a slow EM simulation as nominal model. The test was performed with circuit and Momentum simulations in ADS on a PC with Intel Core 2 Duo CPU at 3 GHz. The overall modeling time was 42.70 s using the fast nominal model, compared to 18.89 min using the slow nominal model. Yet the statistical mapping extracted from the fast nominal model can provide the required statistical accuracy in a fraction of the time. As EM complexity increases, the speedup in modeling by the portable mapping can become dramatic. B. Statistical Modeling of a Spiral Inductor Spiral inductors are popularly used in RF circuit as matching elements. For accurate design, it is necessary to have them properly characterized to include manufacturing process variation effects. In this example, the portable statistical mapping is used for statistical modeling of a spiral inductor, whose structure is shown in Fig. 8(a) drawn on the technology specific substrate and with its parameters defined in Table IV. We consider the linewidth and longitudinal inside diameter as the explicit statistical inputs, while the spacing and transverse inside diameter are the implicit statistical inputs. The nominal model used for statistical mapping development is an equivalent-circuit-based model, as shown in Fig. 8(b), where the values of resistors, inductors and capacitors are functions in terms of the physical parameters extracted from EM data. To study the statistical behavior of the spiral inductor, we perturbed the physical parameters with the statistical specifications in Table IV, and used LHS to generate a training population of samples and a test population of samples from Gaussian distribution. The data generation is performed in ADS schematic simulation using the equivalent-circuit model of Fig. 8(b). The statistical mapping is formulated as a linear function of (1) Line

Line Line

(9)

contains the mapping where parameters for the th statistical sample. In (9), the original explicit inputs are mapped to new inputs with the implicit inputs being represented by the mapping parameters .

Fig. 7. Means and standard deviations of: (a) magnitude of and and and for two different microstrip structures. (b) phase components of Comparison is between the test population and the statistical mapped model. The portable statistical mapping developed from the original microtrip successfully captures the statistical behavior for both new structures.

The statistical mapping is obtained through a standard parameter extraction procedure, as described in Section II-B, where mapping parameters ’s and ’s are optimized such that for each training sample, the statistically wrapped nominal model is able to produce a good fit to the data. The equivalent-circuit model with the nominal input values is used as the nominal model. Fig. 9 shows the probability density plot of the extracted and . Similar to the first example, the extracted parameters closely

448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE V EXTRACTED STATISTICS OF THE MAPPING PARAMETERS FOR THE SPIRAL INDUCTOR EXAMPLE

Fig. 8. (a) Structural definition of a spiral inductor model. (b) Equivalent-circuit representation of the nominal model for the inductor. TABLE IV PHYSICAL PARAMETERS OF THE SPIRAL INDUCTOR

Fig. 10. Means and standard deviations of inductance and quality factor of the spiral inductor example. Comparison is between the test population and the statistical mapped model. The same mapping developed from equivalent circuit (EC) nominal model is applied to the other nominal model using Momentum simulation data. The portable statistical mapping estimated the statistical behavior for both nominal models.

correlation matrix as

(10)

Fig. 9. Probability density plot of the extracted mapping parameters. Gaussian distribution is observed for the spiral inductor example.

follow Gaussian distribution. The means and standard deviations of the extracted parameters are shown in Table V with the

To verify the portable mapping, two different nominal models are used, represented by the fast model in the equivalent circuit form in ADS, and the fine EM accuracy model obtained from Momentum simulation. The mapping network with its extracted statistics in (10) and Table V is applied to both nominal models. Fig. 10 compares the statistical means and standard deviations of inductance and quality factor using these two different nominal models with the portable mapping, and good statistical consistency between the responses from the test population and that from the model is observed. We further studied the portability of the mapping to two new spiral inductors with different nominal shape parameters, where linewidth of 16 and 25 m, line spacing of 8 and 15 m, and longitudinal and transverse

ZHANG et al.: PORTABLE SPACE MAPPING FOR EFFICIENT STATISTICAL MODELING OF PASSIVE COMPONENTS

449

REFERENCES

Fig. 11. Means and standard deviations of inductance and quality factor of two new spiral inductors with different shape parameters. Comparison is between the test population and the statistical mapped model. The portable statistical mapping developed from the original spiral inductor captures the statistical behavior for both new structures.

inside diameters of 90 and 200 m are used. The statistical and for the two new structures are shown in Fig. 11, which again shows that the statistical mapping extracted from the original structure of Table IV can be carried to a new EM structure for accurate statistical prediction. In addition, reduced modeling cost by the proposed technique is also observed. For a training population of 100 samples, the overall modeling time by the proposed technique was 23.2 s using the fast equivalent-circuit nominal model, while data generation for 100 samples using the fine EM accuracy model from Momentum simulation already required 34.88 min. This speedup can be very valuable for complicated EM modeling problems. V. CONCLUSION A portable mapping technique has been developed for costeffective statistical modeling of passive components, as a flexible alternative to existing modeling methods. The portability of statistical mapping network enables fast model development and flexible model usage. The smart sampling method retains statistical reliability with smaller sample size, and thus further reduces modeling cost. A single statistical mapping extraction can provide a set of statistical models of various accuracies for use in different design stages. This technique has simple formulation and is simulator independent. The portable statistical mapping is easy to implement and is simulation ready. ACKNOWLEDGMENT The authors would like to thank Prof. Q. J. Zhang, Carleton University, Ottawa, ON, Canada, for valuable discussions and insights throughout this study.

[1] M. Meehan and J. Purviance, Yield and Reliability in Microwave Circuit and System Design. Boston, MA: Artech House, 1993. [2] Z. Yu and C. C. McAndrew, “RF CMOS is more than CMOS: Modeling of RF passive components,” in IEEE Custom Integr. Circuits Conf., San Jose, CA, Sep. 2009, pp. 407–414. [3] I. Yun, R. Poddar, L. Carastro, M. Brooke, and G. S. May, “Statistical modeling of 3-D parallel-plate embedded capacitors using Monte Carlo simulation,” Electron. Telecommun. Res. Inst. J., vol. 23, no. 1, pp. 23–32, Mar. 2001. [4] P. Cox, P. Yang, S. S. Mahant-Shetti, and P. Chatterjee, “Statistical modeling for efficient parametric yield estimation of MOS VLSI circuits,” IEEE Trans. Electron Devices, vol. ED-32, no. 2, pp. 471–478, Feb. 1985. [5] R. Jiang, W. Fu, J. M. Wang, V. Lin, and C. C.-P. Chen, “Efficient statistical capacitance variability modeling with orthogonal principle factor analysis,” in IEEE/ACM Int. Comput.-Aided Design Conf., San Jose, CA, Nov. 2005, pp. 683–690. [6] A. A. Mutlu and M. Rahman, “Statistical methods for the estimation of process variation effects on circuit operation,” IEEE Trans. Electron Packag. Manuf., vol. 28, no. 4, pp. 364–375, Oct. 2005. [7] A. V. Sathanur, R. Chakraborty, and V. Jandhyala, “A hierarchical electromagnetic-circuit technique for statistical analysis of RF circuits in the spectral domain,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 796–806, Apr. 2009. [8] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [9] J. E. Rayas-Sánchez and V. Gutiérrez-Ayala, “EM-based Monte Carlo analysis and yield prediction of microwave circuits using linear-input neural-output space mapping,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4528–4537, Dec. 2006. [10] S. Koziel, J. W. Bandler, A. S. Mohamed, and K. Madsen, “Enhanced surrogate models for statistical design exploiting space mapping technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1609–1612. [11] L. Zhang, K. Bo, Q.-J. Zhang, and J. Wood, “Statistical space mapping approach for large-signal nonlinear device modeling,” in 36th Eur. Microw. Conf. Dig., Manchester, U.K., Sep. 2006, pp. 676–679. [12] L. Zhang, Q.-J. Zhang, and J. Wood, “Statistical neuro-space mapping technique for large-signal modeling of nonlinear devices,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2453–2467, Nov. 2008. [13] W. G. Cochran, Sampling Techniques, 3rd ed. New York: Wiley, 1977. [14] M. D. McKay, R. J. Beckman, and W. J. Conover, “A comparison of three methods for selecting values of input variables in the analysis of output from a computer code,” J. Technometr., vol. 21, no. 2, pp. 239–245, May 1979. [15] E. J. Pebesma and G. B. M. Heuvelink, “Latin hypercube sampling of Gaussian random fields,” J. Technometr., vol. 41, no. 4, pp. 303–312, Nov. 1999. [16] R. L. Iman and W. J. Conover, “A distribution-free approach to inducing rank correlation among input variables,” Commun. in Stat.—Simulation Comput., vol. 11, no. 3, pp. 311–334, 1982.

Lei Zhang (S’03–M’09) received the B.Eng. degree in electrical engineering (with a minor in economics) from Tianjin University, Tianjin, China, in 2000, and the M.A.Sc. and Ph.D. degrees in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2003 and 2008, respectively. She is currently a Modeling Engineer with the RF Modeling Team, RF Division, Freescale Semiconductor Inc., Tempe, AZ. Her expertise includes space mapping, neural networks, automatic model generation of passive and active components, and application of computer-aided design for RF circuits and systems. She has authored or coauthored over 20 technical papers and articles published in journals, conference proceedings, and international workshop notes.

450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Peter H. Aaen (S’93–M’97–SM’09) received the B.A.Sc. degree in engineering science and M.A.Sc. degree in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 1995 and 1997, respectively, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, in 2005. He currently manages the RF Modeling and Measurement Technology Team, RF Division, Freescale Semiconductor Inc., Tempe, AZ. He coauthored Modeling and Characterization of RF and Microwave Power FETs (Cambridge Univ. Press, 2007) and has authored or coauthored over 30 papers and workshop contributions in the fields of EM simulation, package modeling, and microwave device modeling and characterization.

John Wood (M’87–SM’03–F’07) received the B.Sc. and Ph.D. degrees in electrical and electronic engineering from The University of Leeds, Leeds, U.K., in 1976 and 1980, respectively. He is currently Senior Principal Member of Technical Staff with Maxim Integrated Products, Sunnyvale, CA, where he is involved with the modeling and design of envelope-tracking solutions for mobile phones. From 2005 to 2011, he was a Distinguished Member of the Technical Staff with the RF Division, Freescale Semiconductor Inc. From 1997 to 2005, he was with the Microwave Technology Center, Agilent Technologies, where he developed large-signal and bias-dependent linear field-effect transistor (FET) models for millimeter-wave applications and nonlinear behavioral models using LSNA measurements and nonlinear system identification techniques. He has authored or coauthored over 120 papers. His current areas of expertise include the development of nonlinear compact device models and behavioral models for RF power transistors and integrated circuits (ICs), the understanding of the impact, characterization, and control using digital pre-distortion (DPD) of nonlinearities, and memory effects in high-efficiency power amplifiers (PAs). Dr. Wood is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Electron Devices Society. He is a member of the ARFTG Executive Committee. He is a Distinguished Microwave Lecturer for the IEEE MTT-S. He is currently Editor-in Chief of IEEE Microwave Magazine.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

451

Longitudinal-Partitioning-Based Waveform Relaxation Algorithm for Efficient Analysis of Distributed Transmission-Line Networks Sourajeet Roy, Student Member, IEEE, Anestis Dounavis, Member, IEEE, and Amir Beygi, Student Member, IEEE

Abstract—In this paper, a waveform relaxation algorithm is presented for efficient transient analysis of large transmission-line networks. The proposed methodology represents lossy transmission lines as a cascade of lumped circuit elements alternating with lossless line segments, where the lossless line segments are modeled using the method of characteristics. Partitioning the transmission lines at the natural interfaces provided by the method of characteristics allows the resulting subcircuits to be weakly coupled by construction. The subcircuits are solved independently using a proposed hybrid iterative technique that combines the advantages of both traditional Gauss–Seidel and Gauss–Jacobi algorithms. The overall algorithm is highly parallelizable and exhibits good scaling with both the size of the network involved and the number of CPUs available. Numerical examples have been presented to illustrate the validity and efficiency of the proposed work. Index Terms—Convergence analysis, delay, longitudinal partitioning, transient simulation, signal integrity, transmission line, waveform relaxation.

I. INTRODUCTION

W

ITH the constant increase in operating frequencies, interconnects need to be modeled as distributed transmission lines for accurate signal integrity analysis of modern integrated circuits (IC) [1]. Accurate modeling of large distributed networks using commercial circuit solvers with integrated circuit emphasis (like SPICE) require significant central processing unit (CPU) time and memory, thereby making them computationally prohibitive for fast transient simulation. The waveform relaxation (WR) algorithm has emerged as an attractive technique to reduce the simulation costs of such large networks [2]–[23]. Typically, waveform relaxation attempts to break a large circuit into smaller subcircuits that can be solved iteratively in sequence or in parallel. Each iteration involves an exchange of voltage/current waveforms between the subcircuits for the response to converge to the actual solution. Presently, two approaches exist for application of waveform relaxation to transmission line networks. One such approach

Manuscript received September 26, 2011; accepted November 21, 2011. Date of publication January 18, 2012; date of current version March 02, 2012. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada, Canada Foundation for Innovation, Canadian Microelectronics Corporation and Ministry of Research and Innovation—Early Research Award. The authors are with the Department of Electrical and Computer Engineering, University of Western Ontario, London, ON, Canada N6A 5B9 (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2178261

is the transverse partitioning scheme [11]–[14] where multiconductor transmission lines (MTLs) are partitioned into single lines by assuming weak capacitive and inductive coupling between the lines. The coupling between the lines is represented as time-domain relaxation sources introduced into the circuit model of each line. An alternative waveform relaxation algorithm is based on longitudinal partitioning of the network into repeated subcircuits [4]–[8], [10], [16]. While longitudinal partitioning schemes based on the generalized method of characteristics (MoC) has been reported in [4]–[8], more recent works [16] have focused on partitioning the line based on segmentation models such as the conventional resistive-inductive-conductive-capacitive (RLGC) lumped model [24]. Partitioning techniques based on segmentation models have a common limitation that since each segment directly feeds into the next segment, the adjacent segments are strongly coupled in physical space. This is reflected in the fact that blindly partitioning the conductor between segments requires resolving the stringent Dirichlet’s transmission condition across the partition and consequently exhibits poor convergence [16]. The work of [16] accelerated the convergence of the WR algorithm by artificially exchanging additional voltage/current waveforms (i.e., increasing the overlap between subcircuits) followed by optimization routines. More recently, in [25], a WR algorithm based on the delay extraction-based passive compact transmission-line (DEPACT) segmentation model [26], [27] was presented for two conductor transmission-line networks. The DEPACT model represents lossy transmission lines as a cascade of lumped circuit elements alternating with lossless line segments where the lossless line segments are realized in the time domain using the MoC [24], [28]. The work of [25] exploited the inherent weak coupling across the natural interfaces provided by the MoC [4]–[8] to longitudinally partition the transmission line at these interfaces into smaller, disjoint subcircuits. The iterative solution of the subcircuits was performed using the sequential Gauss–Seidel (GS) technique and was shown to naturally achieve fast convergence without the need of any artificial exchange of waveforms or optimization techniques as proposed in [16]. This work extends the concepts of [25] to multiconductor transmission-line systems. Furthermore, the efficiency of the proposed algorithm for any general transmission-line network (two conductor or multiconductor) has been investigated on parallel processing-based platforms. To this end, two highly parallelizable iterative techniques have been implemented—the traditional Gauss–Jacobi (GJ) and a novel hybrid technique that

0018-9480/$31.00 © 2012 IEEE

452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

combines the complimentary features of Gauss–Seidel (GS) and the Gauss–Jacobi (GJ). This hybrid technique exhibits superior convergence properties when compared to the traditional GJ algorithm while maintaining its high parallelizability with respect to the number of CPUs available. In addition, a mathematical framework has been provided to demonstrate the scalability of the algorithm with respect to both the size of the network involved and the number of CPUs available for parallel processing. Numerical examples have been provided to illustrate the validity and efficiency of the proposed WR algorithm over full SPICE simulations. The paper is organized as follows. Section II deals with the background of waveform relaxation algorithms and concludes with a review of the DEPACT model [26], [27]. Section III presents the details of the proposed algorithm and Section IV describes the mathematical framework for analyzing the computational cost of the proposed work. The numerical examples and conclusions are presented in Sections V and VI, respectively. II. BACKGROUND AND DEPACT MODEL In order to explain the contributions of the proposed work, here we briefly discuss the background of general waveform relaxation algorithms followed by a review of the DEPACT model. A. Background of Waveform Relaxation Algorithms Waveform relaxation, from its introduction in [2], has proven to be an attractive algorithm to address the issue of exorbitant computational costs for solving large networks using traditional circuit solvers like SPICE. The algorithm is based on partitioning large networks into smaller subcircuits where the coupling between the subcircuits is represented using time-domain relaxation sources introduced into each subcircuit. Assuming an initial guess for the waveforms of the relaxation sources, the subcircuits are solved independently. The present solution of the subcircuits is then used to update the relaxation sources for the next iteration. This process is repeated until the error between two successive iterations falls within a prescribed error tolerance. Solving the individual subcircuits using modern parallel processing resources has allowed the utilization of multiprocessor hardware and provided significant CPU savings in memory and time compared with traditional full circuit simulation [14]. It is noted that the main limitation of relaxation algorithms is the speed of convergence of the iterations. Several methods have been reported to speed up convergence, such as time windowing [3], overlapping subdomains [22], [23], and optimization [16], [22]. B. Review of DEPACT Model A general coupled MTL system for quasi-transverse electromagnetic (TEM) mode of propagation is described by the Telegraphers partial differential equations [24]

(1) where and represent the spatial distribution of the voltage and current along the longitudinal direction

, and are the frequency-dependent and resistive, inductive, conductive, and capacitive per-unit-length (p. u. l.) parameters of the line, respectively. The solution of the above equations can be written as an exponential matrix function [29], [30] as (2) where

(3) and are the p. u. l. inducand tive and capacitive parameters at the maximum frequency of interest . Typically, the solution of (2) does not have an exact time domain counterpart and hence segmentation based modeling techniques [26], [27], [29]–[34] are generally used to derive an equivalent time domain expression of (2). Of these segmentation algorithms, the DEPACT is suitable for electrically long transmission lines due to the fact that it explicitly extracts the delay of the network leading to smaller number of lumped segments. However, extracting the delay terms from is not a trivial task since the matrices and do not commute (i.e., ). To approximate in terms of a product of exponentials, a modified Lie product [35] is used as (4) where is the number of sections. The associated error of the approximation scale as [34] (i.e., (4) quickly converges to the exponential matrix of (2) with increase in number of sections ). Equation (4) provides a methodology of discretizing the transmission line into a cascade of alternating subsections with the individual stamps of and , as illustrated in Fig. 1 (for single lines) and Fig. 2 (for MTLs). The exponential matrix represents the attenuation does not contain losses of the transmission line. Since and , it can be approximated by a low-order rational function, which in turn can be realized in SPICE using either lumped RLC elements or lumped dependent sources [26], [27]. As a result, the subsections with stamps of are replaced by a macromodel referred to as “lumped circuit elements” in Figs. 1 and 2. On the other hand, the matrix contains only and and can be modeled as a lossless line using the MoC [24], [28]. As a result, the subsections with stamps of are replaced by the equivalent MoC circuit [24], [28] in Figs. 1 and 2. More detailed derivations of a SPICE realization of the DEPACT model of (4) has been provided in [26] and [27]. The rational macromodel describing the lossy sections and the MoC equations describing the lossless sections both enjoy exact representations in the time domain and together approximate the frequency domain solution of (2) as a set of

ROY et al.: WAVEFORM RELAXATION ALGORITHM FOR EFFICIENT ANALYSIS OF TRANSMISSION-LINE NETWORKS

453

Fig. 1. SPICE equivalent circuit of a two conductor transmission line using DEPACT.

delayed ordinary differential equations in the time domain which can be solved by SPICE. Section III discusses the development of the proposed WR algorithm based on the DEPACT model of (4). III. DEVELOPMENT OF PROPOSED ALGORITHM Here, we begin by describing the proposed longitudinal partitioning scheme for single lines and the methodology to iteratively solve the subcircuits. From this discussion, the algorithm is extended to MTLs. A. Proposed Partitioning Scheme for Single Lines The DEPACT model of (4) provides a methodology to discretize two conductor transmission lines into alternating cascade of lossy and lossless line segments (Fig. 1). To better explain the proposed partitioning methodology, consider the equations for the th lossless line segment in Fig. 1 given as follows: (5) are the near and far end voltages, respecwhere tively, and are the near and far end currents. respectively, of the th lossless line segment. Using simple algebraic manipulations on (5) followed by converting the resultant equations into the time domain provides the following MoC relation [24], [26], [27]:

(6) where and are the characteristic impedance and the delay of each lossless section, respectively. The MoC equations of (6) can be realized by the simple circuit equivalent of Fig. 1. From Fig. 1, it is observed that the MoC provides natural interfaces across which information is exchanged using the time delayed equations of (6) rather

than the more stringent Dirichlet’s transmission conditions. As a result, partitioning the transmission lines at these interfaces as shown in Fig. 3 was found to yield reliably efficient convergence without the need for artificial overlap of subcircuits and optimization like [16]. From (6), it can be further concluded that the delayed sources serve as the relaxation sources responsible for ensuring the coupling between the subcircuits for the proposed WR algorithm. The next section describes the methodology to iteratively solve the subcircuits and update the relaxation sources. B. Iterative Solution of Subcircuits for Single Lines Typically, two techniques exist for the iterative solution of the subcircuits—the Gauss–Seidel (GS) and the Gauss–Jacobi (GJ) techniques. According to the GS technique, the th iterative solution of any th subcircuit requires the present ( th) solution of th subcircuits as well. This translates to a all of the preceding sequential solution of the subcircuits where all of the relaxation sources are updated after solution of each individual subcircuit [3]. On the other hand, according to the GJ iterative technique, the th iterative solution of any th subcircuit requires only the previous ( th) solution of all subcircuits. This corresponds to a possible parallel solution of the subcircuits where the relaxation sources are only updated when the solution of all subcircuits is complete [3]. The above discussion shows that the GS technique involves updates or exchanges of information per iteration where is the number of subcircuits, compared with GJ that involves only one exchange of information. Thus, GS exhibits better convergence than GJ [15]. However, a potential drawback of GS is that it does not naturally lend itself to parallel processing like the GJ technique since the present solution of any th subcircuit is dependent on the present solution of all previous subcircuits. In [25], a sequential GS iterative technique to solve the subcircuits was implemented. In this work, with the focus being on highly parallelizable iterative techniques, two schemes are proposed—first, the traditional GJ technique, followed by a hybrid technique that combines the complementary features of GS and GJ.

454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 2. SPICE equivalent circuit of an MTL using DEPACT.

Fig. 3. Partitioning of single line into subcircuits for waveform relaxation.

1) Gauss–Jacobi (GJ): This discussion begins by considering a general two-conductor transmission line discretized into subcircuits, as illustrated in Fig. 3. Prior to beginning the th iteration, it is assumed that the th iteration has been completed for all subcircuits and waveforms of all of the relaxation sources have been updated to . For , the waveforms of the relaxation sources, , is simply the initial guess. For the th iteration, considering the th subcircuit of Fig. 3, the corresponding relaxations sources with known waveforms serve as the input excitation. This translates to the following terminal conditions for the th subcircuit:

(7) The terminal conditions of (7) along with the equations of the corresponding lumped circuit elements, together form the set of ordinary differential equations describing the th subcircuit, which can be solved for a self consistent solution . It is noted that the of the waveforms ) of relaxation sources of (7) (i.e.,

each th subcircuit are assumed to be known beforehand and, hence, considered independent of the present ( th) solution of subcircuits. This particular aspect allows the remaining the subcircuits to be solved in parallel on a multiprocessor machine. Once all of the subcircuits are solved, the voltage wave, determined from the present ( th) forms iteration, is used to update the relaxation sources for the future th iteration using (6) as follows:

(8) The total equations of (8) required to update all of the relaxation sources, being decoupled, can be solved in parallel as well. Using the updated values of (8) as the new source waveforms for the next th iteration, the subcircuits are solved again. This iterative cycle continues until the absolute error satisfies a predefined tolerance expressed as (9) where

is the predefined error tolerance.

ROY et al.: WAVEFORM RELAXATION ALGORITHM FOR EFFICIENT ANALYSIS OF TRANSMISSION-LINE NETWORKS

455

. If , the waveforms of the above relaxation is simply the initial guess. For the sources, th iteration, using the above relaxation sources with known waveforms as the input excitation to the corresponding subcircuits of group A, the subcircuits can be solved in parallel via the GJ technique explained in previous section. Once the GJ is concluded, voltage waveforms determined from the present ( th) iteration of group A is used to update the relaxation sources responsible for exciting only the even numbered subcircuits (group B) of Fig. 3 as

(11) The total equations of (11) can be solved in parallel, similar to (8). The relaxation sources of (11) serve as the input for the corresponding subcircuits can also be subcircuits of group B and the solved in parallel using the GJ technique. The voltage waveforms determined from the present ( th) iteration of group B is used to update the relaxation sources responsible for exciting only the subcircuits of group A for the future th iteration as

Fig. 4. Hybrid GS–GJ iterative technique.

2) Hybrid GS–GJ: To explain this contribution, the subcircuits of Fig. 3 is considered to be divided among two groups—group A containing the odd numbered subcircuits and group B containing the even numbered subcircuits, where the total number of subcircuits within each group is defined as —group A —group B

(10)

and represents the modulus function. Since, for the specific case of longitudinal partitioning, coupling exists between an odd-numbered and an even-numbered subcircuit only (and not between two odd-numbered or two even-numbered subcircuits themselves), the th iterative solution of any subcircuit in any group is independent of the present ( th) solution of any other subcircuit within the same group and rather depends on the present ( th) solution of particular subcircuits within the opposite group. This coupling is addressed using a nested iterative technique. The outer iteration solves groups A and B in sequence (using GS) with updating the relaxation sources after every group solution. The inner iteration solves the subcircuits within each group in parallel (using GJ). This forms the basis of the proposed hybrid iterative technique and is illustrated in Fig. 4. In each iteration, the GS sequence begins with group A before proceeding to group B. Hence, prior to beginning the th iteration, it is assumed that the th iteration has been completed for all subcircuits and those relaxation sources responsible for exciting only the odd numbered subcircuits (group A) in Fig. 3 have been updated to

(12) equations of (12) can be solved in parallel as The total well. The above iterative cycle continues until the absolute error of the iterations satisfies the error tolerance as in (9). It is noted that the hybrid technique provides more frequent exchange of waveforms using (11)–(12) compared with traditional GJ which allows only a single exchange of (8). As a result, the hybrid technique exhibits better convergence than GJ. In Section III-C, the proposed algorithm is extended for MTLs. C. Extension for Multiconductor Transmission Lines To better explain the partitioning methodology for MTLs, the equations for the th lossless line segment in Fig. 2 is provided as

(13) coupled equations. However, It is observed that (13) leads to the coupled lossless sections can be decoupled into single lossless lines using a linear transformation of modal voltages/ currents as

(14)

456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 5. Partitioning of MTLs into subcircuits for waveform relaxation.

where and

and are constant matrices chosen to diagonalize and have the following properties [24]: (15)

and are diagonal matrices and the superscript denotes the transpose of the matrix. Replacing (14) and (15) in (13) and performing the same algebraic manipulations as in Section III-A followed by converting the resultant equations into the time domain, the decoupled lossless sections can be represented using the MoC equations similar to (6) as

(16)

is expected to yield efficient convergence of the proposed WR algorithm. The following section describes the iterative solution of the subcircuits of Fig. 5. D. Iterative Solution of Subcircuits for MTLs Once the MTL network is partitioned using the above methodology, both the GJ and hybrid GS-GJ iterative technique can be used to solve the subcircuits as explained below. The iterative procedures (GJ and hybrid GS-GJ) for MTLs are similar to that of two conductor line with the main difference being that, the MoC equations of (6) now has to be extended to consider the decoupled equations of (16). 1) GJ for MTLs: This discussion begins by considering a general MTL discretized into subcircuits as illustrated in Fig. 5. Assuming that the waveforms of all of the relaxation sources are known from the previous th iteration and are used as input excitations for the subcircuits of Fig. 5, the terminal conditions required for the th iterative solution of the th subcircuits is changed from (7) to include the effect of MTLs described by (16) as

where

represents the line number, and represents the characteristic impedance and delay of each lossless section, respectively, of the th line and (18) Since

(17) where the time-domain

are of the vectors , respectively, defined in (14). The MoC equations (16) for MTLs can be realized using the equivalent circuit of Fig. 2, where the matrices and arising from the similarity transformation of (14) is grouped with the lumped representation of the lossy section. It is observed that, similar to the single-line case of Fig. 1, the MoC provides natural interfaces for MTLs across which information is exchanged using the time delayed equations of (16). Hence, longitudinally partitioning transmission lines at these interfaces, as shown in Fig. 5, counterparts

the

relaxation

sources of (18) (i.e., ) of each th subcircuit are assumed known beforehand and independent of the present ( th) solution of the remaining subcircuits, the subcircuits can be solved in parallel, similar to two conductor subcircuits lines. The th iterative solution of all of the provides the self consistent solution of the waveforms which are thereafter used to update the relaxation sources for the future th iteration using (16) as

(19) This iterative cycle continues until the absolute error satisfies a predefined tolerance as (20)

ROY et al.: WAVEFORM RELAXATION ALGORITHM FOR EFFICIENT ANALYSIS OF TRANSMISSION-LINE NETWORKS

2) Hybrid GS–GJ for MTLs: The characteristic of longitudinal partitioning where couplings exist between an odd numbered and an even numbered subcircuit only (and not between two odd-numbered or two even-numbered subcircuits themselves), is applicable to MTLs as well. Hence, the hybrid iterative technique of Fig. 4 can be easily extended to MTLs. Assuming that the waveforms of all of the relaxation sources responsible for exciting the subcircuits of group A are known from the previous th iteration, the subcircuits of group A can be solved in parallel via the GJ technique explained in the previous section. Once the GJ is concluded, determined voltage waveforms from the present ( th) iteration of group A is used to update the relaxation sources responsible for exciting only the subcircuits of group B as

(21) The relaxation sources of (21) now serve as the input for the corresponding subcircuits of group B and the subcircuits can also be solved in parallel using the GJ technique. The voltage waveforms determined from the present ( th) iteration of group B is used to update the relaxation sources responsible for exciting only the subcircuits of group A for the future th iteration as

457

computational cost for traditional circuit simulators is a major factor limiting its applicability. To address the above issue in the proposed WR algorithm, the DEPACT sections are separated into subcircuits each described using delayed differential equations which can now be solved independently. The total computational cost of the proposed WR algorithm is mathematically quantified using the following lemmas. Lemma 1: For subcircuits, the computational cost of the proposed WR algorithm using traditional GJ iterations is , where is the number of iterations and is the number of CPUs available for parallel processing. Proof: For typical WR algorithms, the total computational cost can be divided into two parts—the first part is to solve the subcircuits independently and the next is to update the relaxation sources. It is assumed that the cost of solving one subcircuit scales as , where is the scaling coefficient. Using a GJ iterative technique where the task of independently solving subcircuits can be distributed over CPUs, the total cost of solving the subcircuits per iteration is given by . The second stage of the algorithm involves updating the relaxation sources using (8) and (19). This translates to the solution of linear algebraic equations in the time domain per iteration. Since the equations are all decoupled, they can be solved independently in parallel using CPUs for a cost of where is the scaling coefficient for the second part of the proposed WR algorithm. Since, within the context of this analysis, is a constant, the above cost can be rewritten as . The total cost of each iteration is the sum of the above costs given as (23)

(22) The above iterative cycle of continues till the absolute error of the iterations satisfies the error tolerance as in (20). Equations (21)–(22) provide twice the amount of waveform exchange compared to the single waveform exchange of (19) and hence, the hybrid technique exhibits improved convergence compared with the GJ technique. IV. COMPUTATIONAL COMPLEXITY ALGORITHM

OF THE

PROPOSED

The analysis begins by considering a general MTL network of Fig. 2 discretized into DEPACT sections. Assuming each DEPACT section to be described using number of delayed ordinary differential equations, the size of the overall circuit matrix describing the original network is . The computational complexity of directly inverting the above matrix to perform time-domain analysis is or [36], [37]. However, the matrices obtained by traditional circuit simulators are sparse by nature and can be solved more efficiently using sparse matrix routines at a cost of where typically depending on the sparsity of the matrix [11]. For large distributed networks, the interconnect have to be discretized into many segments to accurately capture the response at the output ports. For such cases, the super linear scaling of the

is the cost of each GJ iteration. Since the above where process needs to be redone for iterations, the total cost of the proposed algorithm using traditional GJ is (24) is the total cost of the proposed algorithm using GJ. where It is observed that the solution of the linear algebraic equations to update the relaxation sources of (8) and (19) does not involve any matrix inversion. On the other hand, the solution of each subcircuits involves the inversion of a matrix of size . As a result, the cost of solving the subcircuits (first part) is found to dominate over the cost of updating the relaxation sources (second part) [13] (i.e., ). Hence, the result of (24) can be simplified to (25) where, within the context of this work, is a function of the number of MTLs and is treated as a constant. Equation (25) demonstrates that the proposed WR algorithm scales as when using the traditional GJ. The following lemma extends the above analysis to the hybrid iterative technique. Lemma 2: For subcircuits, the computational cost of the proposed WR algorithm using the hybrid GS–GJ iterations is , where is the number of iterations.

458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 6. Circuit of Example 1.

Proof: The cost of the proposed WR algorithm using the hybrid iterative technique can be divided into two parts—the first part is to solve the subcircuits and update the relaxation sources using (21). The second part is to solve the subcircuits and update the relaxation sources using (22). Since updating the relaxation sources using (21) and (22) does not require any matrix inversion, the contribution of solving (21) and (22) is minimal compared with the cost of the solution of each subcircuit. As a result, the total cost of the hybrid iterative technique can be approximated as simply the cost of the independent solution of the and subcircuits. The computational cost of solving the subcircuit per iteration using the GJ technique with parallel CPUs is given by (from Lemma 1). Similarly, the cost of the subcircuits per iteration is approximated as . Since the solution of and subcircuits proceeds in sequence, the total cost of the hybrid technique per iteration is the sum of the above two costs, given here as (26) Multiplying the above cost with the number of iterations (in this case, ) provides an estimate of the full computational cost of the proposed WR algorithm using the proposed GS-GJ hybrid iterative technique as follows:

(27) From the definition of proximated to

and

in (10), (27) can be ap(28)

Equation (28) demonstrates that the proposed WR algorithm scales as when using the hybrid iterative technique. Comparing the scaling of (25) and (28) with the number of , it is appreciated that the hybrid iterative available CPUs technique retains the high degree of parallelizability as the GJ

technique. However, the hybrid technique has the added advantage of faster convergence over the GJ counterpart due to the greater exchange of waveforms using (11) and (12) and (21) and (22) compared with the single exchange of (8) and (19). It is observed that the main reason behind the attractiveness of the proposed algorithm [whether using GJ as in (25) or the hybrid technique as in (28)] is the ability to solve the subcircuits independently. This translates to an almost linear scaling of the computational costs of the proposed algorithm with number of DEPACT sections unlike SPICE which suffers from a super linear scaling. In addition, using GJ and the hybrid technique provides an additional advantage over SPICE (and GS based WR algorithms like [25]) of dividing the computational cost of the proposed algorithm over multiple CPUs . These results will be validated using the numerical examples in Section V. V. NUMERICAL EXAMPLES Three examples are presented here to demonstrate the validity and efficiency of the proposed algorithm. For a fair comparison of the proposed work with full SPICE simulations, all of the subcircuits of the WR iterations are also solved using SPICE. A customized C++ code is used to extract the waveforms of the th subcircuit and update the relaxation sources without any external communication between the user and SPICE engine. The scheduling of each subcircuit solve (whether using GJ or GS–GJ technique) is automated using MATLAB 2010b. Within the context of this work, full SPICE simulations refer to the DEPACT algorithm of [26] and [27]. Example 1: The objective of this example is to demonstrate the accuracy of the proposed WR algorithm and the superior convergence of the hybrid iterative technique over the traditional GJ technique. For this example a transmission line network consisting of seven transmission line segments as shown in Fig. 6 is considered. The p. u. l. parameters of the network 0.25 /cm, 4 nH/cm, pF/cm, are mmho/cm and 5 /cm where represents the skin effect losses as a function of frequency [38], [39]. The network is excited by a trapezoidal voltage source of rise time 0.1 ns, pulsewidth 5 ns,

ROY et al.: WAVEFORM RELAXATION ALGORITHM FOR EFFICIENT ANALYSIS OF TRANSMISSION-LINE NETWORKS

Fig. 7. Transient response for Example 1 using the proposed algorithm and full SPICE simulation. All line lengths are . (b) Transient response at output port . port

Fig. 8. Convergence properties of the proposed hybrid iterative technique comcm. pared to GJ. All line lengths are

amplitude of 2 V, and loaded with two SPICE level 49, CMOS inverters using 180-nm technology. To illustrate the accuracy of the proposed algorithm, the line 30 cm. In this case, the length of each segment is set to number of subcircuits required is 420. The network is then solved using both proposed work and the full SPICE simulation. The proposed work uses the hybrid iterative technique to solve the subcircuits on a sequential platform with the predefined error tolerance set to and an initial guess of the relaxation sources set to the dc solution of zero. The transient responses at the far end of the network using the proposed WR algorithm and full SPICE simulations are shown in Fig. 7. Next, the convergence properties of the proposed hybrid technique are compared with the traditional GJ technique. For each algorithm, the number of iterations is varied from 1 to 10 and the scaling of the associated error [ of (9)] is displayed in Fig. 8. It is observed that the proposed hybrid technique shows significantly faster convergence than the traditional GJ algorithms. This is due to the fact that the proposed hybrid technique involves twice the amount of information exchange as the GJ technique for same number of iterations (see Sections III-B and III-D).

459

cm. (a) Transient response at output

Example 2: The objective of this example is to illustrate the computational efficiency of the proposed work over full SPICE simulations for MTL structures. For this example, a seven-coupled line network with the physical dimensions as shown in Fig. 9(a) is considered. The p. u. l. parameters for this example are extracted from the HSPICE field solver [38] and include frequency dependent parameters. For the following analyses, the MTL network topology is shown in Fig. 9(b), where lines 1, 3, 5, and 7 are excited with trapezoidal voltage sources of rise time 0.1 ns, pulsewidth 5 ns, and amplitude of 2 V. This example begins with a demonstration of the performance of the proposed work compared with full SPICE simulations as the size of the network increases. The line length of the network in Fig. 9(b) is increased from 0 to 200 cm in steps of 10 cm. To accurately model the network, the numbers of subcircuits are increased in steps of 16 for each 10-cm step and range from 0 to 320. For each case, the network is solved using both proposed work and the full SPICE simulation. The proposed work uses both the hybrid technique and traditional GJ technique on a with the predefined error tolerance sequential platform set to and an initial guess of the relaxation sources set to the DC solution of zero. For this particular error tolerance, the number of iterations required for convergence is found to be consistently between 5 and 6. The accuracy of the proposed work (with the hybrid technique) compared to full SPICE simcm (i.e., for 80 subcirulation is illustrated in Fig. 10 for cuits). The scaling of the computational cost of both proposed work and full SPICE simulation with the line length is shown in Fig. 11(a). It is observed from Fig. 11(a) that the proposed work scales almost linearly for both GJ and the hybrid algorithm as predicted in (25), (28) respectively while the full SPICE solution of the original network scale super linearly as where for this example. In addition, the hybrid iterative technique converges twice as fast as traditional GJ technique. Next, the performance of the proposed work is demonstrated on a parallel platform. The length of the network is fixed at the cm and the network corner of our design space where solved using both proposed work and full SPICE simulation. The proposed WR iterations are performed using both the hybrid technique and the traditional GJ technique where number of processors are varied from to for the same

460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 9. Transmission line structure of example 2.

Fig. 10. Transient response for Example 2 using proposed WR algorithm and the SPICE full simulation. Line length of the network is . (b) Transient response at output port . response at output port

Fig. 11. Scaling of computational cost for Example 2. (a) Scaling of computational cost with line length where line length cm. speed up with number of CPUs

error tolerance as before. The CPU speed up offered by both iterative techniques over full SPICE simulations is shown in Fig. 11(b) and summarized in Table I. The speed up for either iterative technique scale almost linearly with number of processors, thereby demonstrating the high parallelizability of both as theoretically expected from (25) and (28). The minor deviation of Fig. 11(b) from the exactly linear scaling of (25) and (28) with respect to number of CPUs is due to the incurred communication overheads between processors. Example 3: For this example a network consisting of a cascade of subnetworks as shown in Fig. 12 is considered. Each subnetwork consists of the three coupled MTL structure of [40] with line length cm. For the following analysis,

where number of CPUs

cm. (a) Transient

. (b) Scaling of CPU

TABLE I CPU TIME COMPARISON FOR EXAMPLE 2

line one and three of the network is excited with a trapezoidal voltage source of rise time ns, pulsewidth ns

ROY et al.: WAVEFORM RELAXATION ALGORITHM FOR EFFICIENT ANALYSIS OF TRANSMISSION-LINE NETWORKS

461

Fig. 12. Circuit of Example 3.

Fig. 13. Scaling of computational cost for Example 3. (a) Scaling of computational cost with number of subnetworks where number of subnetworks . (b) Scaling of computational cost with number of CPUs

and amplitude of 5 V. Each subnetwork is modeled using eight subcircuits. In this analysis, the number of subnetworks ( of Fig. 12) is increased from 0 to 50 in steps of 5 (i.e., the number of subcircuits are increased from 0 to 400 in steps of 40). For each case, the network is solved using both proposed work and the full SPICE simulation. The WR iterations for the proposed work is performed using the hybrid technique on a sequential machine with the predefined error tolerance set to and an initial guess of the relaxation sources set to the dc solution of zero. For this particular error tolerance, the number of iterations required for convergence was found to be consistently between 6 and 7. The scaling of the computational cost is of both proposed work and full SPICE simulation with demonstrated in Fig. 13(a). Similar to the previous example, the proposed WR algorithm shows linear scaling with the size of the network compared to the super linear scaling of full SPICE ( where for this example). Next, the performance of the proposed work is demonstrated on a parallel platform. The number of subnetworks is fixed at the corner of our design space where and the network solved using both proposed work and full SPICE simulation. The proposed WR iterations are performed on a parallel platform where number of processors are varied from to and the same error tolerance of is used with an initial guess of the relaxation sources set to the DC solution of zero. The scaling of the CPU speed up offered by the proposed algorithm over full SPICE simulations as a function of the number of processors is shown in Fig. 13(b) and summarized in Table II. As expected, the speed up for the proposed WR algo-

where number of CPUs

.

TABLE II CPU TIME COMPARISON FOR EXAMPLE 3

rithm scales almost linearly with number of processors, similar to Example 2. VI. CONCLUSION In this paper, a longitudinal-partitioning-based waveform relaxation algorithm for efficient transient analysis of distributed transmission-line networks is presented. The proposed methodology represents lossy transmission lines as a cascade of lumped circuit elements alternating with lossless line segments, where the lossless line segments are modeled using the method of characteristics. Partitioning the transmission lines at the natural interfaces provided by the method of characteristics allows the resulting subcircuits to be weakly coupled by construction. The subcircuits are solved independently using a hybrid iterative technique that combines the fast convergence of the proposed GS technique with the parallelizability of the GJ technique. Numerical examples illustrate that the proposed algorithm exhibits good scaling with both the size of the network and the number of CPUs available for parallel processing, thereby providing sig-

462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

nificant savings in run time costs compared with full SPICE simulations. REFERENCES [1] R. Achar and M. Nakhla, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [2] E. Lelarasmee, A. E. Ruehli, and A. L. Sangiovanni-Vincentelli, “The waveform relaxation method for time-domain analysis of large-scale integrated circuits,” IEEE Trans. Comput.-Aided Des. (CAD) Integr. Circuits Syst., vol. CAD-1, no. 3, pp. 131–145, Jul. 1982. [3] J. White and A. L. Sangiovanni-Vincentelli, Relaxation Techniques for the Simulation of VLSI Circuits. Norwell, MA: Kluwer, 1987. [4] F. Y. Chang, “The generalized method of characteristics for waveform relaxation analysis of lossy coupled transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 2028–2038, Dec. 1989. [5] F. Y. Chang, “Waveform relaxation analysis of RLCG transmission lines,” IEEE Trans. Circuits Syst., vol. 37, no. 11, pp. 1394–1415, Nov. 1990. [6] F. Y. Chang, “Relaxation simulation of transverse electromagnetic wave propagation in coupled transmission lines,” IEEE Trans. Circuits Syst., vol. 38, no. 8, pp. 916–936, Aug. 1991. [7] F. Y. Chang, “Waveform relaxation analysis of nonuniform lost transmission lines characterized with frequency dependent parameters,” IEEE Trans. Circuits Syst., vol. 38, no. 12, pp. 1484–1500, Dec. 1991. [8] F. Y. Chang, “Transient simulation of nonuniform coupled lossy transmission lines characterized with frequency-dependent parameters—Part I: Waveform relaxation analysis,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 39, no. 8, pp. 585–603, Aug. 1992. [9] J. Mao and Z. Li, “Waveform relaxation solution of ABCD matrices of nonuniform transmission lines for transient analysis,” IEEE Trans. Comput.-Aided Des. (CAD) Integr. Circuits Syst., vol. 13, no. 11, pp. 1409–1412, Nov. 1994. [10] F. C. M. Lau and E. M. Deeley, “Transient analysis of lossy coupled transmission lines in a lossy medium using the waveform relaxation method,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 3, pp. 692–697, Mar. 1995. [11] N. M. Nakhla, A. E. Ruehli, R. Achar, and M. S. Nakhla, “Simulation of coupled interconnects using waveform relaxation and transverse partitioning,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 78–87, Feb. 2006. [12] N. Nakhla, A. E. Ruehli, M. S. Nakhla, R. Achar, and C. Chen, “Waveform relaxation techniques for simulation of coupled interconnects with frequency-dependent parameters,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 257–269, May 2007. [13] D. Paul, N. M. Nakhla, R. Achar, and M. S. Nakhla, “Parallel simulation of massively coupled interconnect networks,” IEEE Trans. Adv. Packag., vol. 33, no. 1, pp. 115–127, Feb. 2010. [14] Y.-Z. Xie, F. G. Canavero, T. Maestri, and Z.-J. Wang, “Crosstalk analysis of multiconductor transmission lines based on distributed analytical representation and iterative technique,” IEEE Trans. Electromagn. Compatibil., vol. 52, no. 3, pp. 712–727, Aug. 2010. [15] R. Achar, M. S. Nakhla, H. S. Dhindsa, A. R. Sridhar, D. Paul, and N. M. Nakhla, “Parallel and scalable transient simulator for power grids via waveform relaxation (PTS-PWR),” IEEE Trans. Very Large-Scale Integr. (VLSI) Syst., vol. 19, no. 2, pp. 319–332, Feb. 2011. [16] M. Al-Khaleel, A. E. Ruehli, and M. J. Gander, “Optimized waveform relaxation methods for longitudinal partitioning of transmission lines,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 9, pp. 1732–1743, Aug. 2009. [17] M. J. Gander and A. Stuart, “Space-time continuous analysis of waveform relaxation for the heat equation,” SIAM J. Sci. Comput., vol. 19, no. 6, pp. 2014–2031, Nov. 1998. [18] E. Giladi and H. B. Keller, “Space time domain decomposition for parabolic problems,” Numer. Math., vol. 93, no. 2, pp. 279–313, 2002. [19] W. T. Beyene, “Application of multilinear and waveform relaxation methods for efficient simulation of interconnect-dominated nonlinear networks,” IEEE Trans. Adv. Packag., vol. 31, no. 3, pp. 637–648, Aug. 2008. [20] V. B. Dmitriev-Zdorov and B. Klaassen, “An improved relaxation approach for mixed system analysis with several simulation tools,” in Proc. EURO-DAC, 1995, pp. 274–279. [21] V. B. Dmitriev-Zdorov, “Generalized coupling as a way to improve the convergence in relaxation-based solvers,” in Proc. EURO-DAC/ EUROVHDL Exhib., Geneva, Switzerland, Sep. 1996.

[22] M. J. Gander and L. Halpern, “Optimized Schwarz waveform relaxation methods for advection reaction diffusion problems,” SIAM J. Numer. Anal., vol. 45, no. 2, pp. 666–697, Apr. 2007. [23] M. J. Gander, “Overlapping Schwarz waveform relaxation methods for parabolic problems,” in Proc. Algoritmy, 1997, pp. 425–431. [24] C. R. Paul, Analysis of Multiconductor Transmission Line. New York: Wiley-Interscience, 2008. [25] S. Roy and A. Dounavis, “Longitudinal partitioning based waveform relaxation algorithm for transient analysis of long delay transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, Jun. 2011, pp. 1–4. [26] N. Nakhla, A. Dounavis, R. Achar, and M. S. Nakhla, “DEPACT: Delay extraction-based passive compact transmission-line macromodeling algorithm,” IEEE Trans. on Adv. Packaging, vol. 28, no. 1, pp. 13–23, Feb. 2005. [27] N. Nakhla, M. S. Nakhla, and R. Achar, “Simplified delay extraction-based passive transmission line macromodeling algorithm,” IEEE Trans. Adv. Packag., vol. 33, no. 2, pp. 498–509, May 2010. [28] F. H. Branin, Jr., “Transient analysis of lossless transmission lines,” Proc. IEEE, vol. 55, no. 11, pp. 2012–2013, Nov. 1967. [29] A. Odabasioglu, M. Celik, and L. T. Pilleggi, “PRIMA: Passive reduced-order interconnect macromodeling algorithm,” IEEE Trans. Comput.-Aided Des. (CAD) Integr. Circuits Syst., vol. 17, no. 8, pp. 645–653, Aug. 1998. [30] A. Dounavis, R. Achar, and M. Nakhla, “Efficient passive circuit models for distributed networks with frequency-dependent parameters,” IEEE Trans. Adv. Packag., vol. 23, no. 8, pp. 382–392, Aug. 2000. [31] A. Dounavis, R. Achar, and M. Nakhla, “A general class of passive macromodels for lossy multiconductor transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1686–1696, Oct. 2001. [32] A. Cangellaris, S. Pasha, J. Prince, and M. Celik, “A new discrete transmission line model for passive model order reduction and macromodeling of high-speed interconnections,” IEEE Trans. Adv. Packag., vol. 22, no. 3, pp. 356–364, Aug. 1999. [33] Q. Yu, J. M. L. Wang, and E. S. Kuh, “Passive multipoint moment matching model order reduction algorithm on multiport distributed interconnect networks,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 46, no. 1, pp. 140–160, Jan. 1999. [34] E. Gad and M. Nakhla, “Efficient simulation of nonuniform transmission lines using integrated congruence transform,” IEEE Trans. Very Large-Scale Integr. (VLSI) Syst., vol. 12, no. 5, pp. 1307–1320, May 2004. par [35] F. Fer, “Resolution de l’equation matricielle produit infini d’exponentielles matricielles,” Acad. Roy. Belg. Cl. Sci., vol. 44, no. 5, pp. 818–829, 1958. [36] J. D. Dixon, “Exact solution of linear equations using p-adic expantions,” Numerische Mathematik, vol. 40, no. 1, pp. 137–141, 1982. [37] W. Eberly, M. Giesbrecht, P. Giorgi, A. Storjohann, and G. Villard, “Solving sparse integer linear systems,” in Proc. ISSAC’06, Genova, Italy, Jul. 2006, pp. 63–70. [38] “HSPICE U-2008.09-RA,” Synopsis Inc.. [39] “HSPICE Signal Integrity User Guide,” Synopsis Inc., Sep. 2005. [40] M. Celik and A. C. Cangellaris, “Efficient transient simulation of lossy packaging interconnects using moment-matching techniques,” IEEE Trans. Compon., Packag., Manuf. Technol. B, vol. 19, no. 1, pp. 64–73, Feb. 1996.

Sourajeet Roy (S’11) received the B.Tech. degree in electrical engineering from Sikkim Manipal University, India, in 2006, and the M.E.Sc. degree from University of Western Ontario, London, ON, Canada, in 2009, where he is currently working toward the Ph.D. degree. His research interests include modeling and simulation of high speed interconnects, signal and power integrity analysis of electronic packages and design and implementation of parallel algorithms. Mr. Roy was the recipient of the Vice-Chancellors Gold Medal for academic excellence at the undergraduate level.

ROY et al.: WAVEFORM RELAXATION ALGORITHM FOR EFFICIENT ANALYSIS OF TRANSMISSION-LINE NETWORKS

Anestis Dounavis (S’00–M’03) received the B.Eng. degree from McGill University, Montreal, QC, Canada, in 1995, and the M.Sc. and Ph.D. degrees from Carleton University, Ottawa, ON, Canada, in 2000 and 2004, respectively, all in electrical engineering. He currently serves as an Associate Professor with the Department of Computer and Electrical Engineering, University of Western Ontario, London, ON, Canada. His research interests are in electronic design automation, simulation of high-speed and microwave networks, signal integrity and numerical algorithms. Dr. Dounavis was the recipient of the Ottawa Centre for Research and Innovation (OCRI) futures award—student researcher of the year in 2004 and the INTEL Best Student Paper Award at the Electrical Performance of Electronic Packaging Conference in 2003. He also received the Carleton University Medal for outstanding graduate work at the M.Sc. and Ph.D. levels in 2000 and 2004, respectively. He was the recipient of the University Student Council Teaching Honour Roll Award at the University of Western Ontario in 2009 to 2010.

463

Amir Beygi (S’08) received the B.S. degree in electrical engineering from K.N. Toosi University of Technology, Tehran, Iran, in 2004, the M.S. degree in electrical engineering from Iran University of Science and Technology, Tehran, Iran, in 2007, and the Ph.D. in electrical and computer engineering from The University of Western Ontario, London, ON, Canada, in 2011. His research interests include simulation and modeling algorithms for electromagnetic compatibility and signal integrity of high-speed interconnects.

464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Phase Velocities Equalization of Coupled Microstrip Lines Using -Shaped Particles and Suppression of the Second Harmonic Hossein Hatefi Ardakani, Saeed Fallahzadeh, and Jalil Rashed-Mohassel, Senior Member, IEEE Abstract—This paper represents a new technique for equalization of phase velocities in coupled microstrip lines using -shaped particles. In the first step, the initial idea is investigated by considering a homogenized model for the substrates, and the structure is analyzed using a developed numerical code. This analysis provides an overview in finding the proper orientation of the particles as well as examining the pseudochirality effect. It is demonstrated that placing the omega particles in a specific orientation inside the substrate leads to a remarkable increase in the phase velocity of odd modes and can provide the phase velocities equalization. In the second step, the proposed structure is simulated with few numbers of particles. The results confirm the considered effective medium for the substrate and the presented physical explanations. The dispersive characteristics of particles found to be valuable in proposing a simple and yet efficient method for designing coupled line filters. This procedure is based on finding the dimensions of a conventional filter with a narrower bandwidth than the desired one. In order to validate the effectiveness of the proposed method, a third order Chebychev filter is designed on FR4 substrate and a suppression level higher than 60 dB is achieved. Finally, the proposed structure is fabricated and it is observed that the experimental results are in good agreement with the simulated data. Index Terms—Coupled line filters, coupled microstrip lines, spurious passband suppression, -shaped particles.

I. INTRODUCTION

C

OUPLED microstrip lines play a significant role in the design of planar microwave devices, such as directional couplers and coupled line filters [1]. Due to the inhomogeneity of these structures, an associated problem is the difference between the phase velocities of even and odd modes. This phenomenon is considerable in coupled line microstrip filters and leads to the appearance of parasitic harmonic responses, specifically at twice the center frequency, , [1]. Several techniques are proposed in the literature to tackle this problem. Some approaches provide the modal phase equalizaManuscript received May 21, 2011; revised November 10, 2011; accepted November 18, 2011. Date of publication January 12, 2012; date of current version March 02, 2012. H. Hatefi Ardakani is with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan, Ann Arbor, MI 48109 USA (e-mail: [email protected]). S. Fallahzadeh is with the School of Electrical Engineering, Iran University of Science and Technology, 16846-13114 Tehran, Iran (e-mail: [email protected]). J. Rashed-Mohassel is with the Center of Excellence on Applied Electro-magnetic Systems, School of Electrical and Computer Engineering, College of Engineering, University of Tehran, 14399 Tehran, Iran (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2180396

tion through a modification in the substrate or ground plane. Ground-plane apertures [2]–[4], periodically elevated ground planes [5], anisotropic substrates [6], substrate suspensions [7] and groove substrates [8] are placed in this category. Besides providing a high level of rejection, the layout of these kinds of filters are maintained the same as conventional ones. So they can be easily planned with the classical design procedures [8]. On the other hand, there are some methods that try to compensate the phase velocities by creating different paths for even/odd mode waves. It is found in [9] and [10] that assigning an overcoupled section can enhance the odd-mode electrical length. Meandered lines [11], coupling/shielding lines [12] and capacitively compensated structures [13] are other techniques based on the same concepts. Also periodic structures such as wiggly lines, grooved, corrugated, or even fractal shapes are found efficient in creating Bragg reflections and rejection of the first spurious passband [14]–[18]. Realization of these structures is simple, but their design procedure requires a time-consuming optimization process. In this paper, a new technique is proposed using insertion of -shaped particles inside the substrate. The initial idea is based on increasing the effective dielectric constant of odd modes and providing its equalization with even modes. The aim of the presented paper is the investigation of this idea from theoretical model to experimental verification. In a previous work, the -medium was introduced as a substrate for single microstrip lines, and the related problem was analyzed by proposing a full-wave analysis based on a spectral domain technique [19]. In the first step, the proposed structure is analyzed by considering a homogenous model for the substrate and the developed numerical code. Although the results of this analysis are not practical, they provide an overview in finding the proper orientation of the particles as well as examining the pseudochirality effect. It is demonstrated that besides this effect, phase velocities equalization is possible for a specific orientation of particles. In the second step, the presented coupled line is simulated in the case of few numbers of particles using the full-wave commercial software HFSS. The finite element method results validate the previous analysis and the considered effective medium for the substrate. It is observed that with an increase in the radius of the rings of particles, the effective dielectric constant of odd modes increases remarkably, while the even modes are almost unaffected. A simple and yet efficient filter design procedure is developed based on the fact that, due to the dispersive behavior of particles, the passband characteristics are not considerably affected by the particles. These changes are restricted to an in-

0018-9480/$31.00 © 2012 IEEE

HATEFI ARDAKANI et al.: PHASE VELOCITIES EQUALIZATION OF COUPLED MICROSTRIP LINES

465

Fig. 2. Electric field configurations for (a) even mode and (b) odd mode, and their interaction with an omega particle.

Fig. 1. (a) Cross section of a coupled microstrip line on an omega medium. (b) Two possible orientations for omega particles which interact with quasi-static electromagnetic fields.

crease in the bandwidth and a little shift in the center frequency. Therefore, in the first step, a conventional filter with a narrower bandwidth rather than the desired bandwidth is designed. In the second stage, the proposed filter is simulated using the obtained dimensions. It is revealed that the desired bandwidth can be achieved within few trials. Since, conventional filters can be easily designed by applying the transmission line calculator and optimization toolbox integrated within the commercial software ADS, the presented design procedure is quite fast. In order to confirm the effectiveness of the proposed method, a third order filter is designed on FR4 substrate and a suppression level higher than 60 dB is achieved. The proposed filter is fabricated using two pressed FR4 substrates and the measured results are in good agreement with the simulated data. II. PHASE VELOCITIES EQUALIZATION A. Effective Medium Analysis In a previous work, the problem of a single microstrip line on a generic pseudochiral omega medium was considered [19]. In that paper, a full-wave analysis based on the spectral domain technique was proposed to extract the propagation constant of the line. In this section, using the developed numerical code, a coupled microstrip line of Fig. 1(a) on an omega medium [20] will be analyzed and the aim is showing the possibility of phase velocities equalization of even and odd modes. As can be seen in Fig. 1(b), because of the lack of longitudinal components of electromagnetic fields i.e., and in the quasi-static region, there are only two possible orientations for the particles which can be excited with these fields. The question is which orientation is appropriate for our objective. The initial idea is based on increasing the propagation constant of odd modes. On the other hand, inserting omega particles into a host dielectric medium leads to an increase in the values of permittivity and permeability in lateral directions [21]. Considering this fact reveals that orientation A is appropriate for increasing the propagation constant of odd modes because the electromagnetic fields of odd modes have a higher interaction

with this orientation. This phenomenon is presented in Fig. 2. In this figure the electric field configuration for even and odd modes is depicted. It can be observed that the electric and magnetic fields of odd modes have higher interaction with omega particles, which leads to the induction of electric and magnetic polarizations and increased values of permittivity and permeability respectively [21]. For having a more accurate analysis and investigating the pseudochirality effect, one should consider a homogenous model for the substrate where it can be described by the following constitutive relations [21]: (1a) (1b) where , and are permittivity, permeability and chirality tensors respectively and have the following forms for orientation A:

(2) where is the dimensionless pseudochirality parameter [21]. After calculation of the Green’s function of the structure, the well-known Galerkin’s method is applied to find the propagation constant of even and odd modes as in [19]. It is clear that for obtaining the propagation constants of even modes, the expanding functions of the current densities are the same at both strips, but in the case of odd modes, there should be a difference in their signs [19]. For generating reasonable results, it should be noted that in this case, inserting omega particles into a host dielectric leads to an increase in the values of and . The results of Fig. 3 illustrate the variations of effective dielectric constant of even and odd modes versus for several values of , which is defined as follows [19]: (3) is the where is the propagation constant of the line and free space wavenumber. In this case mm, , and . As can be observed, of even and odd modes can be equalized by increasing the value of . This phenomenon occurs for both cases of and , but in the second case, higher values of are needed. This phenomenon can be also achieved with increasing the permittivity. But as will be clarified later, the desired value of can be more

466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 3. Variations of effective dielectric constant of even and odd modes for . (b) . different values of . (a)

Fig. 5. Variations of effective dielectric constants of even and odd modes versus frequency for several values of radii of the rings of particles for (a) FR4 substrate and (b) RO6010 substrate.

constant of the even and odd modes, the structure is separately simulated in the case of electric and magnetic boundary conditions at plane. Also, the effective dielectric constant of the line is calculated using the following equation: (4)

B. FEM Simulation

is the phase where is the velocity of wave in free space, of the transmitted signal, is the working frequency and is the length of the line. Based on the results of the previous section, equalization of phase velocities needs increasing the value of . On the other hand, the permeability of the medium depends on the radii of the rings of omega particles [22]. Therefore, the results presented in Fig. 5 are generated for several values of , which denote the radii of the rings. In order to validate the proposed model, the simulation is done for two different substrates.

The results of the previous section were important because they provided the starting point for a practical idea. But in order to become a step closer to physical realization, a real model should be considered for the substrate. Fig. 4 represents the proposed coupled line where one layer of omega particles is placed between two substrates and under the strips. Also the dimensions of a particle are depicted in Fig. 4(b). Although the substrate is not completely filled with particles, the simulation results reveal that the idea of increasing the permeability of odd modes can be realized. The full-wave commercial software HFSS is applied for analysis of the structure. In order to obtain the effective dielectric

As can be observed, the effective dielectric constant of odd modes increases as increases in both cases, but there is no sensible change in the case of even modes. As was previously described, the reason is that in the structure of Fig. 4, the omega particles do not interact with electric and magnetic fields of even modes. It can be observed that the propagation constants’ curves cross each other and the phase velocities equalization occurs. As a first result, it can be concluded that the considered homogenized model for the substrate is valid in this case and the presented physical explanations of this phenomenon are in agreement with the simulation results.

Fig. 4. Proposed coupled line where the particles are placed between two substrate layers. (a) Top view. (b) Dimensions of an particle. (c) Side view.

easily achieved with increasing the permeability. It can be concluded from the above results that the pseudochirality effect is an opposing factor for equalizing the phase velocities.

HATEFI ARDAKANI et al.: PHASE VELOCITIES EQUALIZATION OF COUPLED MICROSTRIP LINES

Fig. 6. Required radii of the rings of tion at 4 GHz for various and .

467

particles for phase velocities equaliza-

Fig. 7. Layout of a third order Chebychev microstrip coupled line filter.

On the other hand, it was concluded from the results of the previous section that the pseudochirality effect is an opposing factor for equalizing the phase velocities. It is clear that increasing the radii of the rings of the particles, beside the permeability, increases the pseudochirality coefficient [22]. But it can be observed from Fig. 5 that the effect of increased permeability is dominant to that of increased pseudochirality coefficient and it results in remarkably enhancing the of odd modes. Another result is the fact that inserting the omega particles inside the substrate makes the line more dispersive. In other words, the variation of versus frequency increases as with . In the next section, it will be revealed that this property will be useful in filter design. The required radii of the rings of the particles for phase velocities equalization at 4 GHz for various and are calculated and depicted in Fig. 6. As can be observed, for lower and , larger particles are required. III. FILTER DESIGN AND SECOND HARMONIC SUPPRESSION The phase velocities equalization is a promising phenomenon in suppression of the parasitic responses in microstrip coupled line filters. In this section, the proposed structure will be applied to eliminate the second harmonic of a third-order Chebychev microstrip coupled line band pass filters where its layout is presented in Fig. 7. The objective is to plan a simple and yet efficient method for design of these types of filters, which is based on the fact that the dimensions of the proposed filters are close to the dimensions of conventional filters with similar response. Because of the dispersive behavior of omega particles, the propagation characteristics of the lines are less affected at the center frequency, , rather than . The reason is that the effects of particles will be increased as the frequency becomes closer to the resonant frequency of the particles [22]. This phenomenon can be observed in Fig. 5, where the variations of is proportional to the frequency. Since, the dimensions of particles are designed for providing phase velocities equalization

Fig. 8. Simulated scattering parameters of the designed filter before (dotted line) and after placing the particles (solid line).

at , it is expected that insertion losses and the shape of the main passbands of the conventional filters are not significantly affected. To confirm the above explanations, a conventional filter with 15% fractional bandwidth at the center frequency of 2 GHz is designed using the commercial software HFSS and the particles are placed inside the substrate with similar dimensions. During the simulation, a lossless metal is assumed, and two FR4 substrates with a dielectric constant of and a loss tangent of 0.02 is applied where the overall thickness is 1.6 mm. Other dimensions of particles are: mm, mm, mm and . Fig. 8 represents the insertion losses of the designed filter before and after insertion of the particles. As is observed, the passbands do not have remarkable changes. These variations contain a small increase in the bandwidth and a little shift in the central frequency. It is revealed that the omega particles enhance the coupling between two lines and because of increasing the of the odd modes, the center frequency shows a little decrease. On the other hand, an ordinary coupled line filter can be easily designed in less than a few seconds. This has been done according to the transmission line calculator and optimization toolbox integrated within the commercial software ADS. Therefore, by designing a conventional filter with a narrower bandwidth rather than the desired bandwidth, the proposed structure can be easily designed. The iterative design procedure is as follows. The first step in design procedure is planning a conventional filter with a bandwidth less than the desired one. In the second step, the proposed filter should be simulated using the obtained dimensions in the previous stage. Here, the exact value of the dimensions of the particles does not matter, because it will be obtained in the last stage. Hence, based on the results of Fig. 6, an average value of mm is selected for the radii of the rings of the particles. As was previously discussed, placing the particles inside the substrate will only lead to a change in the bandwidth and center frequency. Therefore, at this stage, the only parameter is the bandwidth of the filter which should be checked. If the appropriate value is not achieved, one should repeat the previous steps. Finally, a fine tuning of is required for having a high level of suppression of the second harmonic. As was previously explained, a small variation of at this stage does not lead to a

468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE I SPECIFICATIONS AND DIMENSIONS OF THE CONVENTIONAL AND PROPOSED DESIGNED FILTERS ON FR4 (IN MILLIMETERS)

Fig. 9. Simulated scattering parameters of the conventional filter (dotted line) and the proposed filter A (solid line). Circuit parameters are in Table I.

sensible change in the passbands. Therefore, there is no need to change the value of and . Also, the length of the sections should be a little decreased for adjustment of the center frequency. In order to confirm the effectiveness of the proposed method, a third order filter with a bandwidth of 200 MHz is designed on FR4 substrate at the center frequency of 2 GHz. The final dimensions of the designed filter are presented in Table I. As can be seen, there are three filters, which include the proposed one, initial design and a conventional filter. The initial design denotes the conventional filter with a narrower bandwidth in comparison to the desired one, which is designed in the first stage and has the same line width and gap spacing as the proposed filter. It should be mentioned that the desired bandwidth is obtained with few trials. The point is that the design of conventional filter can be carried out in a very fast way, because it is based on considering the common circuit model and it does not contain a full-wave time-consuming simulation. Therefore, the proposed procedure is a fast and yet efficient method for designing the coupled line filters on the presented substrate. Fig. 9 demonstrates the simulated scattering parameters of the novel designed filter compared to the conventional one. A suppression level higher than 60 dB in the first spurious passband is achieved while the insertion loss and shape of the main passband is remained almost unaffected. As was described in the introduction, the presented structure is placed in the group of techniques which deal with the problem through a modification in the substrate or ground plane [2]–[8]. By doing a comparison, it is revealed that one of the advantages of the new filter is that they are shorter than common filters. The reason is that inserting the particles inside the substrate leads to an increased propagation constant of the line. On the other hand, the design procedure of the proposed structure is

Fig. 10. (a) FR4 substrate where the shaped patterns is printed on one side and the layout of the filter on the other side. (b) Fabricated filter.

Fig. 11. Simulated scattering parameters of the proposed filter (dotted line) and the measurement results (solid line).

simpler and faster than the classical design where the ultimate dimensions can be achieve with few trials. It should be noted that one of the associated problems with classical design procedure is that for any value of dielectric constant of the substrate, new design graphs should be extracted [8]. But in the presented design procedure the value of does not matter. IV. FABRICATION AND MEASUREMENT Finally, the designed filter has been fabricated in two steps. First, as shown in Fig. 10(a), by means of a standard lithographing technique, the strips and the shaped patterns are etched on both sides of a FR4 substrate with mm. A similar substrate is used where one side is considered as a ground plane. Then the two layers are pressed to each other with several bolts. A photograph of the fabricated filter is presented in Fig. 10(b). Although this method is not a completely reliable fabrication technique, but due to the planar nature

HATEFI ARDAKANI et al.: PHASE VELOCITIES EQUALIZATION OF COUPLED MICROSTRIP LINES

of the proposed structure, the hot-pressing technique can be applied in realization of this structure. Furthermore, there is not any added fabrication complexity for the proposed structure compared to similar types. The vector network analyzer measured data and simulated results are compared in Fig. 11. A good agreement is observed. However, the level of suppression of the measured data is less than simulation results. This is because of the fabrication tolerances, which cause a minor difference between even/odd mode phase velocities.

V. CONCLUSION In this research, the possibility of equalization of the phase velocities in coupled microstrip lines using insertion of -shaped particles inside the substrate is presented. First, a field-theoretical analysis is performed to acquire an overview in finding the proper orientation of the particles as well as examining the pseudochirality effect. In the second step, the proposed coupled line is simulated using commercial HFSS software and the required dimensions of the particles for having equal phase velocities are calculated. On the other hand, the dispersion characteristics of the new substrate are found to be useful for design of parallel-coupled line filters. It is demonstrated that insertion of the particles inside the substrate only increases the bandwidth and leads to a little shift in the center frequency. Considering this fact, an efficient design procedure is proposed which is based on design of a conventional filter with a narrower bandwidth and simulation of proposed structure using the obtained dimensions. Since the presented method is based on conventional filter design, the desired bandwidth can be readily achievable. A third order Chebychev filter is designed and fabricated where the simulation and measured results show a good agreement. It should be mentioned that while decreasing the lengths of filters, the proposed structure has convenient fabrication, simple and fast design procedure and high level of parasitic harmonic suppression.

REFERENCES [1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [2] M. C. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [3] M. C. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with floating ground-plane conductor for spuriousband suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1823–1828, May 2005. [4] L. Zhu, H. Bu, and K. Wu, “Broadband and compact multi-pole microstrip bandpass filters using ground plane aperture technique,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 149, pp. 71–77, Feb. 2002. [5] D. Packiaraj, M. Ramesh, and A. T. Kalghatgi, “Design of periodically elevated groundplane SSS bandpass filter with suppressed second harmonic,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 153, no. 2, pp. 122–124, Apr. 2006.

469

[6] M. Kobayashi and R. Terakado, “Method for equalizing phase velocities of coupled microstrip lines by using anisotropic substrate,” IEEE Trans. Microw. Theory Tech., vol. 28, no. 7, pp. 719–722, Jul. 1980. [7] J. T. Kuo, M. Jiang, and H. J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [8] M. Moradian and M. Tayarani, “Spurious-response suppression in microstrip parallel-coupled bandpass filters by grooved substrates,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1707–1713, Jul. 2008. [9] A. Riddle, “High performance parallel coupled microstrip filters,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., May 1988, pp. 427–430. [10] J. Kuo, S. Chen, and M. Jiang, “Parallel coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [11] S. M. Wang, C. H. Chi, M. Y. Hsieh, and C. Y. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [12] C. K. Liao and C. Y. Chang, “Modified parallel-coupled filter with two independently controllable upper stopband transmission zeros,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 841–843, Dec. 2005. [13] I. J. Bahl, “Capacitively compensated high performance parallel coupled microstrip filters,” in Proc. IEEE MTT-S Int. Microw. Symp Dig., Jun. 1989, pp. 679–682. [14] T. Lopetegi, M. A. G. Laso, J. Hernandez, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [15] J. T. Kuo, W. H. Hsu, and W. T. Huang, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [16] B. S. Kim, J. W. Lee, and M. S. Song, “An implementation of harmonic suppression microstrip filters with periodic grooves,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 413–415, Sep. 2004. [17] I. K. Kim, N. Kingsley, M. Morton, R. Bairavasubramanian, J. Papapolymerou, M. M. Tentzeris, and J. G. Yook, “Fractal-shaped microstrip coupled-line bandpass filters for suppression of second harmonic,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2943–2948, Sep. 2005. [18] P. Vincent, J. Culver, and S. Eason, “Meandered line microstrip filter with suppression of harmonic passband response,” in Proc. IEEE MTT-S Int. Microw. Symp Dig., Jun. 2003, pp. 1905–1908. [19] H. H. Ardakani, J. Rashed-Mohassel, A. A. Jahromi, and M. K. Amirhosseini, “Propagation characteristics of pseudochiral microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3409–3416, Dec. 2010. [20] M. M. I. Saadoun and N. Engheta, “A reciprocal phase shifter using novel pseudochiral or omega medium,” Microw. Opt. Technol. Lett., vol. 5, pp. 184–188, Apr. 1992. [21] A. L. Topa, C. R. Paiva, and A. M. Barbosa, “Full-wave analysis of a nonradiative dielectric waveguide with a pseudochiral omega slab,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1263–1269, Sep. 1998. [22] C. R. Simovski, S. A. Tretyakov, and A. A. Sochavac, “Antenna model for conductive omega particles,” J. Electromagn. Waves Applic., vol. 11, pp. 1509–1530, 1997.

Hossein Hatefi Ardakani was born in Ardakan, Iran, on September 21, 1985. He received the B.S. degree from Isfahan University of Technology (IUT), Isfahan, Iran, in 2007 and M.S. degree from the University of Tehran, Tehran, Iran, in 2010, all in electrical engineering. During his M.S. degree thesis work, he focused on the analysis of planar transmission lines on bianisotropic substrates. He is currently working toward the Ph.D. degree in applied electromagnetics at the University of Michigan, Ann Arbor. His main research interests include electromagnetics theory, analytical/numerical methods in electromagnetics and metamaterials.

470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Saeed Fallahzadeh was born in Yazd, Iran, in 1985. He received the B.Sc. degree in electrical engineering from the Isfahan University of Technology, Isfahan, Iran, in 2007, and the M.Sc. degree in electrical engineering from the Iran University of Science and Technology, Tehran, Iran, in 2010, and is currently working toward the Ph.D. degree at the Iran University of Science and Technology. His research interests are in the area of RF/microwave passive structures and antennas, especially waveguide and microstrip filters.

Jalil Rashed-Mohassel (SM’07) received the MSc. in electronics engineering from University of Tehran, Tehran, Iran, in 1976 and the Ph.D. degree in electrical engineering in 1982 from University of Michigan, Ann Arbor. Currently, he is the Head of the School of Electrical and Computer Engineering, University of Tehran. Formerly he was with the University of Sistan and Baluchestan, Zahedan, Iran, where he held several academic and administrative positions. In 1994 he joined University of Tehran where he is doing teaching and research as a Professor in antennas, EM theory and applied mathematics. He served as the academic Vice-Dean College of Engineering, General DIrector of educational planning and the academic affairs, University of Tehran and is a principal member of Center of Excellence on Applied Electromagnetic Systems and the director of the microwave laboratory. He is a senior member of IEEE, distinguished professor of the 1st Education Festival (2008–2009), University of Tehran, and the IAEEE Brilliant National Researcher in the 5th “Founder’s of Science and Technology” Festival (2007).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

471

Design of a New Type Planar Balun by Using Trans-Directional Couplers Ching-Ian Shie, Jui-Ching Cheng, Member, IEEE, Sheng-Chun Chou, and Yi-Chyun Chiang, Member, IEEE Abstract—This paper presents a new type of planar balun implemented by trans-directional (TRD) couplers. The formulation of the proposed balun is derived and the characteristics of the balun are analyzed. This balun requires no via-hole connection to ground. Thus, the phase errors caused by the inductive effect of via-holes are reduced. The configuration of TRD couplers also helps to reduce the complexity of dc biasing of active circuits in the next stage. Two prototypes are designed and built to verify the design concept. The first one, operated from 1.94 to 2.69 GHz, is realized by 3-dB TRD couplers. The second prototype, which is operated from 376 to 458 MHz, is constructed by two 4.8-dB TRD couplers. The dimension of this prototype is only 16.8 mm 39.4 mm. This small area is achieved by meandering the coupled-line sections because its coupling effect is purely contributed by periodical shunt capacitors on the microstrip lines. Measured results are in good agreement with simulated results. Index Terms—Balun, signal flowchart, trans-directional (TRD) coupler.

W

I. INTRODUCTION

IRELESS AND mobile communication systems often require a balun, which transforms an unbalanced signal to a balanced one or vice versa for minimizing the commonmode noises. Current research interest in baluns is focused toward making it planar, compact, and easier to connect with mixers or push–pull power amplifiers [1]–[6]. Marchand baluns of the planar and nonplanar varieties are commonly found in the literature. However, two terminals of the couplers inside a Marchand balun need to be terminated to ground by via-holes to obtain the desired characteristics. Thus, these via-holes not only complicate the printed circuit board (PCB) fabrication process, but also induce an extra inductive effect, which increases phase and amplitude imbalances of the balanced output signals. Another type of balun, the three-line balun [7], [8], combines two identical coupled-line sections with different termination. It also requires a short termination at the input port [9]. Besides, the couplers used by these baluns all require a high coupling factor. To implement them, a very small gap between the coupled-line sections is needed or broadside coupling using metallization on both sides of the substrate must be employed [10]. In [11], a balun without ground connection is proposed. Unlike the Marchand balun, it can be directly connected to the input of the following stage and avoid the parasitic effects of via-holes when implemented by microstrip coupled lines. However, its bandwidth is quite narrow compared to Marchand baluns.

Manuscript received September 08, 2011; accepted October 27, 2011. Date of publication December 15, 2011; date of current version March 02, 2012. This work was supported by the National Science Council, Taiwan, under Contract NSC95-2221-E-182-065. The authors are with the Department of Electronic Engineering, Chang Gung University, Tao-Yuan, Taiwan 333 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2011.2176139

In this paper, we found that by simply substituting the contradirectional (CTD) couplers in this balun by trans-directional (TRD) couplers [12], the balun characteristics were retained while much wider bandwidth was achieved. Also, since the TRD couplers are implemented by parallel coupled-line sections with periodic shunt capacitors [13], the need of tight coupled-line sections are avoided, making it possible to be realized on a single PCB layer under fabrication constraints. Two prototypes are designed and fabricated on FR-4 substrates to verify the proposed balun. The first one is operated at 2.4 GHz 5 and realized by 3-dB TRD couplers. The measured 180 phase difference and 10-dB input return-loss bandwidth is from 1.94 to 2.69 GHz with an amplitude imbalance less than 0.76 dB. The second one, which is operated at a center frequency of 430 MHz, is designed with 4.8-dB TRD couplers. According to the design equations in [13], the TRD couplers are implemented by three-section parallel microstrip lines with shunt capacitors that are the only contributors to the coupling effect. Thus, no real coupling between the parallel microstrip lines exist, freeing up the gap spacing constraint of typical parallel coupled lines. This also makes it possible to meander the couplers to reduce the area of the balun without degrading its performance. The 39.4 mm. achieved size of the prototype is only 16.8 mm Since surface mount device (SMD) capacitors perform much better in lower frequency range, measured results are in very good agreement with simulated results. Using a stricter criteria 1 phase difference, the measured bandwidth is from of 180 376 to 458 MHz with amplitude imbalance and input return loss less than 0.18 and 10 dB, respectively. II. TOPOLOGY OF THE PROPOSED BALUN Fig. 1 shows the configuration of the proposed balun. It consists of two TRD couplers with the thru port of the first coupler connected with the coupled port of the second coupler. The two balanced output ports are the coupled port of the first coupler and the thru port of the second one, respectively. To analyze this balun, we first introduce the TRD coupler of which the schematic is depicted in Fig. 2. Note that the locations of its isolation and thru ports are the opposite of those of a parallel microstrip coupler. This gives the benefit of dc isolation between its input and output ports. According to the analysis of the TRD coupler in [13], the -parameters of the TRD coupler is

(1) where

represents the coupling factor of the coupled port.

0018-9480/$26.00 © 2011 IEEE

472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

, , and can be derived from Similarly, Fig. 4(b) and (c), which shows the signal flowcharts for input at port 2 and 3, respectively. Finally, the -parameters of the balun can be expressed in matrix form, as shown in (3) at the bottom of this page. Assume that the coupled-line sections are lossless, then no loss.

Fig. 1. Configuration of the proposed balun consisting of two TRD couplers.

(4)

Since the electrical length of the coupled-line section is equal to 90 , we have (5a) (5b) By substituting (4), (5a), and (5b) into (3), (3) can be simplified to

Fig. 2. Schematic of the TRD coupler.

(6) From (6), we can see that the balun does provide differential/balanced output at port 2 and 3 since the following relation holds: (7) Fig. 3. Schematic of the balun for signal flow analysis.

According to the balun configuration in Fig. 1, the balun can be analyzed with signal flowcharts to derive its -parameters [14]. For convenience, the nodes of the balun are labeled as shown in Fig. 3. The signal flowcharts for computing the -parameters of the balun are shown in Fig. 4, in which two nodes connected by dot lines are identical nodes forming a closed loop. Fig. 4(a) shows when a signal enters port 1, part of it propagates to node A with a voltage wave ratio , and part of it to port 2 with a voltage wave ratio . The signal then propagates sequentially from A to B and A and finally returns back to port 1. By applying the self-loop rule of signal flow-graph analysis, the two closed loops in Fig. 4(a), A–B–A–C–A and A–D–A–C–A, can be resolved. Thus, , , and can be derived as (2a) (2b) (2c)

If the coupling factors of the coupled lines in the balun are chosen to be 3 dB, we have , which implies perfect match at input port can be obtained, i.e., (8) and load impedance are not If the source impedance equal, according to the analysis in [11], to achieve perfect match at the input port, the coupling factor must satisfy (9) , we have For previous 3-dB case. III. ANALYSIS

. This is consistent with the

BALUN IMPLEMENTED TRD COUPLERS

OF THE

BY

In this section, two baluns using 3- and 4.8-dB three-cell TRD couplers, respectively, are designed and analyzed to study the effect of different coupling factors. By using an Agilent Advanced Design System 2008 circuit simulator

(3)

SHIE et al.: DESIGN OF NEW TYPE PLANAR BALUN BY USING TRD COUPLERS

Fig. 4. Signal flow analysis of the proposed balun at: (a) port 1, (b) port 2, and (c) port 3.

[15], the characteristics of the two proposed baluns are compared with those implemented by conventional CTD couplers [11], as shown in Fig. 5. The amplitude imbalance and phase difference are shown in Fig. 5(a) and (b). It can be seen that due to the use of TRD couplers, the proposed baluns have a slower changing region from 0.8 and 1.2 GHz than that realized by CTD couplers. Both the amplitude imbalance and phase difference of our proposed baluns have a smooth region around the center frequency and then a big jump at the edges of this smooth region, while the phase differences of CTD baluns approach inclined straight lines. This explains why even though baluns realized by CTD couplers have been proposed by [11], they have not been put to use due to their steep phase responses. Also, the phase difference of the 4.8-dB case has wider bandwidth than the 3-dB case, while the amplitude imbalance of the 3-dB case is better than the 4.8-dB case. Fig. 5(c) shows the

473

Fig. 5. Computed results of the baluns formed by 3- and 4.8-dB threestage TRD and conventional CTD couplers. (a) Amplitude imbalance. (b) Phase difference. (c) Input return loss.

comparison of the input return losses. The 4.8-dB cases do not achieved input match since (9) is not satisfied. Although the 3-dB TRD case has a narrower bandwidth than the 3-dB CTD case, it still has about 41% 10-dB bandwidth, wide enough for practical use. It is shown in [13] that the number of sections in a TRD coupler will affect the operation bandwidths of the coupler. Therefore, this effect is also studied and shown in Fig. 6(a) and (b) where is the number of sections. Similar to TRD couplers, the result also indicates that as increases, so does the bandwidth. However, it does not vary significantly when is greater than 3. From the design equations proposed in [13], one can find that

(10)

474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 7. (a) Dimension and (b) the photograph of the proposed 2.4-GHz balun

Fig. 6. Computed results of the baluns formed by the TRD couplers with different number of stages ( ). (a) Amplitude imbalance. (b) Phase difference.

i.e., when and , the even- and odd-mode impedances of the coupled line are equal. This implies that the coupling effect is only contributed by the periodic shunt capacitors. No coupling is needed between the two parallel transmission lines forming the coupled-line sections. Therefore, the two parallel lines can be meandered to reduce its size without significantly changing its characteristics. It is useful when operating at lower frequency band. However, (6) and (9) indicates that input match is only achieved when . Instead, if is chosen, the accomplished return loss at the input port is less than 15 dB, as shown in Fig. 5(c). IV. IMPLEMENTATION OF THE PROPOSED BALUN To verify the proposed balun, two prototypes were designed and build by conventional PCB technique. The first prototype was constructed by two 3-dB couplers fabricated on an FR4 substrate with a dielectric constant of 4.3, a loss tangent of 0.02, and a thickness of 1.52 mm. The even- and odd-mode impedances of the coupled-line sections are 120.72 and 77.29 , respectively. The capacitor is realized by a Murata 1.5-pF capacitor, which gives the closest capacitance value to the design value 1.486 pF computed by the equations in [13]. Fig. 7(a) and (b) shows the size and photograph of the prototype. The circuit size is about 37 mm 2.4 mm. The simulated and measured results of the -parameters, phase difference, and amplitude imbalance are shown in Fig. 8. The simulated results are generated by first simulating the circuits without the capacitors by Momentum [16] and then exporting the result to the ADS circuit simulator to incorporate the Murata capacitor model. Using

Fig. 8. Simulated and measured results of the proposed 2.4-GHz balun. (a) Amplitude imbalance and phase difference. (b) -parameters.

180 5 phase difference and 10-dB input return loss as the criteria, the measured bandwidth is from 1.94 to 2.69 GHz, which is corresponding to 32% fractional bandwidth. The amplitude imbalance and insertion loss are smaller than 0.76 and 5.6 dB in this frequency range, respectively. The insertion loss of both output ports are about 3.4 dB near the center frequency. Similar to the first prototype (except the coupling factor is 4.8 dB and the substrate thickness is 0.76 mm), the second prototype demonstrates the feasibility of reducing the balun size at

SHIE et al.: DESIGN OF NEW TYPE PLANAR BALUN BY USING TRD COUPLERS

475

Fig. 9. Photograph of the balun operated at 430 MHz.

lower frequency by meandering the coupled lines. The photograph of the prototype is shown in Fig. 9. The impedance and width of the microstrip lines are 96.59 and 0.37 mm, respectively. The required capacitors were realized by Murata 6.0-pF capacitors, which give the closest capacitance value to the design value of 6.636 pF. The simulated and measured -parameters, phase difference, and amplitude imbalance are shown in Fig. 10. Using a stricter than previous case criteria of 180 1 phase difference, the measured bandwidth is from 376 to 458 MHz, which is corresponding to 20% fractional bandwidth. The amplitude imbalance and insertion loss are less than 0.18 and 4.2 dB in this frequency range, respectively. The insertion loss of both output ports are about 3.8 dB near the center frequency. The size is only about 16.8 mm 40.5 mm. Without meandering, the length of the circuit would be 50 cm.

Fig. 10. Simulated and measurement results of the proposed 430-MHz balun. (a) Amplitude imbalance and phase difference. (b) -parameters. TABLE I COMPARISON OF THE BANDWIDTHS OF THE BALUNS USING 3-dB TRD COUPLERS

V. BANDWIDTH ANALYSIS In this section, the bandwidth of the baluns using 3-dB TRD couplers is simulated and compared. All data shown below is computed by the ADS circuit simulator with ideal models of parallel coupled lines and lumped elements. Once the coupling factor of a TRD coupler is decided, only the electrical length and the number of sections are still freely adjustable. In this paper, the electrical lengths of the TRD couplers are fixed at a quarter wavelength, therefore, only the relationship between the bandwidth and is given. Table I shows the computed bandwidth of the balun implemented by 3-dB TRD couplers. The bandwidth criteria adopted here are 10-dB input return loss, 1-dB output amplitude difference, and output 180 6 phase difference. To show a clear picture of the effect of each criterion on the bandwidth, the lower and upper bounds meeting each criterion are also shown. Notice that the 180 6 criterion is adopted for the phase difference criterion instead of the more common 180 5 one. It is chosen on purpose to meet the maximum ripple in the smooth phase difference region, as shown in Fig. 2(b). In Table I, the frequency unit is gigahertz and the fractional bandwidth is shown in the parentheses in the last column. It shows that as the number of sections increases, the bandwidth also increases, topping at 40% when . However, for larger than 3, the increase in bandwidth is limited, not justifying the cost of added more sections. It also shows that the primary factor effecting the band-

width under these criteria is the return loss. Overall, the bandwidth of the proposed balun is comparable to other published baluns [17], [18]. VI. CONCLUSION This paper has presented a new type of balun implementing by two TRD couplers. No via-holes are needed in the proposed topology, which simplifies the fabrication process and reduce phase and amplitude errors caused by via-holes. No via-hole to ground at the output line makes dc biasing easier for active circuits in the next stage. Two experimental prototypes were realized and the measured results show very good agreements with simulated results. The first one is a balun implemented by 3-dB couplers. The second prototype is a balun implemented by 4.8-dB TRD couplers of which the coupling effect is only contributed by periodic shunt capacitors. This makes it possible to meander the transmission lines to reduce the circuit size without significantly degrading its performance. The experimental results have proven the proposed baluns did show the advantage

476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

of easy fabrication with simply single-layer PCB technology in practical application. ACKNOWLEDGMENT The authors would like to thank H.-Y. Lin, Darfon Corporation, Taoyuan, Taiwan, and Y.-H. Pan, Gemtek Technology, Hsinchu, Taiwan, for their helpful contributions during the fabrication of this study. REFERENCES [1] K. Nishikawa, I. Toyoda, and T. Tokumitsu, “Compact and broadband three-dimensional MMIC balun,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 96–98, Jan. 1999. [2] W. M. Fathelbab and M. B. Steer, “New classes of miniaturized planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1211–1220, Apr. 2005. [3] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [4] J.-H. Cho, H.-Y. Hwang, and S.-W. Yun, “A design of wideband 3-dB coupler with -section microstrip tandem structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 113–115, Feb. 2005. [5] S.-C. Tseng, C. Meng, .-H. Chang, C.-K. Wu, and G.-W. Huang, “Monolithic broadband Gilbert micromixer with an integrated Marchand balun using standard silicon IC process,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4362–4371, Dec. 2006. [6] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 402–406, Feb. 2001. [7] C. Cho and K. C. Gupta, “A new design procedure for single-layer and two-layer three-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2514–2519, Dec. 1998. [8] C.-M. Tsai and K. C. Gupta, “A generalized model for coupled lines and its applications to two-layer planar circuits,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2190–2199, Dec. 1992. [9] B.-H. Lee, D.-S. Park, S.-S. Park, and M.-C. Park, “Design of new three-line balun and its implementation using multilayer configuration,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1405–1414, Apr. 2006. [10] J.-C. Chiu, C.-M. Lin, and Y.-H. Wang, “A 3-dB quadrature coupler suitable for PCB circuit design,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3521–3525, Sep. 2006. [11] Y. C. Leong, K. S. Ang, and C. H. Lee, “A derivation of a class of 3-port baluns from symmetrical 4-port networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 1165–1168. [12] R. W. Vogel, “Analysis and design of lumped- and lumped-distributed element directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [13] C.-I. Shie, J.-C. Cheng, S.-C. Chou, and Y.-C. Chiang, “Transdirectional coupled-line couplers implemented by periodical shunt capacitors,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 2981–2988, Dec. 2009. [14] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ: Wiley, 2005. [15] Advanced Design System (ADS). Agilent Technol., Palo Alto, CA, 2006. [16] Momentum. Agilent Technol., Palo Alto, CA, 2008.

[17] C.-H. Tseng and Y.-C. Hsiao, “A new broadband Marchand balun using slot-coupled microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 3, pp. 157–159, Mar. 2010. [18] T.-G. Ma, C.-C. Wang, and C.-H. Lai, “Miniaturized distributed Marchand balun using coupled synthesized CPWs,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 4, pp. 188–190, Apr. 2011. Ching-Ian Shie received the B.S. degree in mechanical engineering from National Cheng Kung University, Tainan City, Taiwan, in 1992, the Master degree in electronics from Chang Gung University, Tao-Yuan, Taiwan, in 2002, and is currently working toward the Ph.D. degree in RF circuits at Chang Gung University. From 2004 to 2006, he was with the Vanguard International Semiconductor Corporation, Hsinchu, Taiwan. His research activities involve the design of microwave circuits with CMOS technologies and the development of RF process development kits. Jui-Ching Cheng (M’11) was born in Taipei, Taiwan. He received the B.S. and M.S. degrees from National Taiwan University, Taipei, Taiwan, in 1987 and 1989, respectively, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1998, all in electrical engineering. From 1991 to 1992, he was a Research Assistant with the Institute of Information Science, Academia Sinica, Taipei, Taiwan. From 1993 to 1997, he was a Graduate Research Assistant with the Radiation Laboratory, The University of Michigan at Ann Arbor. From 1997 to 2001, he was a Senior Research Scientist with EMAG Technologies, Ann Arbor, MI. Since 2001, he has been a faculty member with the Department of Electronic Engineering, Chang Gung University, Tao-Yuan, Taiwan. His primary research interests include computational electromagnetics, antennas, and microwave circuits. Sheng-Chun Chou was born in Taipei, Taiwan. He is currently working toward the M.S. degree in electronics engineering at Chang Gung University, TaoYuan, Taiwan. His research interest is the design of microwave circuits with CMOS technologies.

Yi-Chyun Chiang (S’88–M’93) received the B.S. degree in marine technology and M.S. and Ph.D. degrees in electronic engineering from National Chiao-Tung University, Hsin-Chu, Taiwan, in 1982, 1987, and 1992, respectively. He is currently an Associate Professor with the Department of Electronic Engineering, Chang Gung University, Tao-Yuan, Taiwan. His research interests are the development of new methods to design high-performance microwave couplers and filters with compact sizes and the development of microwave integrated circuits constructed with submicrometer CMOS technologies.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

477

Novel Second-Order Dual-Mode Dual-Band Filters Using Capacitance Loaded Square Loop Resonator Sen Fu, Bian Wu, Member, IEEE, Jia Chen, Shou-jia Sun, and Chang-hong Liang, Senior Member, IEEE

Abstract—This paper presents a novel approach for designing dual-mode dual-band bandpass filters using capacitance loaded square loop resonators (CLSLR). The CLSLR features compactness and spurious response suppression because of the loaded capacitance. A dual-band response is obtained via an extremely large perturbation in a single resonator and second-order dual-mode dual-band filters are realized by a new cascading principle. Coupling coefficients between two resonators in both bands can be controlled independently and external quality factors are also controlled by a particularly-designed coplanar waveguide (CPW) feed line. Three types of filters are designed to validate the analysis. They are direct coupling dual-mode dual-band filter, source-load coupling dual-mode dual-band filter with a better band-to-band isolation and CPW-feed dual-mode dual-band filter with a wider bandwidth. Measured results show good agreement with the design specifications. Index Terms—Dual band, dual mode, microstrip filter, square loop.

I. INTRODUCTION

T

HERE is a growing need for dual-band operation for RF devices. Several dual-band BPFs that have features of compactness, good passband, and out-of-band performances have been developed via dual-mode resonators such as microstrip patches and square loops with perturbation [1]–[6]. However, most of the proposed dual-mode dual-band BPFs are realized by two nested dual-mode resonators with different sizes [1]–[3] or by multilayer structures [4]–[6]. Several dual-mode resonators have been proposed. In [7], a new capacitively stepped impedance resonator is proposed, and another capacitively loaded square loop resonator is proposed in [8]. Using this kind of design, spurious response suppression and size reduction is realized. In [9] and [10], a crossslot is added to increase the current transmission path, and the resonator is miniaturized. Conventional perturbation is used to stimulate the degenerate modes [1]–[6], [11], [12], but the two modes are within a single passband. In [4], [13], and [14], many ways for cascading two resonators are introduced and bandpass filters are proposed. However, the cascaded resonators are used to form only one passband. Conventional gap-coupled feeding

Manuscript received July 07, 2011; revised December 19, 2011; accepted December 20, 2011. Date of publication February 06, 2012; date of current version March 02, 2012. This work is supported by the National Natural Science Foundation of China (NSFC) under project 60901031 and the Fundamental Research Funds for the Central Universities 72005477. The authors are with the National Key Laboratory of Antennas and Microwave Technology, Xidian University, Xi’an, 710071, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2181859

structure for dual-mode resonators obtains a weak coupling or a high external quality factor within a limited range. Therefore, only narrowband filters can be designed. A novel coplanar waveguide (CPW) feeding structure is introduced in [1]. The feeding structure results in a wide range of external quality factors and increases the design freedom. First in this paper, a novel capacitance loaded square loop resonator (CLSLR) with spurious response suppression and size reduction is proposed. A large inner patch perturbation is designed to split the degenerate modes far away from each other so as to form two passbands. This conception is the first to be proposed. Two identical CLSLRs are cascaded by a method based on the principle of mirror which makes it possible to control the coupling strength between the two resonators in each passband independently. A CPW feed structure is explored to obtain a wider band filter. The external quality factors that are fit for both bands are realized via particularly-designed feed line. This paper is organized as follows. Section II characterizes the proposed novel CLSLR. The way that two resonators are cascaded is demonstrated in Section III. In Section IV, three types of dual-mode dual-band filters are designed. They are direct coupling Filter I, source-load coupling Filter II, and CPWfeed Filter III. Finally, a conclusion is drawn in Section V. II. CHARACTERISTICS OF CAPACITANCE LOADED SQUARE LOOP RESONATOR Fig. 1(a) depicts the proposed novel dual-mode resonator. Compared with conventional square loop dual-mode resonator, this CLSLR has four arrow-shaped patches that are attached to the outer corners of the square loop. They can act as four capacitors. Because of the loaded capacitance, the CLSLR has spurious response suppression [8]. In addition, the application of the arrow-shaped capacitance significantly increases the current transmission path at the outer corners of the loop resonator, which effectively reduces the resonance frequency and the size of the resonator. Besides, there is another important feature of the special designed patch that will be described in Section III. The composite transmission line model of the CLSLR is shown in Fig. 1(b). It is composed of two branches in parallel, the upper one and the lower one. The loaded capacitance , attached to each outer corner of the loop is equivalent to . The characand the perturbation patch is equivalent to teristic impedance of the transmission line in each side of , and its electronic length is . is the square loop is the input and output coupling capacitance. In order to mainis changed to tain the symmetry of the circuit, in the upper branch, and is in the lower branch. changed to

0018-9480/$31.00 © 2012 IEEE

478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 2. Simulated S-parameters of the proposed CLSLR. Out-of-band performance is plotted in inset from 1 to 5 GHz.

Fig. 1. (a) Configuration of the proposed capacitance loaded square loop resonator (CLSLR). (b) Composite transmission line model.

The circuit model in Fig. 1(b) can be analyzed using even–odd-mode analysis. The even-mode circuit is achieved by adding a magnetic wall along the symmetrical plane which can be seen as an open circuit. Since the equivalent circuit is in a shunt configuration, its input admittance from Port1 is derived as follows: (1a) where (1b) (1c) (1d) The odd-mode circuit is achieved by adding an electric wall along the symmetrical plane which can be seen as a short circuit. Its input admittance from Port1 is derived as (2a)–(2d): (2a) where (2b) (2c) (2d)

The square loop will resonate when its input admittance equals to zero, which is expressed as for even mode and for odd mode. It should be mentioned that (1a)–(1d) and (2a)–(2d) show that the perturbation affects the even-mode resonance frequency but has no relationship with the odd-mode one. Fig. 3(b) shows the resonance frequencies of the even mode and odd mode against perturbation size . The reason that the odd-mode resonance frequency has a minor change is that the length of the transmission line adjacent to the perturbation patch is disturbed when the perturbation size changes. Simulation of the CLSLR is done by the commercial simulator Zeland IE3D, and the result is presented in Fig. 2. It shows that the first and second spurious respond are suppressed under 20 dB. It is known that two degenerate modes with a 90 phase offset are stimulated when a perturbation is added in the symmetrical plane of a loop resonator. Conventionally, the perturbation size is relatively small so that the two modes couple with each other through the perturbation to form one passband. What if the size of the perturbation increases to an extremely large value? Fig. 3(a) shows simulated S21 with different perturbation size. Fig. 3(b) shows the resonance frequencies of even mode and odd mode against the perturbation size . The odd mode (hereinafter low mode) changes within a small range, but the even mode (hereinafter, high mode) changes rapidly. If the perturbation size is large enough to split the two modes far away from each other to form two passbands that we need, a second-order dual-mode dual-band bandpass filter will be achieved via cascading two resonators. This conception is rarely proposed before. III. COUPLING PROPERTIES OF DUAL-MODE DUAL-BAND FILTER The key point in designing dual-band filters is to meet coupling coefficients of both passbands, especially for dual-mode filters. A method for controlling coupling strength of the two pairs of modes completely and independently is proposed in this paper. Resonance frequencies of two degenerate modes in a resonator have a 90 phase offset, which is the same as their electronic fields or current distributions. Fig. 4 shows the average

FU et al.: NOVEL SECOND-ORDER DUAL-MODE DUAL-BAND FILTERS USING CAPACITANCE LOADED SQUARE LOOP RESONATOR

479

Fig. 5. Sketch map of the mirror principle. (Solid arrow shows the main electronic field of high mode. Dashed arrow shows the main electronic field of low mode.)

Fig. 3. (a) Simulated S-parameter with different perturbation size ( 2.5 7.5 mm, 10 mm, and 12.5 mm). (b) Resonance frequencies mm, of odd mode and even mode against perturbation size .

Fig. 4. Average current distribution of CLSLR with a large enough perturbation 12.5 mm. (a) Low-mode resonance frequency of 1.735 GHz. (b) Highsize mode resonance frequency of 2.28 GHz.

current distribution of CLSLR with an extremely large perturbation size. The average currents of the low mode and the high mode are distributed along the two vertical diagonals, respectively, especially at the corners. If two resonators are cascaded, the corners where the currents of the high modes/low modes are distributed should be close to each other. Dual-mode resonators are cascaded in many ways because of the various locations of perturbation and feed line. However, there is no effective way that can control the coupling strength of low modes and high modes between two resonators, respectively. The mirror principle will be used to cascade two CLSLRs in this paper for a dual-mode dual-band filter. A sketch map of the principle is shown in Fig. 5 to illustrate how the two resonators are cascaded. Main currents of high modes in the two resonators are distributed at corner and

corner , respectively, and the main currents of the low modes are distributed at corner and corner . Then, the two pairs of modes can couple through the gap between the two resonators, respectively. Because of the open space between corner and corner or corner and corner , the two pairs of modes are able to couple effectively and do not disturb each other. This is another important feature of the special designed patches that attached to the outer corners of the square loop. This principle will be validated by the model shown in Fig. 6(a). Fig. 6(b) shows the topology of the dual-mode dual-band filter. In order to decrease the effect of input–output on the coupling coefficients between the two resonators, a weak input–output feed is used in this filter. Because the distance between the two resonators will affects the high-mode and low-mode coupling coefficients at the same time, must be adjusted to a suitable distance and then remains unchanged for a fixed low-mode coupling coefficient. Then, a transmission line segment (hereinafter coupled line) is added along corner and corner . It is utilized to control high-mode coupling coefficient by adjusting . Fig. 7 shows the simulation result when 0.5 mm. Fig. 7(a) visually displays the changes of coupling strength when 0.5, 2.5, and 5 mm, while Fig. 7(b) depicts how the low-mode and high-mode coupling coefficients vary with the length of . The change of will not affect the low-mode coupling coefficient, but it can affect the high-mode coefficient. It proves that there is no interaction between the low-mode coupling and high-mode coupling if the two CLSLRs are cascaded in this way. Therefore, the coupling strength of the two pairs of modes can be controlled independently. Vice versa, adjust to a suitable distance and keep it unchanged for a fixed high modes coupling coefficient and add a coupled line along corner and corner to control low-mode coupling coefficient by adjusting . However, the difficulty is that the location of the coupled line has been taken by the external feed line, but it can be overcome by the CPW feed, which will be used in the next section. IV. DESIGN OF DUAL-MODE DUAL-BAND FILTERS This section will show three design examples of secondorder dual-mode dual-band filters based on the above method.

480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE I DESIGN SPECIFICATIONS OF FILTER I

Fig. 8. Configuration of the proposed direct coupling second-order dual-mode 15 mm, 10.3 mm, dual-band Filter I. Dimensions of Filter I are 2.5 mm, 12.5 mm, 1 mm, 0.9 mm, 2.7 mm, 0.4 mm, 0.1 mm, 8.8 mm, 3.4 mm ( mm).

Fig. 6. (a) Configuration of two cascaded CLLDRs. (b) Topology of the dualmode dual-band filter.

Fig. 9. (a) Photograph of the fabricated Filter I. (b) Simulated and measured results of Filter I. Fig. 7. (a) Simulated S21 parameter of cascaded CLSLRs with different ( 0.5, 1.5, and 5 mm). (b) Calculated coupling coefficients of high modes and low modes against .

coefficients and external using equations as (3b).

can be computed from matrix

A. Direct Coupling Dual-Mode Dual-Band Filter I Design specifications of the filter are shown in Table I. A lot of work has been done on filter synthesis in [15]. Coupling matrix is used in designing filters. The ideal coupling matrix of the first passband and second passband is derived as (3a). The actual coupling

(3a)

FU et al.: NOVEL SECOND-ORDER DUAL-MODE DUAL-BAND FILTERS USING CAPACITANCE LOADED SQUARE LOOP RESONATOR

Fig. 10. (a) Configuration of Filter II. The dimensions are

10.2 mm,

481

1.3 mm. Other dimensions are the same as Filter I. (b) Topology of Filter II.

TABLE II DESIGN SPECIFICATIONS OF FILTER III

that the design specification is well satisfied. The measured result of the fabricated filter has a good agreement with the simulation response. The measured band-to-band isolation is better than 25 dB at 2.1 GHz. B. Source-Load Coupling Dual-Mode Dual-Band Filter II

Fig. 11. (a) Photograph of the fabricated Filter II. (b) Simulated and measured results of Filter II.

(3b) Then, the coupling coefficients and of the two passbands are for passband one, and for passband two. The configuration and dimensions of the proposed secondorder dual-mode dual-band bandpass Filter I are shown in Fig. 8. The length of must first be confirmed for the coupling coefficient 0.0224 of the first band and then a coupled line must be added to adjust the coupling coefficient of the second band. Coincidentally, the coupling coefficient of the second passband fits well without the coupled line. Fig. 9(a) shows the photograph of the fabricated dual-mode dual-band filter, and the simulated and measured results are illustrated in Fig. 9(b), which shows

Direct coupling bandpass filters have a relatively poor out-ofband rejection without transmission zeros. A source-load coupling dual-mode dual-band filter is designed for a better out-ofband rejection and band-to-band isolation. Filter II has the same design specifications as Filter I, and its configuration and topology are shown in Fig. 10. Fig. 11(a) shows the photograph of the fabricated Filter II, and the simulated and measured results are illustrated in Fig. 11(b). Two transmission zeros are brought in between the first and second band at 1.98 and 2.15 GHz, and one is brought in at 1.7 GHz in the simulation. The measured transmission zeros are at 1.7, 2.05, and 2.15 GHz. The measured band-to-band isolation is better than 50 dB at 2.1 GHz. C. CPW-Feed Dual-Mode Dual-Band Filter III Filters that are fed by gap-coupling like Filter I and Filter II have a weak coupling strength or high external quality factor within a limited range usually no lower about 50 [1]. However, the CPW-feed can effectively decrease the value of and increase the design freedom. Design specifications of the CPW-feed filter are shown in Table II. The ideal coupling matrix of the first passband and second passband is derived as follows: (4)

The coupling coefficients and derived from (3b): band one, and two.

of the two passbands can be for passfor passband

482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 14. Configuration of the CPW-feed dual-mode dual-band Filter III. (a) mm, mm, Top view (b) Bottom view. The dimensions are mm, mm, mm, mm, mm, mm, mm. Other dimensions of CLSLR are the same as Filter I.

Fig. 12. Configuration of the CPW-feed structure. (a) Top view (b) Bottom 2.4 mm, 12.5 mm, 1.2 mm, 4.8 view. The dimensions are 3 mm, 0.5 mm, 1.5 mm. Other dimensions of CLSLR are mm, the same as Filter I.

Fig. 15. Photographs of the fabricated CPW-feed dual-mode dual-band Filter III. (a) Top view. (b) Bottom view.

Fig. 13.

factors of the two passbands against the length of

.

The external quality factors in this dual-band filter are 12 and 17. Since the gap-coupling feed cannot satisfy them, the CPWfeed structure will be used. It is important to control both the external quality factors of the two passbands. Filters in the form of nested resonators have been proposed before [2], [3], and both external quality factors can be controlled by CPW-feed. However, no solutions have been given in the case of a single resonator. A method is proposed in this paper to control both external quality factors in the case of a single resonator. The CPW-feed structure is shown in Fig. 12. There are two branches in the end of the feed line. It has been analyzed in Section III that the main currents of the high mode and low mode are distributed in different corners, so the area of the left branch and right branch has a different effect on the external quality factors of the two passbands. In order to show how the two branches affect the external quality factors, the area of the right branch is fixed, and the area of the left branch will be changed. To be simple, Fig. 13 just shows the external quality factors against the width of , while keeps constant and equals to 3.5 mm. It is obvious that the external quality factor of the second passband changes quickly with different , while the external quality factor of the first passband almost keeps unchanged. If and are adjusted simultaneously, a wider range of will be achieved. Therefore, if the area of the two branches is proper, the needed external quality factors of the two passbands will be obtained.

Fig. 16. Simulated and measured results of Filter III. TABLE III COMPARISON OF THE THREE FILTERS

The configuration of the CPW-feed dual-mode dual-band Filter III is shown in Fig. 14. The photographs of the fabricated filter are shown Fig. 15, and the simulated and measured results are illustrated in Fig. 16, which shows that the design specification is well satisfied. The measured result of the fabricated filter has a good agreement with the simulation response. The measured band-to-band isolation is better than 20 dB at 2.4 GHz. The comparison of the three filters is presented in Table III. V. CONCLUSION In this paper, a novel dual-mode resonator is proposed, and a new approach has been presented to design dual-mode dual-

FU et al.: NOVEL SECOND-ORDER DUAL-MODE DUAL-BAND FILTERS USING CAPACITANCE LOADED SQUARE LOOP RESONATOR

band filters via cascading two resonators. The coupling coefficients and external quality factors in both bands can be controlled independently. Three dual-mode dual-band filters are design for validating the analysis. The source-load coupling filter has a better out-of-band rejection and band-to-band isolation compared with the direct coupling filter. The CPW-feed filter has a wider band and a greater design freedom. Better performances may be realized by multi-order filters. The measured results agree well with the simulation. REFERENCES [1] X. Y. Zhang and Q. Xue, “Novel dual-mode dual-band filters using coplanar-waveguide-fed ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2183–2190, Oct. 2007. [2] J.-W. Baik, L. Zhu, and Y.-S. Kim, “Dual-mode dual-band bandpass filter using balun structure for single substrate configuration,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 11, pp. 613–615, Nov. 2010. [3] J.-W. Baik, S. Pyo, W.-S. Yoon, and Y.-S. Kim, “Dual-mode dual-band bandpass filter for single substrate configuration,” Electron. Lett., vol. 45, no. 19, Sept. 2009. [4] E. E. Djoumessi and K. Wu, “Multilayer dual-mode dual-bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 21–23, Jan. 2009. [5] J.-X. Chen, T. Y. Yum, J.-L. Li, and Q. Xue, “Dual-mode dual-band bandpass filter using stacked-loop structure,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 502–504, Sep. 2006. [6] H. M. Hizan, I. C. Hunter, and A. I. Abunjaileh, “Integrated dual-band radiating bandpass filter using dual-mode circular cavities,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 5, pp. 246–248, May 2011. [7] S.-W. Fok, P. Cheong, K.-W. Tam, and R. P. Martins, “A novel microstrip square-loop dual-mode bandpass filter with simultaneous size reduction and spurious response suppression,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2033–2041, May 2006. [8] P. Cheong, T.-S. Lv, W.-W. Choi, and K.-W. Tam, “A compact microstrip square-loop dual-mode balun-bandpass filter with simultaneous spurious response suppression and differential performance improvement,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 77–79, Feb. 2011. [9] L. Zhu, B. C. Tan, and S. J. Quek, “Miniaturized dual-mode bandpass filter using inductively loaded cross-slotted patch resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 22–24, Jan. 2005. [10] W.-H. Tu and K. Chang, “Miniaturized dual-mode bandpass filter with harmonic control,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 838–840, Dec. 2005. [11] A. Görür, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [12] B. T. Tan, J. J. Yu, S. T. Chew, M.-S. Leong, and B.-L. Ooi, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [13] J. A. Curtis and S. J. Fiedziuszko, “Miniature dual mode microstrip filters,” IEEE MTT-s Dig., pp. 443–446, 1991. [14] J. A. Curtis and S. J. Fiedziuszko, “Multi-layered planar filters based on aperture coupled, dual mode microstrip or stripline resonators,” IEEE MTT-s Dig., pp. 1203–1206, 1992. [15] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. Sen Fu was born in Shijiazhuang, Hebei Province, China, in 1986. He received the B.S. degree in electronic and information engineering from Xidian University, Xi’an, China, in 2009. He is currently working towards the M.S. degree in electromagnetic and microwave technology at Xidian University. His research interests are RF/microwave passive structures, include microwave filters, metamaterials, and millimeter-wave circuits and components.

483

Bian Wu (S’08–M’09) was born in Xianning city, Hubei Province, China, in 1981. He received the B.Eng. degree in electronic and information engineering and the Ph.D. degree in electromagnetic and microwave technology from Xidian University, Xi’an, China, in 2004 and 2008, respectively. Since 2008, he has been a Lecturer at Xidian University, and is currently an Associate Professor with the Science and Technology on Antenna and Microwave Laboratory at Xidian University. His research interests include microwave filters and multiplexers, planar miniaturized antennas, EBG, and left-handed materials and computational electromagnetic. Dr. Wu is a member of the IEEE Microwave Theory and Techniques (MTT) Society and a member of the Chinese Institute of Electronics.

Jia Chen was born in Henan, China. He received the B.S. and M.S. degrees from the School of Electronic Engineering from Xidian University, Xi’an, China, in 2007 and 2010, respectively. He is currently working towards the Ph.D. degree in electromagnetic fields and microwave technology. His research interests include the design of microwave filters and associated RF modules for Microwave and advanced microwave and millimeter-wave circuits and components.

Shou-jia Sun was born in Anhui Province, China. He received the B.S. degree from the School of Electronic Engineering from Xidian University, Xi’an, China, in 2009. He is currently working towards the Ph.D. degree in electromagnetic fields and microwave technology. His research interests include the design of microwave filters and planar antennas and EBG and multiplexers and computational electromagnetic.

Chang-hong Liang (M’80–SM’83) was born in Shanghai, China, on December 9, 1943. He graduated in 1965 from the former Xidian University, Xi’an, China, and continued his graduate studies until 1967. From 1980 to 1982, he was a visiting scholar at Syracuse University, Syracuse, NY. He has been a Professor and Ph.D. student advisor at Xidian University since 1986. He has wide research interests, which include computational microwave and computational electromagnetics, microwave network theory, microwave measurement method and data processing, lossy variational electromagnetics, electromagnetic inverse scattering, and electromagnetic compatibility. Prof. Liang was awarded a number of titles, including the National MiddleAged and Young Expert with Distinguished Contribution, the National Excellent Teacher, and One of the 100 National Prominent Professors. He is a Fellow of the CIE.

484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Synthesis Methodology Applied to a Tunable Patch Filter With Independent Frequency and Bandwidth Control Ariana Lacorte Caniato Serrano, Student Member, IEEE, Fatima Salete Correra, Tan-Phu Vuong, Senior Member, IEEE, and Philippe Ferrari, Senior Member, IEEE Abstract—A new methodology for the synthesis of tunable patch filters is presented. The methodology helps the designer to perform a theoretical analysis of the filter through a coupling matrix that includes the effect of the tuning elements used to tune the filter. This general methodology accounts for any tuning parameter desired and was applied to the design of a tunable dualmode patch filter with independent control of center frequency and bandwidth (BW). The bandpass filter uses a single triangular resonator with two etched slots that split the fundamental degenerate modes and form the filter passband. Varactor diodes assembled across the slots are used to vary the frequency of each degenerate fundamental mode independently, which is feasible due to the nature of the coupling scheme of the filter. The varactor diode model used in simulations, their assembling, the dc bias configuration, and measured results are presented. The theory results are compared to the simulations and to measurements showing a very good agreement and validating the proposed methodology. The fabricated filter presents an elliptic response with 20% of center frequency tuning range around 3.2 GHz and a fractional BW variation from 4% to 12% with low insertion loss and high power handling with a 1-dB compression point higher than 14.5 dB. Index Terms—Bandpass filters, dual-mode patch filter, microwave filters, tunable filters.

I. INTRODUCTION

E

MERGING wireless communications demand microwave systems with multiband and multifunctional capabilities to support and benefit from the various modern

Manuscript received June 14, 2011; revised December 13, 2011; accepted December 15, 2011. Date of publication January 23, 2012; date of current version March 02, 2012. A. L. C. Serrano is with the Laboratory of Microelectronics, Polytechnic School, University of São Paulo, CEP 05424-970 SP São Paulo, Brazil, and also with the Institute of Microelectronics, Electromagnetism and Photonics, Microwave Characterization (IMEP-LAHC), Grenoble Institute of Technology, 38016 Grenoble, France (e-mail: [email protected]; [email protected]. fr). F. S. Correra is with the Laboratory of Microelectronics, Polytechnic School, University of São Paulo, CEP 05424-970 SP São Paulo, Brazil (e-mail: [email protected]). T.-P. Vuong is with the Institute of Microelectronics, Electromagnetism and Photonics, Microwave Characterization (IMEP-LAHC), Grenoble Institute of Technology (INPG), 38016 Grenoble, France (e-mail: tan-phu.vuong@minatec. grenoble-inp.fr). P. Ferrari is with the Institute of Microelectronics, Electromagnetism and Photonics, Microwave Characterization (IMEP-LAHC), Grenoble Institute of Technology (INPG), 38016 Grenoble, France, the University Joseph Fourier, 38041 Grenoble, France, the University of Savoy, 73000 Chambéry, France, and also with the Scientific Center National Research (CNRS), France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2181533

services. Such systems require an increasing number of microwave circuits and components that can handle all different frequency bands and bandwidths (BWs). Hence, appropriate miniaturized and tunable components are needed to reduce the size, weight, and cost of these systems. In this context, in the past few years, several filters have been designed to be electronically tuned by different tuning elements, such as p-i-n diodes, varactor diodes, and RF microelectromechanical systems (MEMS) [1]–[6]. Among them, planar filters are of special interest for their easy integration with tuning elements and into microwave systems [2]–[6]. These tuning elements allow the variation of the center frequency of planar filters by changing the effective length of their resonators, the variation of the BW by changing the coupling between resonators, or even allow the variation of the selectivity of the filter. Despite several publications on the design of planar tunable filters, only a limited number resulted in tuning the center frequency and the BW independently [7]–[10], as reported in this paper. Only a few patch filters, known for having lower losses and higher power handling compared to microstrip transmission line filters, have been presented in the literature with reconfigurable design. The tuning mechanism of these filters was based on p-i-n diodes for a discrete reconfigurability [5], [11] and no theoretical analysis was provided. In [12], a tunable circular patch filter with continuous tuning was further analyzed, resulting in only one possible tuning configuration of changing the center frequency and the BW of the filter simultaneously. The passband of multimode filters is formed by specific resonant modes present together in the same resonator with higher order modes. The coupling between modes in planar technology can be achieved by adding perturbations to the resonator’s geometry, such as slots, cuts, or small patches that changes the electric field pattern of the modes. Due to the simultaneous presence of the modes in the same resonator, it is difficult to analyze all the couplings in a patch filter independently. Moreover, there is no efficient method to isolate the equivalent electrical circuit of each mode and to relate it to a specific part of the filter layout. Even harder is to perform a direct analysis of the effect of a tuning element on one or more modes of the patch resonator. The tuning elements affect the patch filter in different ways, depending on their location and on their bias scheme, and this should be carefully analyzed. In view of this, a methodology to analyze the effect of tuning elements on multimode patch filters and to verify the possible responses for the tunable filter could be very practical and helpful.

0018-9480/$31.00 © 2012 IEEE

SERRANO et al.: SYNTHESIS METHODOLOGY APPLIED TO TUNABLE PATCH FILTER

To solve the problems mentioned above, in this paper we propose a methodology for the synthesis and design of tunable patch filters. The methodology helps to model the couplings in a patch filter and to provide a theoretical analysis considering the effect of the tuning elements in the filter response. This allows the designer to expand the theoretical approach through the coupling matrix analysis considering the tuning element in a faster and simple way. The methodology is presented in Section II. In Section III, the methodology is applied to the design of a tunable dual-mode triangular patch filter with independent control of center frequency and BW. Generally, nontunable dual-mode bandpass filters are realized by inserting a perturbation into the resonator in order to excite and couple the two degenerate modes [4], [13]. Further, as recently presented [6], [11], it is possible to realize dual-mode filters with uncoupled degenerate modes. This leads to improved filter design flexibility because the center frequency and the BW of the filter can be adjusted independently. In tunable filters, the uncoupled modes can improve the filter reconfigurability if the tuning elements can be positioned in a way to affect each mode individually. As seen in [11], even with the uncoupled degenerate modes, the tuning element was positioned in a way to affect the two modes at the same time. The topology of the tunable patch filter presented in this paper is based on a triangular patch resonator with an inverted “T” shape slot. This topology was first presented in [14]. Some other designs of triangular patch filters with slots were also presented in the literature [11], [15], [16]. However, the use of the inverted T shape slot provides two transmission zeros (TZs) around the passband, improving the filter rejection, and allows a greater miniaturization compared to all previous works. Further, using the proposed methodology, an investigation of the effects of varactor diodes on the coupling behavior of the two degenerated modes was carried out, resulting in a precise control of the center frequency of the filter, its BW, and the location of the TZs in the filter’s response. Finally, the results of the tunable filter fabricated for a proof-of-concept are presented in Section IV, showing that the theoretical responses provide a good prediction of the filter behavior with a very good agreement among theoretical, simulated, and measured results.

II. METHODOLOGY The proposed methodology starts with the analysis of the nontunable patch filter. The traditional design through electromagnetic (EM) simulations consists of simulating the filter layout and changing its geometry in a way to obtain the desired couplings. The initial design will not be discussed here, as the focus is to analyze and explore the tuning behavior of the filter. For each type of tuning goal, there is a different design technique. The technique for designing tunable filters with variable center frequency involves the change of the electrical path of the mode current. The technique for tuning the BW of a filter is more complex because it involves controlling the couplings between the modes and the feed lines, which cannot always be done individually, affecting the overall response of the filter.

485

However, the theoretical analysis considering the tuning elements presented in this paper provides this information prior to the fabrication of the filter and avoids extensive simulations. The proposed methodology can be divided in three steps. Step 1) Define a coupling scheme and the corresponding coupling matrix for the filter. Step 2) Explore the possible responses for the tunable filter based on its coupling matrix. Step 3) Verify the effect of the tunable elements as predicted from the coupling matrix coefficients, assessing their effect on the filter characteristics. 3-D EM simulations are used to provide a full EM response of the filter structure, considering all the couplings involved in the layout. These simulations also allow the extraction of important parameters, such as the resonant frequency of each mode when coupled to other modes, the external quality factors evaluated at the individual resonant frequencies, the self-resonant frequency of each mode, etc., which will be used in this methodology. Thus, the EM simulations are of great importance because the parameters extracted from them are used to define a coupling scheme for the filter and to calculate the initial coupling matrix coefficients. A. Coupling Scheme and Coupling Matrix A coupling matrix is composed by elements that denote any coupling present in the structure: between any two modes that form the passband of the filter, between any mode and the source/load, as well as the direct coupling between the source and load. The coupling matrix analyzed in this methodology considers all source/load-multimode couplings. In an -order filter, the coupling matrix has rows and columns. The first row denotes the source and the last row ( ) denotes the load. Each row in between is related to each lossless resonant mode. In an analogous manner, the columns are related to each part of the filter. An example of a second-order filter coupling matrix is given by (1) as follows:

(1)

Some characteristics of the filter frequency response provide very important information to the analysis of the coupling matrix and vice versa. A symmetrical frequency response indicates the equality of the coupling between source (or load) and each resonant mode 1 to , i.e., and . A symmetrical layout is obtained when symmetrical couplings are assumed in the structure, i.e., the matrix shows antidiagonal symmetry magnitude, except for the values in the main diagonal. Finally, the coupling matrix presents reciprocity, thus, . The couplings in a filter can also be expressed in a diagram form, called a coupling scheme. The coupling scheme is a diagram where all the filter parts are represented as nodes and the coupling between them, as full or dotted lines symbolizing direct couplings or admittance inverters, respectively. Some

486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

known techniques and equations are summarized in this section, which help to define a coupling scheme for the filter and the corresponding coupling matrix coefficients through EM simulations. The coupling coefficient ( ) between any two modes and , considering the electrical ( ) and magnetic ( ) couplings, is calculated by (2) and related to by (3) [17] as follows:

and the excited mode. This coupling is called external quality factor . A well-known method for calculating the external quality factor between the port and the general mode from the curve of the filter consists in measuring the BW where the mode phase changes 90 with respect to the phase of the resonant frequency [18] (6) The corresponding coupling coefficient is calculated by (7)

(2) (3) is the 3-dB fractional BW of the filter, and where are the self-resonant frequency of modes and , and and are the resonant frequency of modes and when coupled to each other. These frequencies can be extracted from the EM simulations. When the filter is simulated with the feed lines weakly coupled to the resonator, its frequency response shows resonant peaks at to . On the other hand, the self-resonant frequencies to can be excited by using the method of placing a magnetic wall or an electric wall in the filter symmetry axis [17]. This will excite only the even modes or odd modes, respectively. By inspecting (2) and (3), if two modes are designed to have the same resonant frequency , (3) is reduced to . From the same equations, it can be seen that if and , then , thus the modes are uncoupled. Multimode patch filters are naturally asynchronous tuning networks because each mode resonates at a different frequency. This is expressed in the main diagonal, which elements are related to the offset of each resonant mode frequency from the filter center frequency, and are also called susceptances. Thus, the main diagonal elements of the coupling matrix have nonzero values, except for and , which have no meaning and are null terms. The susceptance of a general mode with self-resonance is calculated from (4) which can be modified to express

in terms of

as

The coupling matrix coefficients are frequency independent and the center frequency and BW of the filter are considered only when calculating the de-normalized theoretical frequency response of the filter using the following equations [19]: (8) where , is a matrix, in which only nonzero entries are and , both normalized to 1 (the reference is 50 ), is a identity matrix, with , is the lowpass prototype normalized angular frequency given by (9) where is the center angular frequency of the filter; is the BW of the filter, and is the coupling matrix. Finally, the scattering parameters curves are given by (10) (11) The coupling matrix models only the filter passband, the TZs and a narrow frequency range around them. The coupling matrix can model neither the filter response at very low frequencies, nor the filter spurious harmonics. With the equations given in this section, an initial coupling matrix can be built and its coefficients adjusted so that the frequency response given by (10) and (11) matches the desired specifications and guide the filter design with the 3-D EM simulator. B. Theoretical Analysis With Tuning Effects

(5) where is the center frequency of the filter, which can be extracted directly from the simulated transmission coefficient curve or calculated by . By inspecting (4), if the self-resonant frequency of a general mode “1” is higher than the filter center frequency , is negative and if it is lower, is positive. The farther from , the higher the magnitude of . When the structure is excited with only one of the feed lines, it is possible to determine which mode is excited by the connected port and to calculate the coupling between the excitation port

The theoretical analysis allows us to verify what kind of frequency responses the filter under analysis is able to produce. Through the coupling matrix, it is possible to generate all the possible filter responses with a combination of variable coefficients. These are ideal responses for the filter, which will be in practice limited by losses from the substrate and from the tuning element. The losses can be very well assessed by including all the loss sources, such as dielectric and conductor losses from the substrate and the tuning elements loss accounted for by its equivalent circuit model, in the EM simulations. Tuning elements can be varactor diodes, MEMS switches or capacitances, p-i-n diodes, or any type of commercial or custom device that changes the filter response as desired. If the tuning

SERRANO et al.: SYNTHESIS METHODOLOGY APPLIED TO TUNABLE PATCH FILTER

487

Fig. 2. Coupling scheme for the proposed triangular patch filter with a single (dual-mode) resonator.

Fig. 1. Electrical field pattern (left) and the corresponding current distribution (right) of the: (a) odd mode and (b) even mode.

element is not designed with the filter layout, an equivalent circuit model should be used to account for its effects on the filter’s response. At this point, the designer knows the tuning possibilities of the filter, which allows determining the bias configuration of the tuning elements for the desired filter response. The tunable patch filter design is ready to be fabricated. III. TUNABLE PATCH FILTER DESIGN The methodology proposed here was applied to the design of a tunable dual-mode triangular patch filter with independent control of the filter’s central frequency and BW. A. Extracting the Coupling Scheme and Matrix The degenerate fundamental modes in a triangular patch resonator without perturbations are presented in Fig. 1, clearly distinguishing the even and odd modes. The corresponding current distributions simulated with a weak coupling between the input/output and the resonator are also shown in this figure. In [14], horizontal and vertical slots were used inside of the triangular patch resonator in order to form the filter and to miniaturize it. A detailed analysis showed how each slot affects and splits the two degenerate fundamental modes. It is easy to verify from Fig. 1 that the proposed vertical slot perturbs mainly the odd mode, whereas the horizontal slot perturbs mainly the even mode. The techniques to extract the important parameters from the simulations described in Section II-A are used in the analysis of this topology. Since the filter is a symmetrical structure, it is possible to use a symmetry plane with perfect electric and perfect magnetic walls in the EM simulations to excite the odd or even modes, respectively, and find the self-frequencies of each mode, and . The simulations show that these frequencies

are equal to the frequencies of the split modes, which can be verified from (2) and (3) that , i.e., the modes are uncoupled. Further, by exciting the structure with only one port at a time, one can also see that both modes are coupled to the input port (source) and to the output port (load). Thus, this filter can be modeled by the coupling scheme shown in Fig. 2, where the source is represented by “ ,” the load by “ ,” the odd mode by “1,” and the even mode by “2.” The coupling between the source and the load is necessary to model two TZs for this second-order filter. Thus, the general matrix for this triangular filter is represented by (12) as follows:

(12)

B. Theoretical Analysis A filter with two TZs around the passband centered at is modeled by the coupling 3.7 GHz with 10% of matrix in (13) as follows:

(13) An inspection of the theoretical curves obtained by changing the coefficients of the coupling matrix (13) showed that this filter can provide several types of interesting responses. Specially, by changing only the two coefficients and , the following responses are possible. 1) Simultaneous Variation of and : The variation of , represented by in (14), provides responses where the center frequency and the BW of the filter increase at the same time, as presented in Fig. 3, as a result of (14) considering a finite

(14) On the other hand, the variation of , represented by in (15), provides responses where the center frequency increases while decreasing the BW of the filter, as presented in Fig. 4, as a

488

Fig. 3. Theoretical curves varying only . finite

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

from matrix (14) considering a

Fig. 5. Layout of the triangular patch filter without tuning elements (dimensions in millimeters).

amount (and vice versa). This variation can be modeled by the coupling coefficients shown in (17) as follows:

(17)

Fig. 4. Theoretical curves varying only . finite

from matrix (15) considering a

result of (15) considering a finite . This behavior and the others discussed in this section are presented below in Section IV

(15) 2) Variation of With Constant : The 3-dB fractional BW ( ) is a linear function of ( – ). This observation implies that when increasing and by the same amount , filters with the same and decreasing center frequencies can be designed (and vice versa). This variation can be modeled by the coupling coefficients shown in (16) as follows:

(16) 3) Variation of With Constant : The center frequency is a linear function of . This observation implies that filters with same center frequency and increasing BWs are achievable by decreasing and increasing by the same

4) Location of TZs: The filter exhibited two TZs at real frequencies located at each side of the passband. If the mode fre, or , quencies are changed in a manner that the TZs are moved to imaginary frequencies. When , or , there is a destructive superposition of both modes at the center frequency, leading to a significant rejection at the center frequency. Thus, the filter can also act as an ON/OFF switch. The theoretical analysis showed that the tuning of each mode independently is a very important behavior, which allows controlling the filter’s center frequency and BW independently. The filter was designed, simulated, and optimized considering the dielectric and conductor losses of the Rogers RO3210 substrate. Two capacitances were connected between the feed lines and the resonator, as shown in Fig. 5, to achieve the desired coupling, instead of placing the feed lines closer to the resonator, which would lead to unacceptable technological constraints. This eases the fabrication process without critical dimensions. was characterized and modeled as a series RLC circuit, where , nH, and pF. The theoretical frequency response of the filter calculated from the coupling matrix (13) is presented in Fig. 6 with the simulated result. The simulated curves are presented in dashed lines and the theoretical ideal curves obtained without considering any loss are presented in light full lines. It is possible to use the same coupling matrix and consider the losses of the filter by using the quality factor of the resonator [20]. The theoretical curves accounting for a resonator’s equal to 80 are also shown in Fig. 6 via dark full lines. The asymmetrical frequency response of the filter is verified in the coupling matrix by the values of . The elements and implies that the modes are split and , confirmed by the EM simulation results presented in Fig. 6.

SERRANO et al.: SYNTHESIS METHODOLOGY APPLIED TO TUNABLE PATCH FILTER

SIMULATED , AND

489

TABLE I CAPACITANCE RANGES FOR DIFFERENT POSITIONS OF THE ALONG THE SLOTS OF THE TRIANGULAR PATCH FILTER

AND

Fig. 6. Simulated curves (dashed lines) and theoretical curves from (2), con(dark sidering a resonator with infinite (light full lines) and finite full lines). Fig. 9. Back-to-back configuration of the varactor diodes to allow biasing and improve linearity.

Fig. 7. Equivalent circuit model of the tunable patch filter.

Fig. 8. Triangular patch filter with tuning capacitances at the: (a) edge, (b) middle, and (c) junction of the slots.

C. Tuning Analysis As explained in [14], since each slot affects only one mode of this dual-mode triangular patch filter, it is expected that a variable capacitance in each slot will change the resonant frequency of each mode, following the equivalent circuit model presented in Fig. 7. The capacitances were placed in a way to modify the electrical size of each slot and change the corresponding mode frequency. Their effect was assessed through EM simulations. When loading the patch with capacitances placed from the border of the resonator to ground, the center frequency of the filter was reduced, although both modes changed simultaneously. When loading the patch with tuning elements placed across the slots, as shown in Fig. 8, each mode could be changed individually, as expected. One capacitance was placed across the vertical slot to control mode 1. Two capacitances were placed across the horizontal slot to keep the filter symmetry in respect to the input and output, resulting in the control of mode 2. The change in modal frequencies is affected by the capacitances, as well as their position across the slots. In order to compare the influence of the capacitances in the center frequency response, the capacitances were assessed within the same tuning range from 3.81 to 3.66 GHz at the

three positions considered in Fig. 8. Table I shows that for the same range of center frequencies, a wider capacitance variation is needed when the capacitances are placed at the edge of the slots. In this case, they are short circuited by the end of the slots, and hence, their influence on the resonant modes is weak. Inversely, the maximum sensitivity is obtained for capacitances placed at the slots junction, where the current will be stronger. This observation also explains the effect of the series resistance when using a real model for the tuning element, e.g., a capacitor. The filter loss due to a capacitor’s series resistance is higher when the capacitor is moved toward the slots’ junction, where their influence is stronger. In practice, it could be interesting to use several capacitances for a coarse or fine tuning, although the loss would highly increase, as well as the complexity of the filter fabrication. As the wider range was achieved with the capacitances in the middle, this position was chosen for the tunable filter. 1) Varactor Diode Model: GaAs varactor diodes MA46H071 from M/A-COM were used to electronically change the value of and . A 0–20-V dc bias is required. A series model was used to simulate the varactor diode used as the tuning element in this filter, where , nH, and is the variable junction capacitance. A configuration with two series varactor diodes was used as illustrated in Fig. 9, halving the total capacitance. The varactor diodes were connected to ground by a 10-k resistor in order to provide the dc path while blocking the RF signal to ground. A back-to-back configuration was necessary as both sides of the slots are at the same potential, which would prevent biasing the diodes if directly placed across the slots. Furthermore, the back-to-back configuration has been proven to improve the linearity performance of the filter, increasing the power handling of the device and improving the 1-dB compression point and the third-order interception point ( ) [21]. The behavior of the simulated frequency responses when varying only with a constant is presented in Fig. 10. An analogous behavior is achieved when varying only , where only the frequency of the even mode changes, while the odd mode frequency remains the same, as shown in Fig. 11. One can see from the simulated results that the theoretical results provide a very good prediction for the filter response

490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 13. Fabricated tunable dual-mode triangular patch BPF. Fig. 10. (full line) and , keeping different values of

(dashed line). Simulated filter responses for pF.

Fig. 11. (full line) and , keeping different values of

(dashed line). Simulated filter responses for pF.

Fig. 14. (a) Measurements for different values of , keeping constant. (b) Measurements for different values of , keeping

constant.

Fig. 12. Tunable dual-mode filter using a single triangular patch resonator with varactors across the slots. In detail, the bias configuration of the varactors.

without using extensive time-consuming simulations or heavy computational resources. IV. TUNABLE FILTER FABRICATION AND MEASUREMENTS The tunable dual-mode triangular patch filter was implemented as shown in Fig. 12. Only two dc voltages are used to tune the filter, one to control the varactor diode and another to control both varactor diodes . The filter was fabricated using a standard photolithography process for microstrip filters on the RO3210 substrate with a thickness of 25 mil, , and . A photograph of the filter is presented in Fig. 13. A. Small-Signal Measurements Measurements of the filter were carried out with an Agilent 8510C vector network analyser at small-signal levels in which the varactors work as linear devices. The dc bias voltage applied

for the measurements of the filter frequency responses correspond to the measured curve of the varactor diode. The measured responses for all cases described from the theoretical analysis are in very good agreement with the simulated results and theoretical results, corroborating the methodology proposed in this paper. Fig. 14(a) shows the measured results of varying only , as in the case of changing only , in Fig. 3. Fig. 14(b) shows the measured results of varying only , as in the theoretical case of changing only , in Fig. 4. As indicated by the theoretical analysis, it is possible to change the center frequency and keeping the constant, as shown in the theoretical responses. The measurement results obtained by maintaining a constant ratio are shown in Fig. 15. The center frequency of the filter was tuned to 3.55 and 3.05 GHz, both with an absolute 3-dB BW of 318 MHz. They are in very good agreement with the theory. The insertion loss (IL) is higher for the filter with lower center frequency because of the higher capacitance; hence, a lower factor of the varactor diodes. The case of different BWs at a fixed center frequency was also possible by maintaining constant, corresponding

SERRANO et al.: SYNTHESIS METHODOLOGY APPLIED TO TUNABLE PATCH FILTER

491

OF THE

Fig. 15. Measurements of the filter tuned to different center frequencies 3.05 and 3.55 GHz with a constant absolute BW of 318 MHz.

Fig. 16. Measurements for different BWs with a constant center frequency of 3.36 GHz.

Fig. 17. Measured responses of the tunable triangular patch filter when and .

to a constant , as analyzed in theory. Measured results are shown in Fig. 16 for a fixed center frequency of 3.36 GHz. Here again, measurement results confirm the theory. Finally, the theory predicted that the TZs could be removed, and that the passband could be cancelled. Measurement results presented in Fig. 17 confirm the theoretical analysis. When becomes lower than , there are no TZs, and when , there is the destructive superposition of both modes. Considering all combinations of the two dc bias voltages, the filter presented a 20% of center frequency tuning range from 2.9 to 3.5 GHz, considering an IL lower than 3 dB and a return loss (RL) better than 10 dB. The could be varied from 4% to 12% within the same bounds for the losses. B. Nonlinear Measurements 1) 1-dB Compression Point ( ): The 1-dB compression point ( ) specified at the input of the filter was measured at

TABLE II TUNABLE PATCH FILTER FOR DIFFERENT BIASING CONDITIONS

TABLE III MEASURED IIP3 OF THE TUNABLE FILTER WITH VARACTOR DIODES IN BACK-TO-BACK CONFIGURATION

the filter’s center frequency for different low biasing conditions, presented in Table II. As expected, by increasing the bias voltage, increased. For dc bias voltages higher than 5 V, the maximum input power allowed by the setup ( 21.4 dBm) was reached, and the output had not yet been compressed, thus, for bias voltages higher than 5 V, the measurement could not be done. The important fact is that the filter is certainly capable of handling 14 dBm of power, even at low bias voltage, which is suitable to most communication systems. Moreover, if a limited, but still wide, tuning range of 10% between 3.2–3.5 GHz is considered, then the is always greater than 21 dBm. 2) IIP3: Signal distortion was investigated using the twotone method, using two synthesizers. The two tones were separated by MHz and positioned within the filter’s BW. The filter was tuned to the lowest center frequency achieved by the filter, configuring the worst case for the varactor diodes distortion. The varactor diode produces higher levels of distortion for higher values of capacitance junction or lower values of the applied reverse voltage. The two tones were delivered to the filter with power level varying from 20 to 2 dBm. The resulting level of input tones and the intermodulation components were measured at the filter output and were used to calculate the third-order intercept point (IIP3) of the filter referred to the input port. The minimum IIP3 was found to be equal to 29.9 dBm for the 200-MHz BW filter centered at 3 GHz, as shown in Table III. As expected, this value improves for higher bias voltages, and hence, higher working frequencies. It reaches the value of 39.9 dBm for the same BW and an operating frequency GHz. C. Comparison of Tunable Filters Presented in the Literature A comparison with other planar tunable filters with independent control of center frequency and BW presented in the literature is provided to show the improvements of this design. The

492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE IV COMPARISON OF PLANAR TUNABLE FILTERS WITH INDEPENDENT CONTROL OF CENTER FREQUENCY AND BW

filters are compared in terms of tuning range (frequency and BW), IL, unloaded , power handling and linearity, topology, substrate, and biasing. In [7], the miniaturized dual-mode bandpass tunable filter using slow-wave resonators operating at frequencies below 1 GHz presents a wide continuous tuning range of center frequency or BW, although the IL is higher than 3 dB. The varactors used on the design are controlled by three bias voltages. In [8], the switchable filter using parallel coupled delay lines presents high power handling capability and excellent linearity performance in expense of a limited control (four states) of the central frequency or BW, a very large circuit size, and IL higher than 3 dB. Wide tuning range and lower IL is obtained in [9], where suspended stripline ring resonators are used to enhance the factor of the filter, demanding a complex fabrication and packaging, and also enlarging the circuit size. It uses several RF MEMS to achieve such wide tuning range, increasing the number of biasing controls actuated by high dc voltage. The three-pole combline tunable filter in [10] presents a wide tuning range of center frequency or BW, and also a TZ tuning. Four bias voltages are used, the IL is higher than 3 dB and it has poor power handling capability. In this study, the dual-mode filter using a single patch resonator presents low IL, high power handling capability, moderate tuning range of center frequency, and wide tuning range of BW using only two bias voltages. A summary of the characteristics of the filters mentioned above are presented in Table IV. V. CONCLUSION A methodology for the theoretical analysis and the design of tunable patch filters was proposed and validated through the design of a tunable dual-mode triangular patch filter with independent control of center frequency and BW. The methodology considers the tuning elements in the coupling matrix of the filter, thus a theoretical analysis is effective to explore all the possible types of tuning responses for the filter. Although the methodology was developed to be used in tunable patch filters, for which couplings are not intuitive as in microstrip line filters, it can be applied to the design of any type of planar, cavity, coaxial, or lumped filters. The methodology can also be applied to higher order filters, with a single resonator or multiresonators as well, which only increases the size and the analysis of the coupling matrix. The tunable filter presented a good tuning range of center frequency and BW. The study of the couplings in the tunable dual-mode triangular patch filter was detailed and a very good

agreement was shown between theory, simulations, and measurements. It was demonstrated that the center frequency and the BW of the filter could be individually tuned. This high degree of freedom is possible since the fundamental modes are uncoupled and the tuning elements were positioned in such manner to control each mode independently. Further, the measurements with high power levels showed good linearity and high power handling. The filter was tuned by varactor diodes, although MEMS capacitances or other types of variable capacitances could be used to implement this control. Coupling capacitances connected between the feed lines and the resonator can also be replaced by varactors in order to achieve different tuning ranges and BWs without changing the filter IL. REFERENCES [1] W. D. Yan and R. R. Mansour, “Tunable dielectric resonator bandpass filter with embedded MEMS tuning elements,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 154–160, Jan. 2007. [2] J. Sigman, C. D. Nordquist, P. G. Clem, G. M. Kraus, and P. -band and -band tunable S. Finnegan, “Voltage-controlled combline filters using barium-strontium-titanate,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 593–595, Sep. 2008. [3] M. Koochakzadeh and A. Abbaspour, “Tunable filters with non-uniform microstrip coupled lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 5, pp. 314–316, May 2008. [4] M. R. Al Mutairi, A. F. Sheta, and M. A. AlKanhal, “A novel reconfigurable dual-mode microstrip meander loop filter,” in 2008 38th Eur. Microw. Conf., 2008, pp. 51–54. [5] C. Lugo and J. Papapolymerou, “Dual-mode reconfigurable filter with asymmetrical transmission zeros and center frequency control,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 499–501, Sep. 2006. [6] Y. Chun and J. Hong, “Electronically reconfigurable dual-mode microstrip open-loop resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 449–451, Jul. 2008. [7] E. Pistono et al., “Compact fixed and tune-all bandpass filters based on coupled slow-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2790–2799, Jun. 2006. [8] P. W. Wong and I. C. Hunter, “Electronically reconfigurable microwave bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3070–3079, Dec. 2009. [9] C.-C. Cheng and G. M. Rebeiz, “High- 4–6-GHz suspended stripline RF MEMS tunable filter with bandwidth control,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2469–2476, Oct. 2011. [10] Y.-C. Chiou and G. M. Rebeiz, “A tunable three-pole 1.5–2.2-GHz bandpass filter with bandwidth and transmission zero control,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2872–2878, Nov. 2011. [11] C. Lugo and J. Papapolymerou, “Single switch reconfigurable bandpass filter with variable bandwidth using a dual-mode triangular patch resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, no. C, pp. 779–782. [12] A. L. C. Serrano, F. S. Correra, T.-P. Vuong, and P. Ferrari, “Analysis of a reconfigurable bandpass circular patch filter,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3918–3924, Dec. 2010.

SERRANO et al.: SYNTHESIS METHODOLOGY APPLIED TO TUNABLE PATCH FILTER

[13] L. Zhu, S. Member, B. C. Tan, and S. J. Quek, “Miniaturized dual-mode bandpass filter using inductively loaded cross-slotted patch resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 22–24, Jan. 2005. [14] A. Serrano and F. Correra, “Dual-mode bandpass filter using triangular patch resonator with inverted T-shaped slot,” Microw. Opt. Technol. Lett., vol. 49, pp. 2897–2902, 2007. [15] J. S. Hong and S. Li, “Theory and experiment of dual-mode microstrip triangular patch resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1237–1243, Apr. 2004. [16] W. Hu, Z. Ma, and Y. Kobayashi, “Dual-mode bandpass filters using microstrip slotted equilateral triangular patch resonators,” IEICE Trans. Electron., vol. E89–C, pp. 503–508, 2006. [17] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [18] R. S. Kwok and J.-F. Liang, “Characterization of high- resonators for microwave-filter applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 111–114, Jan. 1999. [19] S. Amari, U. Rosenberg, S. Member, and J. Bornemann, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1978, Aug. 2002. [20] CoupleFil Software. InnoDev GmbH, Baden, Germany, 2011. [Online]. Available: http://www.couplefil.com/ [21] K. Buisman, L. de Vreede, L. Larson, M. Spirito, A. Akhnoukh, T. Scholtes, and L. Nanver, “Distortion-free varactor diode topologies for RF adaptivity,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 157–160. Ariana Lacorte Caniato Serrano (S’09) was born in São Paulo, Brazil, in 1976. She received the Electrical Engineering, M.Sc., and Ph.D. degrees in electrical engineering/microelectronics from the Polytechnic School, University of São Paulo, São Paulo, Brazil, in 1999, 2007, and 2011, respectively, and the Ph.D. degree in radio frequency and optoelectronics from the Institute of Microelectronics, Electromagnetism and Photonics, Microwave Characterization (IMEP-LAHC), Grenoble Institute of Technology, Grenoble, France, in 2011. She possesses professional experience with telecommunications systems (with Nortel Networks) and in the development of RF hardware, especially radars and satellites [with Thales/Omnisys (2003–2008)]. Her research interests include microwave planar filters and reconfigurable and tunable circuits. Her current research interests include millimeter-wave passive devices.

Fatima Salete Correra was born in São Paulo, Brazil. She received the Electrical Engineer, M.Sc., and Ph.D. degrees from the University of São Paulo, São Paulo, Brazil, in 1977, 1984, and 1991, respectively. In 1978, she joined the Laboratory of Microelectronics, Polytechnic School, University of São Paulo. Since 2008, she has been a Professor with the Polytechnic School, University of São Paulo. Her research interests include nonlinear analysis of microwave circuits, modeling of active devices, and design of passive circuits using EM simulation.

493

Tan-Phu Vuong (S’98–A’00–M’03–SM’07) was born in Saigon, Vietnam. He received the Ph.D. degree in microwaves from the Institut National Polytechnique (INP), Toulouse, France, in 1999, and the Habilitation à Dériger des Recherches (HDR) degree in microwave and electronic from the Grenoble Institut National Polytechnique (INP), Grenoble, France, in 2007. From 2001 to 2008, he was an Associate Professor of microwave and wireless systems with the ESISAR, Grenoble INP. Since 2008, he has been a Professor with Phelma, Grenoble INP. His research interests include modeling of passive microwave and millimeter-wave integrated circuits. He has authored or coauthored 16 scientific papers in international journals (MOTL, International Journal of RF and Microwave Computer-Aided Engineering, Proceedings of the IEE, Microwaves, Antennas and Propagation, Analog Integrated Circuits and Signal Processing, and Springer Link and over 85 scientific communications in international refereed conferences. He has managed ten research projects between companies and his laboratory. His current research interests include design of small antennas and printed antennas for mobile, RF identification (RFID), and design of passive and active millimeter-wave components. He has supervised 20 Ph.D. dissertations. He has authored or coauthored papers appearing in the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. Dr. Vuong is a member of several review committees and scientific societies.

Philippe Ferrari (SM’11) was born in Ugine, France, in 1966. He received the M. Sc. degree in electrical engineering and Ph.D. degree from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1989 and 1992, respectively. In 1992, he joined the Laboratory of Microwaves and Characterization, University of Savoy, Chambéry, France, as an Assistant Professor of electrical engineering, where he was involved in the development of RF characterization techniques. From 1998 to 2004, he was the Head of the laboratory project on nonlinear transmission lines and tunable devices. Since September 2004, he has been a Professor with the University Joseph Fourier, Grenoble, France, and continues his research with the Institute of Microelectronics Electromagnetism and Photonic (Institute of Microelectronics, Electromagnetism and Photonics (IMEP), nstitute of Microelectronics, Electromagnetism and Photonics, Microwave Characterization (IMEP-LAHC) since 2007). He has authored or coauthored 109 papers published in international journals or conferences. He is a member of the Editorial Board of the International Journal on RF and Microwave Computer-Aided Engineering and the International Journal of Microwave and Wireless Technologies. His main research interest concerns tunable and miniaturized devices such as filters, phase shifters, matching networks, and power dividers, and new circuits based on slow-wave transmission lines. Prof. Ferrari is a member of the Topical Program Committee of the European Microwave (EuMW) Conference.

494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Simulation and Experiment of a Compact Wideband 90 Differential Phase Shifter Michal Sorn, Rafal Lech, and Jerzy Mazur

Abstract—A compact wideband 90 differential phase shifter is developed by modifying ports termination in the Abbosh phase-shifter configuration. This novel phase-shifter arrangement consists of a 3-dB directional coupler with the coupled and transmission ports terminated with reactive loads. The proper reactance is found at the input of the coupled line section in which the remaining ports are open circuited. Both coupled sections utilize a multilayer broadside coupling microstrip–slot–microstrip tight coupler. A theoretical model is presented to explain the performance of the proposed phase shifter and design procedure. Further, the phase shifter was designed and manufactured. Results of calculation and measurement show that the developed circuit provides a 90 differential phase shift with deviation less than 4 across the 3–7-GHz frequency band. Index Terms—Bandpass filters, broadside coupling couplers, phase shifters, ultra-wideband (UWB) technology.

I. INTRODUCTION

D

IFFERENTIAL phase shifters are four-port passive microwave devices providing, in the specified bandwidth, a constant phase difference between the signals at their output ports. Ideally, such devices should produce proper phase shift values, exhibit relatively small attenuation, and operate effectively over a wide frequency band. They are used, for example, in wideband phased-array antennas and find application in various microwave equipments and measurement systems. In principle, broadband phase shifters mainly use coupled lines sections. Schiffman [1] was the first who applied such a section to design an octave 90 differential phase shifter. This configuration included a reference transmission line and the two edge coupled striplines that were connected together at one end. When this circuit is fabricated in microstrip technology [2], [3], its operation deteriorates. The operation bandwidth decreases and deviation of the phase-shift characteristic increases. These deteriorations are due to unequal phase velocities of the even Manuscript received October 12, 2011; accepted October 25, 2011. Date of publication November 30, 2011; date of current version March 02, 2012. This work was supported under the Polish Ministry of Science and Higher Education from Sources for Science 2010-2012. This work was supported in part under COST Action IC0803 (Decision 618/N-COST/09/2010/0) and under funding for Statutory Activities for the Faculty of Electronics, Telecommunication and Informatics, Gdansk University of Technology. M. Sorn was with the Faculty of Electronics, Telecommunications and Informatics (ETI), Gdansk University of Technology (GUT), 80-952 Gdansk, Poland. He is now with Intel Poland, 80-298 Gdansk, Poland (e-mail: [email protected]). R. Lech and J. Mazur are with the Faculty of Electronics, Telecommunications and Informatics (ETI), Gdansk University of Technology (GUT), 80-952 Gdansk, Poland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2175244

and odd modes in the coupled microstrips. In recent years, one can find papers describing the design of modified phase shifter circuits with broader bandwidth, acceptable insertion losses, and low phase-shift deviation. These requirements are met in the circuits of the phase shifters proposed in [1]–[11], which used different arrangements of the edge coupled microstrip sections. In [1]–[4], the main circuit is designed as a cascade of the coupled sections, while in [6]–[8], the series or mixed connections are applied. These configurations provide acceptable phase-shifter performance over a wide bandwidth greater than 100%. However, the insertion losses, as well as the size of their circuits, increase with an increasing number of the interconnected sections. The other arrangements proposed in [1], [9], and [10] used the different configurations of the reference circuits instead of the transmission lines. The reference circuit is synthesized within a given frequency band in the way to obtain the parallel of the phase characteristic of the main section. The phase shifter reported in [9] and [10] consists of both basic and reference circuits using edge-coupled lines sections of different electric lengths and the same coupling coefficients. Such arrangement provides narrowband performance, approximately 60% frequency band, as compared to the cascaded structures. The similar phase shifter structure previously was proposed in [1], where the reference edge coupled section was connected in series with the transmission line. The electric length of the main coupled section is three times longer than the coupled section applied in the reference circuit. The proper choice of the coupling coefficients of the main and reference coupled sections provides the acceptable phase-shifter parameters over a wide frequency band, which is equal to about 100%. A compact phase shifter proposed in [11] used the tapered coupled lines section to obtain a broader operating frequency band. The reported results indicated a bandwidth higher than 100%, which was better than those obtained for previously reported structures. However, the design of the phase shifters comprising edge coupled sections is limited by maximum coupling values of the edge coupled sections. The tight coupling requirements requires a very narrow slot between the coupled lines, which suggests difficulties in its fabrication in planar technology. To improve the performance of the previously designed planar phase shifter, the compensation technique was introduced in [12]. It involves attaching a number of integrated capacitors to the phase-shifter structure. This technique allows to improve only some properties of the circuit while others may deteriorate. The results indicate that the compensation improves the return-loss performance while it increases the insertion loss and has no effect on the bandwidth of the circuit. Recently,

0018-9480/$26.00 © 2011 IEEE

SORN et al.: SIMULATION AND EXPERIMENT OF COMPACT WIDEBAND 90 DIFFERENTIAL PHASE SHIFTER

Abbosh proposed a novel configuration of a phase shifter with broadband characteristics using a broadside microstrip-slot-microstrip coupler [13]. This type of coupler [14], [15] indicates good properties in the ultra-wideband (UWB) band. Moreover, the coupler is characterized by tight coupling, which does not cause difficulties in its fabrication in comparison to the edge coupled structure. In [13], an elliptical-shaped broadside coupled structure was used to design a bandpass filter, which has been applied as a main coupled section of the phase-shifter arrangement. The filter section is designed by open-circuited coupled and transmitted ports of the broadside coupler. This approach allowed to design compact UWB phase shifters with the phase difference no larger than 48 . Two simple planar structures of the differential phase shifters using basic high/low (H/L)-impedance transmission-line sections or coupled-line sections were presented and verified experimentally in [16]. The single H/L-impedance transmissionline section is useful to design 45 up to 90 differential phase shifters. A coupled-line section phase shifter can reach wide bandwidth for 90 –180 differential phase shift with coupling coefficient level of 5.5–9 dB. Loaded transmission lines are easily realized in microwave integrated circuit (MIC) and microwave monolithic integrated circuit (MMIC) technologies. In [17], a simple configuration of the transmission line loaded with an open circuit is proposed to design a 90 phase shifter. The open circuit is designed as a T-shaped open stub. A good performance was achieved with insertion losses smaller than 0.6 dB and phase deviation 6.4 over the frequency band of 2.3–5.5 GHz. A 45 broadband phase shifter employing a defect ground structure (DGS) under parallel stubs was proposed in [18]. In comparison to the phase shifter without DGS, the structure has wider bandwidth and smaller size. This configuration operates over a frequency range of 2.2–6.1 GHz with insertion loss and phase deviation within 2.2 dB and 3.3 , respectively. Another example of a loaded transmission line phase shifter was proposed in [19]. The configuration makes use of nonradiating longitudinal slots in the broad wall of a substrate integrated waveguide (SIW) and allows to design a 90 phase shifter over a broad bandwidth from 21 to 28 GHz. With the rapid growth of MIC technology, the digital switched phase shifters become more popular [20], [21]. The switched phase shifters, as a key component in microwave digitally controlled modules and phased-array antennas, have been largely investigated in [20]. This configuration consists of a transmission-line branch and a bandpass filter branch. With this topology a 4-bit phase shifter was constructed with the use of 22.5 , 45 , 90 , and 180 phase shifters. The measured performance showed the phase deviation less than 3.6 with the return loss larger than 15 dB from 1.06 to 1.95 GHz. In [21], a 45 dual-band phase shifter operating at 900 and 1800 MHz was presented. The measured phase shift was 46.1 2 from 841 to 930 MHz and 44.9 2 from 1743 to 1924 MHz. The measured return loss was larger than 14.5 and 15 dB and the amplitude imbalances were smaller than 0.33 and 0.4 dB within the respective bandwidths. This paper presents a new configuration of a broadside coupled 90 phase shifter consisting of two elliptical or rectangular-shaped microstrip patches that are coupled through a slot

495

in a common ground plane [14], [15]. The phase shifter is designed as a four-port coupler in which its two coupled and transmitted ports are terminated by reactive loads. The other two ports are the input and output ports. Note that the concept of this phase shifter is similar to that considered by Abbosh in [13], where these ports of the coupler were open circuited. However, this configuration provides small phase shifts, and therefore, only the 30 and 45 phase shifters were reported in [13]. The proposed modification of the Abbosh phase-shifter arrangement involving introduction of reactive loads allows to obtain larger phase-shift values. A design procedure is presented using a simple scattering matrix model to optimize the proposed configuration of the designed phase shifter. The phase-shifter frequency-dependent return and insertion losses, frequency characteristics, and frequency variation of the reactance load are calculated using this simple theoretical model. Finally, the proposed new design was simulated by the full-wave electromagnetic software ADS Momentum and validated by the measurement. The comparison between different types and configurations of the phase shifters is presented in Table I. The planar configurations are better suited for monolithic microwave integrated circuit (MMIC) technology while the configuration using broadside couplers are easily realized in multilayered printed circuit board (PCB) technology. II. FORMULATIONS The four-port backward directional coupler with two of the output ports terminated by lossless reactance loads defined by reflection coefficient is shown schematically in Fig. 1. Let us assume the coupler to be ideal [23] with coupling factor . At the central frequency, its electrical length is . For this coupler, the matching condition ( —port characteristic impedance, —impedance of even wave, —impedance of odd wave) and equalization of wave velocities is satisfied. As shown in Fig. 1, the coupled port (3) and transmitted port (4) of the coupler are loaded with and ports (1) and (2) are assumed to be the input and output ports, respectively. Applying these assumptions to the scattering matrix equations of the ideal coupler [14], the reflection and transmission coefficients of the two-port representing the phase-shifter basis section are as follows: (1)

(2) where is the electrical length of the coupled structure. For the considered phase shifter, the differential phase shift is found as a difference between the phase of the signals that are transmitted through a basis coupled section and reference line (3)

496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE I COMPARISON BETWEEN DIFFERENT TYPES AND CONFIGURATIONS OF THE PHASE SHIFTERS

Let us now introduce phase shift defined by (3) in the form of a sum of two partial differential phase shifts where

Fig. 1. Schematic representation of the proposed phase shifters.

In (3), the electrical length is determined as , where is the phase constant of the coupled structure and is its physical length. For investigated structure, we assume that the propagation coefficients of even ( ) and odd ( ) modes are similar in value, and therefore, it is possible to write that phase constant . The normalization coefficient , where is the phase constant and is the length of the reference line. When the coupler and reference line are designed on the same substrate and the phase constants , the coefficient and it is independent of frequency.

(4)

and . The phase shift has the same form as reported in [13] for the phase shifter where two ports of the coupler are open circuited. When these ports are terminated by the reactive load, the phase shift is determined by the phase of the reflection coefficient . Let us now consider the phase-shifter configuration in which the main and the reference circuits are chosen as identical coupled sections. Two selected ports of the first section are terminated with reflection coefficient , while in the second section with . From (2) and (3), the differential phase shift is then determined by the phase difference of the reflection coefficients (i.e., ). Using this method, it is possible to design a 180 phase shifter with a phase difference that is independent of frequency. It occurs when two ports in one

SORN et al.: SIMULATION AND EXPERIMENT OF COMPACT WIDEBAND 90 DIFFERENTIAL PHASE SHIFTER

section are short circuited , while in the second section they are open circuited . The form of (4) properly defines the phase shift of the phase shifter consisting of cascaded two coupled sections. According to(4), the phase shift of the cascade is given by the summation of partial phase shifts produced by each section of this arrangement. Among these configurations are constructions of a 90 phase shifters [4]. The disadvantage of the cascade phase-shifter configuration is its greater length than encountered in the other solutions. Therefore, the phase-shifter configuration [13] modified by the change of termination of the ports of coupled line section might be an alternative design of the 90 phase shifter. For this phase shifter, the variation of the phase shift can be considered using (4) in the following form:

497

When the reflection coefficient , we obtain from (7) the coupling factor . Considering transmission characteristics reported in [13], we note that the minimum value of insertion losses is obtained when the value of the coupling coefficient is chosen from the range (0.6–0.8). According to (1) and (7), such values of provide the magnitude of the return loss better than 12 dB over the bandwidth . To determine the differential phase shift , the comparison is made with a reference line, which phase is defined by scaling its length coefficient . From (3) and (6), it is formulated as

(8)

(5) for is nominal value of the phase shift, where while is a deviation function from its nominal values. The reduction of the deviation magnitude is possible when variations of both deviation functions are similar, but opposite in sign, so that . In order to satisfy this condition, several reactive loads providing proper characteristics of the phase shifter were considered. The best performance was obtained using the structure shown in Fig. 1. The reactance is calculated as an input impedance of a four-port section of coupled lines with three of its ports open circuited. The reflection coefficient at the input port of this coupled section with electrical length and coupling factor is found using (1) and (2) as

(6) Introducing (6) to (1) and (2), the scattering parameters of the main coupled section of the phase shifter are defined. From (6) results that affects only the phase of the load reflection coefficient, which has a magnitude of 1. The phase-shifter insertion loss and return loss characteristics, defined by absolute value of the scattering parameters and , are then independent of . In this case, the insertion- and return-loss characteristics are the same as given for the phase shifter presented in [13]. It is worth noticing that their phase characteristics are different. Now assume at the input of the phase shifter the tolerated value of the reflection coefficient and denote the electrical lengths , , and at the lower, upper, and center frequencies of the operation band. We then obtain from (1) the maximum return-loss bandwidth of the phase shifter as

(7)

The value of is estimated from (8) at the center frequency for coupling length as (9) As we can see from (9), for the 90 phase shifter, the value of is equal to 4. Using (8), the differential phase-shift characteristics are calculated for the phase shifter. There is an odd symmetry of the characteristics around the center length . The value of the coupling factor , for which the phase shift is constant around , is found from (8) by equating the first derivative of to 0 at (10) Assuming the value of the coupling factor of the main coupled section equal 0.74 and magnitude of , i.e., 20 dB , the maximum return-loss bandwidth calculated from (7) is equal to 79%. Variation of the phase shift with the electrical length is shown in Fig. 2 for different values of coupling factor taken from the range of . The characteristic calculated for shows the maximum flat and minimum magnitude of the phase deviation around the center length . Considering the whole range of , the smallest phase deviation is obtained for . In this case, we can see in Fig. 2 that the two deviation amplitudes and (depicted on characteristics) have the same values. Their values are different when . Referring to Fig. 2, it is possible to choose the value of that has an acceptable deviation over the phase-shift bandwidth formulated by upper and lower electrical lengths as . To illustrate this effect, we show in Fig. 3 the characteristics of and calculated versus coupling coefficient . We observe that for , the value of deviation vanishes while the value of deviation increases with decreasing . Note that the value of has a great influence on the phase bandwidth when . The situation is different for where we observe that . In this case, the phase bandwidth is limited by the value of , which increases when tends to 1. The rapid decrease of is observed in such a case. From the above considerations, it is seen that the wide band of the phase

498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 2. Calculated phase-shift characteristics of the phase shifter for different . values of the coupling coefficients . The coupling factor

Fig. 3. Numerically estimated phase-shift deviations depicted in Fig. 2 as and versus coupling coefficient . The coupling factor .

shifter with acceptable deviation is achieved when the values of are chosen from the range . Variation of the phase shift with for different values of coupling is shown in Fig. 4. Referring to Fig. 4, it is noted that the 90 phase shifter with 2 deviation can be found when the value of coupling and . The estimated magnitude of return loss using (1) is better than 20 dB. According to the results shown in Fig. 4, there is an direct relation between phase shift and while inverse dependence is observed between and . However, by choosing , the phase-shifter return loss decreases. Fig. 5 shows the phase bandwidth estimated for different values of the phase shift. It can be seen that the wide bandwidth of the phase is achieved above 130% and below 150% when the phase shift is changed from 60 to 80 . For the designed 90 phase shifter, the phase bandwidth is equal to 90%, but it is limited to the return-loss frequency band equal to 80%. Figs. 6 and 7 shows the dependence of the phase-shifter performance on the phase deviation.

Fig. 4. Variation of the phase-shift characteristics in dependence on coupling coefficient for different values of coupling factor.

Fig. 5. Numerically estimated phase-shift bands and return-loss bands versus the nominal phase shift for different values of coupling factor . The coupling factor .

It is seen from Fig. 6 that there is a maximum of the phase band, which grows with the increasing of the deviation and it moves toward larger values of the phase shift. As is apparent from Fig. 7, it is possible to design a 90 2 phase shifter for the value of coupling coefficient . According to the results shown in Fig. 6, the operation frequency band of the phase shifter is limited to 80% band by the return loss assumed here to be better than 20 dB. Note that for both coupling sections, the values of their coupled factors decrease at the upper and lower frequencies of the operation band. Referring to Figs. 2 and 3, this effect will increase the phase deviation at those frequencies. III. PHASE-SHIFTER DESIGN AND RESULT DISCUSSIONS To prove the validity of the proposed method, the phase shifter was designed using UWB integrated microstrip broadside coupled sections reported in [14] and [15]. The electrical

SORN et al.: SIMULATION AND EXPERIMENT OF COMPACT WIDEBAND 90 DIFFERENTIAL PHASE SHIFTER

499

Fig. 6. Numerically estimated phase-shift bands and return-loss band versus the phase shift for different values of the phase deviation.

Fig. 8. Configuration of the proposed 90 phase shifter. (a) Schematic representation. (b) Computed dimensions of the designed phase shifter circuit. (c) Photograph of the manufactured phase shifter.

Fig. 7. Variation of the phase-shift characteristics versus the coupling coefficient for different values of the phase deviation.

length of both main and load coupled sections is equal 90 at the center frequency of 5 GHz. Concerning the 90 2 phase shifter considered in Section II, the main and load coupling sections are designed to ensure coupling factors equal and defined at the center frequency. For these parameters, we can see from Figs. 5 and 6 that the return loss of the phase shifter can be better then 20 dB with bandwidth of 80%. For the chosen central frequency, the phase shifter should operate across the frequency band of 3–6 GHz. The configuration of the phase shifter mentioned above is schematically shown in Fig. 8 together with the photograph of the manufactured prototype. The coupling sections of the proposed phase shifter utilize the elliptical [14] and rectangular [4] microstrip patches etched at the top and bottom layer and coupled through a slot. The shape of the slot is the same as microstrip patch and it is cut in the ground plane located at

Fig. 9. Measured and simulated phase-shift characteristics for the manufactured phase shifter.

the middle of the structure. The configuration of the considered phase shifter were optimized and its characteristics were simulated using ADS Momentum. The designed phase shifter was manufactured using Taconic RF35 material with

500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

frequency in the 4.5–6.5-GHz band, and it is better than 3 obtained from simulation. Both simulated and measured phase deviation increase to 4 at the lower and upper frequencies of the operation band. Measured and simulated results of the insertion and return losses are presented in Fig. 10. Note that the simulated insertion losses are better than 0.5 dB across the 3–5.5-GHz band, but around 6 GHz their values increase up to 0.8 dB, as shown in Fig. 10(a). The measured insertion losses are greater than simulated values by approximately 0.5 dB. This additional loss can be caused by the influence of the connectors, which are neglected in simulations and also by imperfection in fabrication of the phase shifter. There is also the differences observed in Fig. 10(b) between the return-loss characteristics predicted by simulation and measured. The average measured return loss is 20 dB, and it is the same value as assumed for phase-shifter design. As seen from the figure, the return losses are better than 15 dB in the considered 3–6-GHz band. Summarizing, the obtained measured and simulated results well confirm the feasibility of the proposed wideband 90 phase shifter, which complement the family of the phase shifters reported in [13]. IV. CONCLUSION

Fig. 10. Measured and simulated characteristic of the insertion and return losses for the manufactured 90 phase shifter.

parameters: , , dielectric thickness of 0.505 mm, and metallization thickness of 0.017 mm. The layers of the structure were joined with the use of glue with permittivity similar to the permittivity of the dielectric. The measurements of the manufactured phase shifter were performed using vector network analyzer Wiltron 37269A. The simulated and measured results are presented in Figs. 9 and 10. Note that the theoretically predicted overall performance of the considered phase shifter are confirmed by the results of the measurements. However, we can notice a small discrepancy between the measured and simulated results. Both of them show the operation of the phase shifter across the 3–6-GHz band with the average differential phase shift equal to 90 . The effect of the nonconstant value of coupling factors on phase deviation is observed in Fig. 9. The measured and simulated phase-shift characteristics are similar, but the frequency shift of about 0.2 GHz between them is observed. It is seen that the measured phase deviation is maximum around the center

The compact 90 phase shifter with wideband operation have been presented. The proposed configuration uses broadside coupling between two microstrip patches through the slot located in the common ground plane. The phase-shifter properties are obtained by termination of two output ports of the coupled section with reactive load. The proper load, providing wideband operation of the phase shifter, was obtained as an impedance appearing at the input port of the directional broadside coupler where the other ports are open circuited. This configuration performance was analyzed using a simple scattering matrix model of both coupled sections. The simple formulas have been proposed and used to design a 90 phase shifter. The designed phase-shifter structure was optimized and simulated using ADS Momentum software. Despite the slight discrepancies between simulated and measured results, the obtained characteristics well confirm operation principles and design methodology of the proposed phase shifter. Moreover, this configuration extends the class of the phase shifters proposed in [13]. Their broadside coupled configuration is preferable for implementation in multilayer integrated circuits. REFERENCES [1] B. M. Schiffman, “A new class of broadband microwave 90-Degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [2] B. Schiek and J. Kohler, “A method for broadband matching of microstrip differential phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 666–671, Aug. 1977. [3] C. Free and C. Aitchson, “Improved analysis and design of coupled—Line phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2126–2131, Sep. 1995. [4] B. M. Schiffman, “Multisection microwave phase-shift network,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 4, pp. 209–209, Apr. 1966. [5] J. P. Shelton and J. A. Mosko, “Synthesis and design of wideband equal-ripple TEM directional coupler and fixed phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 10, pp. 462–473, Oct. 1966.

SORN et al.: SIMULATION AND EXPERIMENT OF COMPACT WIDEBAND 90 DIFFERENTIAL PHASE SHIFTER

[6] V. Meschanov, I. Metelnikova, V. Tupikin, and G. Chumaevskaya, “A new structure of microwave ultrawide-band differential phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 762–765, May 1994. [7] Q. J. Starski, “Synthesis of Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 11, pp. 1885–1889, Nov. 1991. [8] B. Schiek and J. Kohler, “A method for broadband matching of microstrip differential phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 666–671, Aug. 1977. [9] J. Quirarte and J. Starski, “Novel Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 9–14, Jan. 1993. [10] Y.-X. Guo, Z.-Y. Zhang, and L. C. Ong, “Improved wideband Schiffman phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1196–1200, Mar. 2006. [11] C. Tresselt, “Broadband tapered-line phase shift networks,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1935–1941, Sep. 2007. coupled [12] S. Y. Eom, “Broadband 180 bit phase shifter using a stubs,” IEEE Microw. Wireless Compon. Lett., line and parallel vol. 14, no. 5, pp. 228–230, May 2004. [13] A. M. Abbosh, “Ultra-wideband phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1935–1941, Sep. 2007. [14] A. M. Abbosh and M. Bialkowski, “Design of compact directional couplers for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2262–2269, Oct. 2007. [15] W. Marynowski, A. Kusiek, A. Walesieniuk, and J. Mazur, “Investigation of broadband multilayered coupled line coupler,” in 14th Microw. Tech. Conf., Apr. 2008, pp. 1–4. [16] P. Sobis, J. Stake, and A. Emrich, “High/low-impedance transmissionline and coupledline filter networks for differential phase shifters,” IET Microw., Antennas Propag., vol. 5, no. 4, pp. 386–392, Mar. 2011. [17] S. Y. Zheng, W. S. Chan, and K. F. Man, “Broadband phase shifter using loaded transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 9, pp. 498–500, Sep. 2010. [18] S. Y. Zheng, W. S. Chan, K. S. Tang, and K. F. Man, “Broadband parallel stubs phase shifter using defected ground structure,” in Asia–Pacific Microw. Conf., Dec. 16–20, 2008, pp. 1–4. [19] Z. Y. Zhang, K. Wu, and Y. R. Wei, “Development of broadband phase shifter using slotted substrate integrated waveguide structure,” in IEEE Int. Ultra-Wideband Conf., Sep. 20–23, 2010, vol. 1, pp. 1–4. [20] X. Tang and K. Mouthaan, “Phase-shifter design using phase-slope stubs,” IEEE Trans. Mialignment with grounded shunt crow. Theory Tech., vol. 58, no. 6, pp. 1573–1583, Jun. 2010. [21] X. Tang and K. Mouthaan, “Dual-band class III loaded-line phase shifters,” in Proc. Asia–Pacific Microw. Conf., Dec. 7–10, 2010, pp. 1731–1734. [22] G.-J. Sung, R. Kasim, J.-Y. Ryu, and B. Kim, “Broadband 180 bit -band phase shifter using parallel coupled lines,” in Eur. Microw. Conf., Oct. 2005, vol. 3, pp. 4–6.

501

[23] R. Mongia, I. J. Bahl, and P. Bhartia, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 1999.

Michal Sorn was born in Wejherowo, Poland, in 1986. He received the M.Sc.E.E. degree from the Gdansk University of Technology (GUT), Gdansk, Poland, in 2010. He is currently with Intel Poland, Gdansk, Poland. His main research interests are phase shifters, numerical methods, electromagnetic modeling and filter design.

Rafal Lech was born in Elblag, Poland, in 1977. He received the M.Sc.E.E. and Ph.D. (with honors) degrees from the Gdansk University of Technology (GUT), Gdansk, Poland in 2001 and 2007, respectively. His main research interests are electromagnetic-wave scattering, numerical methods, filter design, complex materials, metamaterial applications at microwave frequencies, electromagnetic analysis of periodic structures, and conformal antenna design.

Jerzy Mazur was born in Brno, Czech Republic, in 1946. He received the M.Sc.E.E., Ph.D., and D.Sc. degrees from the Gdansk University of Technology (GUT), Gdansk, Poland, in 1968, 1976, and 1983, respectively. He is currently a Full Professor with GUT. Since 1992, he has also been a consultant with the Telecommunication Research Institute, Warszawa, Poland. His research interests concern electromagnetic modeling of microwave and millimeter-wave integrated circuits, novel materials, and their applications. Prof. Mazur was bestowed the title of Professor from the President of Poland in 1993.

502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Modeling Inductive Behavior of MOSFET Scattering Parameter in the Breakdown Regime Chie-In Lee, Member, IEEE, Wei-Cheng Lin, Student Member, IEEE, and Yan-Tin Lin, Student Member, IEEE Abstract—A novel physical small-signal equivalent circuit for accurately modeling an unusual phenomenon of inductive in the breakdown regime of RF metal–oxide semiconductor field-effect transistors is presented for the first time. To remove the low-frequency dispersion of the drain-to-source resistance extracted by a conventional approach, a new extraction method of equivalent circuit element values with the introduction of an inductive network is demonstrated in this paper. Excellent agreement between simulated and experimental data is obtained up to 26.5 GHz in the breakdown region. Therefore, this proposed physical model based on the avalanche breakdown mechanism can accurately be used to predict the RF circuit performance when impact ionization occurs. Index Terms—Avalanche breakdown, impact ionization, metal–oxide semiconductor field-effect transistor (MOSFETs), RF, small-signal model.

I. INTRODUCTION

H

IGH-FREQUENCY modeling of RF metal–oxide semiconductor field-effect transistor (MOSFETs) plays an important role in circuit design so as to describe the RF performance accurately. When the CMOS technology is scaled down for higher integration and faster operation, the breakdown mechanism and substrate coupling effects are significant for accurate device characterization and required for the prediction of high-voltage circuits and electrostatic discharge (ESD) [1]–[3]. The shorter channel length enhances the high electric field effects, and thus results in breakdown easily. Although RF modeling and parameter extraction of MOSFETs have been widely used in the saturation region [4]–[9], the short-channel MOSFETs may operate at breakdown so that a conventional small-signal model cannot fit measured -parameters. In the breakdown region, our RF measurement results show that anomalous behavior of inductive is observed in the low-frequency range (0.4–5 GHz), as shown in Fig. 1. The significant resulting variation of output impedance phase leads to inaccuracy of the output impedance matching of RF integrated circuit (RFIC) design. In order to accurately characterize the RF performance of the silicon devices in the breakdown regime [10]–[12] for circuit design, it is necessary to improve a conventional small-signal MOSFET model. Manuscript received August 30, 2011; accepted December 01, 2011. Date of publication January 23, 2012; date of current version March 02, 2012. This work was supported in part by the National Science Council of Taiwan, under Grant NSC98-2221-E-110-032-MY2 and Grant NSC97-2221-E-110-036-MY3. Date of publication January 23, 2012; date of current version March 02, 2012. C.-I. Lee is with the Department of Electrical Engineering and the Institute of Communications Engineering, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan (e-mail: [email protected]). W.-C. Lin and Y.-T. Lin are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan. Digital Object Identifier 10.1109/TMTT.2011.2181188

Fig. 1. for MOSFET 1 over the 0.4–26.5-GHz range at V V. In the inset, the measured and calculated -parameters for and MOSFET 1 are shown. The solid lines correspond to the proposed model, dash lines to the conventional model, and squares to measurements.

To our knowledge, the impact ionization effects on -parameters have been investigated in the literature, but only for III–V HEMTs [13], [14]. The avalanche breakdown resulting in unusual RF output performance for MOSFETs has not been reported thus far. Our previous research was devoted to modeling the small-signal characteristics of the saturation regime for the MOSFET [9] and exploring inductance into the mixed breakdown mechanism for silicon p–n junction inductors [10]–[12]. In this paper, a novel physical equivalent circuit based on RF avalanche breakdown characteristics is utilized to extend the validity of the small-signal modeling to the breakdown region up to 26.5 GHz. By adopting the physical inductive network, the anomalous phenomenon is explained and the frequency dispersion of the output intrinsic elements extracted by a conventional method is removed. In addition, a modified extraction procedure is demonstrated to obtain the values of the equivalent circuit elements including the components of the inductive output network. II. NEW SMALL-SIGNAL MOSFET MODEL WITH INDUCTIVE OUTPUT NETWORK

AN

The RF MOSFETs were fabricated by the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan, to obtain the novel breakdown equivalent circuit model. Two different size MOSFETs were the width/length m for MOSFET 1 and m m m for MOSFET 2. The -parameters of the RF MOSFETs are measured from 400 MHz to 26.5 GHz in the breakdown regime.

0018-9480/$31.00 © 2012 IEEE

LEE et al.: MODELING INDUCTIVE BEHAVIOR OF MOSFET SCATTERING PARAMETER

Fig. 2. (a) Conventional small-signal model of MOSFET. (b) Modified smallsignal model of MOSFET with a physics-based inductive network.

The most commonly used short-open–load-thru (SOLT) calibration is performed [14] on the impedance standard substrate (ISS) to move the reference plane to the probe tip. To obtain the MOSFET -parameters by the on-wafer measurement, the two-step de-embedding procedure is performed to remove the parasitic pad and interconnect-line effects [9]. Therefore, both the shunt capacitive and series inductive parasitic effects are totally removed from the device-under-test (DUT). The conventional small-signal MOSFET model in Fig. 2(a) consists of extrinsic resistances with gate, drain, and source resistance , , and , substrate network consisting of , , and to describe the substrate coupling effect, gate-to-drain capacitance , gate-to-source capacitance , drain-to-source resistance , time constant , and trans-conductance . This model only can characterize the MOSFET performance in the saturation region. However, when the MOSFET is operated in the regime of breakdown, the lateral electric field near the drain region increases significantly and leads to impact ionization in this high field region [1], [15], [16]. The drain avalanche breakdown in the MOSFET can be mainly divided into two mechanisms. One is due to the enhanced channel current in the high electric field region [16]. The other is the parasitic NPN bipolar transistor due to the collector current resulted from the positive feedback of the substrate current [17]–[19]. The base–emitter junction of the parasitic bipolar transistor, namely, the bulk-source junction of the MOSFET, has to be turned on so that the collector current will be pronounced. The turn-on voltage of our base–emitter junctions is estimated to 0.68 V, which is close to the value reported in [17] and [18]. However, the voltage drop across the bulk has a value below 0.45 V calculated from our experiment results at all measured drain and gate biases, indicating this parasitic NPN bipolar transistor is not turned on. Therefore, the collector current of the parasitic transistor due to the positive

IN BREAKDOWN REGIME

503

feedback can be neglected and the drain avalanche breakdown in MOSFETs [16] can be physically explained by the avalanche mechanism as in the p–n junction [12], [20], [21]. The RF current flowing into the drain region lags the drain RF voltage when the avalanche breakdown generates due to the enhanced channel current so that the avalanche breakdown inductance and resistance are introduced at the drain terminal of the small-signal model, as shown in Fig. 2(b). The avalanche breakdown inductance and resistance are attributed to the transit-time delay of the p–n junction [20]. In this case, there is an additional network needed to describe this high field breakdown behavior. In this paper, the improved small-signal equivalent circuit of the MOSFETs with the inductive network connected at the drain terminal shown in Fig. 2(b) can be utilized to take into account the junction breakdown behavior at the drain end of the channel [10]–[12]. The inductive network composes of a series drain junction inductance and drain junction resistance in parallel with a drain junction capacitance, as shown in Fig. 2(b). The drain junction inductance caused by the mixed avalanche and interband tunneling is introduced to describe the RF characteristics in the drain region where breakdown takes place in MOSFETs and is expressed as [12], [20] (1) where represents the derivative of the effective ionization coefficient [16], is the derivative of the interband tunneling generation rate per unit volume [21], is the carrier transit time [20], [21], and represents the dc bias current of the channel. The drain junction resistance is similarly given by [12], [20] (2) where is the avalanche multiplication factor of the junction. In this breakdown region, the impact ionization effect is mainly due to the lateral electric field [22]. For MOSFETs 1 and 2 biased in the breakdown regime, the electric field has a calculated value from 0.20 to 0.29 and 0.29 to 0.40 MV/cm, respectively [22]. It is noted that the tunneling generation rate is neglected in this study because the avalanche mechanism dominates breakdown for the electric field lower than 0.5 MV/cm [21]. Therefore, in this mixed avalanche and interband tunneling regime, the breakdown behavior is mainly attributed to the avalanche effect. Impact ionization occurs in the drain region near the channel due to this high lateral electric field. Only the drain terminal shows the inductive breakdown phenomenon by our four-port measurement. This experimental result validates that the impact ionization occurs just near the drain junction region. This four-port experimental result for MOSFET avalanche breakdown mechanism is presented in Section III. III. PARAMETER EXTRACTION The modified small-signal parameter-extraction method is performed here to obtain the avalanche inductance and resistance in the inductive network. To obtain the intrinsic

504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 3. Measured data (symbol) and fitted curves (line) of the substrate network . for MOSFET 1 at

Fig. 4. Output equivalent circuit after removing extrinsic elements.

parameters of the MOSFETs, including the elements in the crucial inductive network, extrinsic parameters must be obtained first. A normal bias condition is used to extract the extrinsic resistances in the high-frequency range by fitting the measured -parameters [7], instead of using the conventional cold field-effect transistor (FET) method to consider the influence of the gate bias-dependent resistances [4]. After eliminating and from the de-embedded measurement data, the values of the substrate network admittance in Fig. 2(b) are extracted by curve fitting at zero gate bias [8]. Good correspondence shown in Fig. 3 is achieved between fitted and measured admittance of the substrate network The extracted substrate network and are then subtracted to determine the inductive output network and intrinsic parameters. To obtain the components of the inductive output network consisting of , , and , we utilize the curve fitting method. Considering the improved small-signal MOSFET model shown in Fig. 2(b), the intrinsic -parameters of the MOFFET are given by (3) (4) (5) (6) where (7) as the admittance of the equivaNow we define lent output network including the inductive network shown in Fig. 4 as follows:

Fig. 5. Measured data (symbols) and fitted curves (lines) of the output equivV at (squares), alent network for MOSFET 1 for (circles), and (triangles). (a) Real part of . (b) Imaginary . part of

(8)

(10)

, , and are obtained by the curve fitting Parameters of the real and imaginary parts of given as

The channel length modulation modeled by and the avalanche mechanism represented by the inductive network, which both influence the output performance of MOSFETs significantly, can be characterized separately in this study for the first time. In Fig. 5(a), as the drain bias increases, the real

(9)

LEE et al.: MODELING INDUCTIVE BEHAVIOR OF MOSFET SCATTERING PARAMETER

IN BREAKDOWN REGIME

505

TABLE II EXTRACTED INTRINSIC SMALL-SIGNAL PARAMETERS FOR MOSFET 1

Fig. 6. Frequency dependence of extracted intrinsic (squares), (cir(triangles), (diamonds) for MOSFET 1 in the breakdown regime cles), V, V). ( TABLE I EXTRACTED EXTRINSIC SMALL-SIGNAL PARAMETERS FOR MOSFET 1

part of the output admittance increases due to more electron–hole pairs generated by impact ionization. In Fig. 5(b), the bell-shaped dominated by the drain junction inductance decreases with the increase in drain bias. After approximately 2 GHz, the effect becomes significant so that the susceptance increases. Note that the inductive parasitic effects due to interconnect lines and pads are totally removed using the two-step de-embedding technique. Therefore, the inductance extracted from (8) should be the intrinsic component causing the inductive behavior, as shown in Figs. 1 and 5. Fig. 5(a) and (b) illustrates good agreement between measured and fitted admittance at different drain voltages of MOSFET 1 only for clarity. Similar results for MOSFET 2 were obtained. These clearly demonstrate the accuracy of the inductive network extracted by employing the proposed method. After the inductive output network is determined and then removed, the remaining bias-dependent intrinsic elements of the MOSFET are extracted under the same breakdown bias conditions [8]. Fig. 6 shows the extracted intrinsic values are frequency independent up to 26.5 GHz. More importantly, this frequency-independent property of the intrinsic elements verifies that the extrinsic components and inductive network parameters are accurately extracted and totally removed to obtain the exact intrinsic parameters. Both the extracted extrinsic and intrinsic parameters for MOSFET 1, including the inductive network at different drain bias voltages, are summarized in Tables I and II. The extraction procedure with our proposed equivalent circuit is still valid even when the MOSFET is operated in the saturation region, and we will validate this in Section IV.

Fig. 7. -parameters for MOSFET 1 over the 0.4–26.5-GHz range at V and V in the saturation region. The solid lines correspond to the proposed model and squares to measurements.

IV. MODEL VERIFICATION AND DISCUSSION Our improved small-signal MOSFET model shown in Fig. 2(b) can take into account the RF behavior of the avalanche breakdown mechanism. Fig. 1 shows good agreement between measured and calculated -parameters for MOSFET 1 biased in the breakdown region ( V and V) and confirms the validity of the accurate extraction method and the proposed model of the MOSFET. In addition, our proposed MOSFET model is also valid in the saturation regime ( V and V) showing the good corresponding result in Fig. 7. The drain-to-source resistance is the most sensitive intrinsic parameter to the inductive network in the low-frequency range when the MOSFET is biased in the regime of breakdown. To further confirm the accuracy of the extraction method and the validity of the novel MOSFET small-signal equivalent circuit model, the drain-to-source resistance extracted by the conventional method is compared with ours. In Fig. 8, the obvious low-frequency dispersion of is observed using the conventional model. In contrast, extracted by our proposed equivalent circuit is frequency independent. Our novel RF breakdown MOSFET model without any parameters extracted from the dc measurement can actually describe the high-frequency behavior. Besides a drastic increase

506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 8. Comparison of extracted for MOSFET 1 versus frequency by adopting conventional (open symbols) and proposed small-signal (solid symbols) models. The curves marked by squares, circles, and triangles deV and pict the measured admittance of the MOSFET biased at and V, respectively.

Fig. 10. Calculated (lines) and extracted (symbols) and at different and for MOSFET 2 at breakdown. In the insets, the calculated and and in the saturation and breakdown regimes are shown. extracted . (b) . (a)

Fig. 9. Calculated (lines) and extracted (symbols) and at different and for MOSFET 1 at breakdown. In the insets, the calculated and and in the saturation and breakdown regimes are shown. extracted . (b) . (a)

in the drain current observed in the dc output characteristics, this RF inductive phenomenon at the output port can provide additional evidence to identify the avalanche breakdown. Once the MOSFET operates in the breakdown regime, the effective output resistance decreases with increasing . Table II shows due to impact ionization decreases more rapidly than

due to channel length modulation as the drain bias increases so that dominates the behavior of the output resistance at breakdown. Avalanche breakdown is found to alter the output admittance in the low-frequency range critically, resulting in frequency dispersion of drain-to-source resistance extracted by the conventional model. As the drain bias increases, the introduction of the decreasing based on the transit time theory [10]–[12] allows an accurate prediction of the increasing output conductance and eliminates the modeling inaccuracy of the intrinsic parameters. Table II and Figs. 9 and 10 show the decreasing and with increasing is due to increasing impact ionization for MOSFET 1 and MOSFET 2. As the channel length increases, higher bias voltages needed to generate impact ionization indicated by the presence of the drain junction inductance is shown in Figs. 9 and 10. The calculated and using (1) and (2) agree with the extracted ones, as shown in Figs. 9 and 10, showing the validity of the proposed equivalent circuit physically based on the transit time theory [20]. In our calculation procedure, in (1) and (2) is expressed as [16]

(11)

LEE et al.: MODELING INDUCTIVE BEHAVIOR OF MOSFET SCATTERING PARAMETER

Fig. 11. Comparison of measured and calculated -parameters for MOSFET 1 (solid lines correspond to the proposed model and squares to measurements) V for three depicted values of . over the 0.4–26.5-GHz range at

IN BREAKDOWN REGIME

507

Fig. 12. Measured imaginary part of the input impedance from four-port V and V MOSFET 1 over the 0.4–2-GHz range at at the drain and source terminals. In the inset, the frequency range from 0.4 to 10 GHz is shown. The curves marked by circles, squares, triangles, and diamonds represent the measured imaginary part of the input impedance of drain, source, substrate, and gate terminals, respectively.

where the ionization constants and are 2.45 10 cm and 1.92 10 V cm, respectively [15], [16]. In (11), depends mainly on the electric field , which is given by [22]

(12) and are the drain-to-source voltage and the satwhere uration drain voltage, respectively. The saturated electric field is equal to 4 10 V cm for silicon [20]. The length of the impact ionization region is calculated as 9.5 10 cm and 9.8 10 cm for MOSFET 1 and MOSFET 2, respectively [16]. From (1) and (2), can be determined when and are obtained since can be determined from the drain junction depletion width [10]–[12]. In this breakdown and saturation regime, the calculated and agree well with the extracted values, as shown in Figs. 9 and 10, which validates that this novel model can be utilized not only in the breakdown region, but also in the saturation region. This breakdown model can also be utilized in the saturation region due to dominating the output conductance when extremely high is regarded as an open circuit. Once the effective output resistance reduces due to the breakdown mechanism, the output reflection coefficient moves to the low-impedance region gradually with the increase in the drain voltages at low frequencies in the Smith chart, as observed in Fig. 11. In the four-port -parameters, the inductive behavior only occurs at the drain terminal in the low-frequency range, as shown in Fig. 12, after de-embedding the parasitics by the two-step method, demonstrating that the lateral electric field [22] near the drain region induces the impact ionization. The low-frequency becomes considerably inductive due to the avalanche inductor. The proposed physical model can be used to fit -parameters in the breakdown region, as shown in Fig. 1. Notice that excellent agreement between measured and fitted data for is obtained by our proposed breakdown model

Fig. 13. Comparison of measured and calculated for MOSFET 1 (solid lines correspond to the proposed model and symbols to measurements) over the V for four depicted values of . 0.4–26.5-GHz range at

rather than the conventional model whose fitted curve significantly deviates from measured data at low frequencies. This inductive can be treated as the signature of the occurrence of impact ionization. With the increasing drain bias, much more pronounced impact ionization results in lower and higher output conductance so that the decrease in the magnitude of in Fig. 13. V. CONCLUSION The new small-signal model with the physics-based inductive network, incorporating the RF behavior of the avalanche breakdown, has been developed to explain the inductive and to remove the low-frequency dispersion of the output drain-to-source resistance extracted using the conventional small-signal MOSFET model. In this proposed model, the channel length modulation modeled by and avalanche effect represented by , , and have been separated successfully for the first time. In addition, this model can also accurately be exploited in the saturation region. The curve-fitting extraction method is employed to obtain the

508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

inductive network parameters accurately. The validity of the proposed small-signal model is proven in this paper from the frequency-independent drain-to-source resistance. The calculated -parameters are compared with the measured ones from 400 MHz to 26.5 GHz. Excellent agreement is achieved between measured and fitted curves by adopting this modified small-signal model of the MOSFETs operated in the breakdown and saturation regime. ACKNOWLEDGMENT The authors would like to thank the National Chip Implementation Center (CIC), Hsinchu, Taiwan, for chip fabrication, the National Nano Device Laboratories (NDL), Hsinchu, Taiwan, for the RF measurement support, and the Wireless Communication Antenna Research Center, Kaohsiung, Taiwan, for the support. REFERENCES [1] I. Aoki, S. Kee, R. Magoon, R. Aparicio, F. Bohn, J. Zachan, G. Hatcher, D. McClymont, and A. Hajimiri, “A fully-integrated quad-band GSM/GPRS CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2747–2758, Dec. 2008. [2] Z. Sheikh, C. Roff, J. Benedikt, P. J. Tasker, B. Noori, J. Wood, and P. H. Aaen, “Peak class F and inverse class F drain efficiencies using Si LDMOS in a limited bandwidth design,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 473–475, Jul. 2009. [3] A. J. Walker, H. Puchner, and S. P. Dhanraj, “High-voltage CMOS ESD and the safe operating area,” IEEE Trans. Electron Devices, vol. 56, no. 8, pp. 1753–1760, Aug. 2009. [4] R. Torres-Torres, R. S. Murphy-Arteaga, and S. Decoutere, “MOSFET bias dependent series resistance extraction from RF measurements,” Electron. Lett., vol. 39, no. 20, pp. 1476–1478, Oct. 2003. [5] W. Sheng-Chun, S. Pin, C. Kun-Ming, L. Chien-Ting, L. Victor, and H. Guo-Wei, “On the RF extrinsic resistance extraction for partiallydepleted SOI MOSFETs,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 364–366, May 2007. [6] A. Pascht, M. Grozing, D. Wiegner, and M. Berroth, “Small-signal and temperature noise model for MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1927–1934, Aug. 2002. [7] S. C. Wang, G. W. Huang, K. M. Chen, A. S. Peng, H. C. Tseng, and T. L. Hsu, “A practical method to extract extrinsic parameters for the silicon MOSFET small-signal model,” in Proc. NSTI Conf., 2004, pp. 151–154. [8] L. Seonghearn, K. C. Soo, and Y. H. Kyu, “A small-signal RF model and its parameter extraction for substrate effects in RF MOSFETs,” IEEE Trans. Electron Devices, vol. 48, no. 7, pp. 1374–1379, Jul. 2001. [9] C. I. Lee, W. C. Lin, C. C. Chen, Y. T. Lin, and Y. T. Lee, “A novel four-port de-embedding method and the parametric extraction of MOSFETs,” in Proc. PIERS, Cambridge, MA, Jul. 2010, pp. 381–384. [10] C. I. Lee, V. H. Ngo, and D. S. Pan, “New phenomena of mixed breakdown in silicon,” Phys. Stat. Sol., vol. 243, no. 4, pp. R25–R27, Feb. 2006. [11] C. I. Lee and D. S. Pan, “Theory for high p–n junction avalanche inductors,” Appl. Phys. Lett., vol. 89, no. 1, pp. 013501–013501-3, Jul. 2006. [12] C. I. Lee, V. H. Ngo, and D. S. Pan, “Inductance probing into the semiconductor breakdown,” Appl. Phys. Lett., vol. 89, no. 17, pp. 172112–172112-3, Oct. 2006. [13] R. Reuter, M. Agethen, U. Auer, S. van Waasen, D. Peters, W. Brockerhoff, and F.-J. Tegude, “Investigation and modeling of impact ionization with regard to the RF and noise behavior of HFET,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 977–983, Jun. 1997.

[14] M. Isler and K. Schunemann, “Impact-ionization effects on the highfrequency behavior of HFETs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 858–863, Mar. 2004. [15] J. W. Slotboom, G. Streutker, G. J. T. Davids, and P. B. Hartog, “Surface impact ionization in silicon devices,” in IEDM Tech. Dig., 1987, pp. 494–497. [16] H. Wong, “A physically-based MOS transistor avalanche breakdown model,” IEEE Trans. Electron Devices, vol. 42, no. 12, pp. 2197–2202, Dec. 1995. [17] M. Pinto-Guedes and P. C. Chan, “A circuit simulation model for bipolar-induced breakdown in MOSFET,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., vol. 7, no. 2, pp. 289–294, Feb. 1988. [18] F.-C. Hsu, P.-K. Ko, S. Tam, C. Hu, and R. S. Muller, “An analytical breakdown model for short-channel MOSFET’s,” IEEE Trans. Electron Devices, vol. ED-29, no. 11, pp. 1735–1740, Nov. 1982. [19] H. Wong, “Modeling of the parasitic transistor-induced drain breakdown in MOSFETs,” IEEE Trans. Electron Devices, vol. 43, no. 12, pp. 2190–2196, Dec. 1996. [20] S. M. Sze, Physics of Semiconductor Devices, 2nd ed. New York: Wiley, 1981. [21] M. E. Elta and G. I. Haddad, “Mixed tunneling and avalanche mechanisms in p–n junctions and their effects on microwave transit-time devices,” IEEE Trans. Electron Devices, vol. ED-25, no. 6, pp. 694–702, Jun. 1978. [22] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. Cambridge, U.K.: Cambridge Univ. Press, 1998. Chie-In Lee (M’10) received the B.S. and M.S. degrees from National Cheng Kung University, Tainan, Taiwan, in 1995 and 1997, respectively, and the Ph.D. degree from the University of California at Los Angeles (UCLA), in 2005, all in electrical engineering. Since August 2007, he has been with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan, where he is currently an Assistant Professor. His research interests include modeling microwave devices and the design of power amplifiers, low-noise amplifiers, and mixer microwave circuits.

Wei-Cheng Lin (S’10) was born in Pingtung, Taiwan, on July 6, 1986. He received the B.S. degree in electrical engineering from Fung Chia University, Tiachung City, Taiwan, in 2008, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University, Kaohsiung, Taiwan. His research interests include microwave devices modeling and RFIC power amplifier design.

Yan-Ting Lin (S’10) was born in Yilan, Taiwan, on December 6, 1984. He received the B.S. degree in electrical engineering from Chang Gung University, Taiwan, in 2007, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University, Kaohsiung, Taiwan. His research interests include microwave devices modeling and RFIC power amplifier design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

509

Continuous Wave Terahertz Generation From Ultra-Fast InP-Based Photodiodes Efthymios Rouvalis, Student Member, IEEE, Cyril C. Renaud, Member, IEEE, David G. Moodie, Member, IEEE, Michael J. Robertson, and Alwyn J. Seeds, Fellow, IEEE

Abstract—We present theoretical analysis and experimental results for an optimized Traveling Wave Uni-Traveling Carrier Photodiode for continuous wave millimeter-wave and Terahertz generation. The devices employed a mode-converting waveguide for efficient coupling from a lensed fiber. A DC responsivity of 0.53 A/W at a wavelength of 1.55 m and 3-dB electrical bandwidth of 108 GHz were obtained from temperature-controlled coplanar waveguide-integrated devices together with record levels of power from a photomixer in the millimeter-wave range with 1 mW at 200 GHz. High levels of Terahertz output power from broadband, heat sink-mounted antenna-integrated devices were measured with 5 at 1.02 THz. Index Terms—Photodetectors, photomixing, submillimeterwave generation, Terahertz.

I. INTRODUCTION

F

REQUENCIES located between microwaves and infrared -the Terahertz (THz) band of the electromagnetic spectrum- have received much attention recently. Interesting material studies have employed THz radiation [1] and as a result various applications in security, biology, medicine and communications are emerging [2]. So far, one of the main obstacles for the wide utilization of THz technology has been the limited availability of emitters and receivers [3]. The vast majority of THz spectroscopic systems are based on the generation and detection of short (sub-picosecond) electrical pulses in the time domain. These systems typically require optical excitation from bulky and expensive femtosecond lasers. Migrating to frequency domain techniques is anticipated to allow low cost, portable systems to be produced with a superior resolution and a high scanning speed [4]. To date, numerous continuous wave THz sources have been demonstrated that can either directly generate the required frequency or downconvert/upconvert from the optical/ microwave domain respectively. THz Quantum Cascade Lasers (QCLs) have been suggested as suitable candidates for direct continuous wave generation [5]. However, efficient room tem-

Manuscript received May 25, 2011; revised October 26, 2011; accepted November 27, 2011. Date of publication January 11, 2012; date of current version March 02, 2012. This work was supported in part by the U.K. Engineering and Physical Science Research Council (EPSRC) under Grant EP/D502233/1. E. Rouvalis, C. C. Renaud, and A. J. Seeds are with the Department of Electronic and Electrical Engineering, University College London, London WC1E 7JE, U.K. (e-mail: [email protected]; [email protected]; [email protected]). D. G. Moodie and M. J. Robertson are with the CIP Technologies Ltd., Adastral Park, Ipswich IP5 3RE, U.K. (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2178858

perature operation without the necessity of external magnetic fields still remains a challenge [6]. Oscillators based on solidstate sources (IMPATT, Gunn diodes, RTD etc) are useful for frequencies up to approximately 500 GHz [7]–[9]. However, higher frequency operation has not been achieved without cascaded stages of frequency multipliers [10]–[12], which limits the overall efficiency of the source. One of the most promising techniques for tunable, continuous wave THz sources is Optical Heterodyne Generation (OHG) in Ultra-Fast Photodetectors [13]. OHG, alternatively known as photomixing [14], [15], is capable of delivering a continuous wave THz signal when two lasers with emission frequencies spaced by the required THz frequency are combined and the resulting signal is fed into a fast photodetector. Previous work using GaAs material system achieved 2 at 1 THz [16]. A comparison between photomixers and frequency multipliers can reveal the importance of these types of sources. The former ones are more common in broadband applications (such as spectroscopy) while the latter ones can be found where high power is required over a limited frequency range [3]. However, driven by the requirements of optical fiber communications, sophisticated InP-based devices and systems are widely available. Optical Frequency Comb Generators (OFCGs) [17], [18], tunable Sampled-Grating Distributed Bragg Reflector (SG-DBR) lasers [19], Optical Phase Lock Loops (OPLLs) [20], [21] and Semiconductor Optical Amplifiers (SOAs) [18] are key elements for narrow linewidth THz generation that offers a route to highly sensitive coherent systems. InP-based THz technology [22] also requires high-bandwidth photodetectors [23]. InP/InGaAs-based photoconductors suffer from a high dark conductivity and have generally shown limited performance so far [22]. The Uni-Traveling Carrier Photodiode (UTC-PD) has shown high 3-dB bandwidth together with improved output power capabilities [24]. Nevertheless, as most of the THz frequency span is located well beyond the 3-dB bandwidth of most typical photodiodes, the importance of the high frequency roll-off becomes critical for efficient THz generation. Vertically-illuminated UTC-PDs are still 40 dB/decade response roll-off limited. Traveling-Wave photodiodes (TW-PDs) can offer a substantial enhancement at high frequencies with a roll-off approaching the 20 dB/decade theoretical limit [25]. Integration of these two independently demonstrated photodetection schemes into a single device, the Traveling-Wave Uni-Traveling Carrier photodiode (TW-UTC-PD), can offer photomixers with record levels of output power and unprecedented THz figure of merit in ) [26]. Here we report a significant im( provement in the output power and THz figure of merit of

0018-9480/$31.00 © 2012 IEEE

510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 1. Schematic band diagrams of (a) p-i-n photodiode and (b) uni-traveling carrier photodiode.

InP-based photomixers that is mainly attributed to the optimization of the Traveling Wave design and the mode converting waveguide design and a low series resistance. This paper is organized as follows: In Section II the theory of the TW-UTC-PD is explained. Section III describes design considerations and fabrication of the device. Section IV focuses on the DC and RF characterization of the device while in Section V millimeter wave results are presented. Section VI describes the assessment of the TW-UTC-PD as an efficient THz photomixing source. Finally, Section VII summarizes the paper and elaborates on future work. II. DEVICE DESCRIPTION The concept of UTC-PDs was originally proposed as a means of enhancing the transit-time that in photodetectors with a p-i-n epitaxial structure (Fig. 1(a)) is limited by the slow hole transport [27]. In the case of a UTC-PD, as shown in Fig. 1(b), light is absorbed in the p-doped layer where holes are majority carriers and respond within the dielectric relaxation time in this layer. Electrons diffuse into the depletion layer and under the influence of the applied field can reach overshoot conditions reducing the total transit time across the device. An extra heavily p-doped diffusion blocking layer blocks electron diffusion towards the p-contact. In addition to the low transit time, UTC-PDs offer reduced space-charge effects (only transiting carriers are in the depletion region) and as a result saturation occurs at higher levels of photocurrent relative to p-i-n photodiodes (p-i-n PDs). However, as was shown in [27], to achieve fast operation UTC-PDs must be designed with absorption layers that are generally thinner than p-i-n PDs resulting in a significantly degraded responsivity. Photomixers based on vertically-illuminated UTC-PDs that have demonstrated very high 3-dB electrical bandwidth have to be pumped with several hundreds of mW optical power to emit significant levels of THz power [24]. One of the most efficient techniques to overcome this effect is to realize a waveguide-fed, edge-coupled configuration [28]. In UTC-PDs, this design becomes more complicated since absorption has to take place in the p-doped area and thus the carrier collection layer thickness becomes an important coupling design parameter. Another advantage of this design is its compatibility with a Traveling Wave (TW) design in order to improve the total high frequency roll-off. As far as the width of the active

Fig. 2. Schematic of the TW-UTC-PD integrated with a tapered mode-converting waveguide. Light is coupled into the wide diluted waveguide from a fiber and then into the waveguide. Tapered section is scaled down in length.

mesa structure is concerned, these two requirements are in conflict, since TW requires narrow mesa structures [25] whereas efficient light coupling from an optical fiber needs wider devices. For this reason, a tapered mode converting waveguide has been suggested as a solution to this problem [29]. A schematic of this design is shown in Fig. 2. In this case an additional large diluted waveguide is added to match the Mode Field Diameter (MFD) from a lensed fiber. In the tapered section, light is coupled into the intermediate waveguide that is optimized to match the modes of the absorber. Therefore, the TW electrodes can be designed independently in order to optimize the extrinsic frequency response of the device. In photodetectors that employ this design, velocity mismatch between the optical and the electrical waves, impedance matching and absorption coefficient are the main frequency limitations [25]. It has been shown that in practical photodiodes the actual velocity matching can be achieved only in periodic structures [30]. However, as was shown in [26] and [31] with a design approaching velocity matching a roll-off that is substantially better than 40 dB/decade can be achieved making these devices suitable for efficient THz generation. III. DESIGN AND DEVICE FABRICATION The problem of modeling waveguide-fed, antenna-integrated TW-UTC-PDs can be seen as four coupled problems that include light propagation and absorption, semiconductor transport modeling, electrical/TW design and planar antenna design. A quasi-drift/diffusion approach was used to describe the intrinsic response of the device where electrons are assumed to obtain an average overshoot velocity in the depletion region that exceeds the static drift velocity in InP as previously reported from experimental and theoretical results [27], [32], [33]. The device was realised in a Coplanar Waveguide (CPW) configuration. A Transmission Line Model (TLM) was found to describe the TW-PDs extrinsic frequency response [25], [26], [31]. The capacitance and inductance per unit length are important parameters for this model. An analysis based on conformal mapping techniques can predict the capacitance per unit length accurately [34]. For the inductance per unit length, assuming that no magnetic materials are present in the structure, the inductance per

ROUVALIS et al.: CONTINUOUS WAVE TERAHERTZ GENERATION

511

Fig. 3. Images of the fabricated devices. (a) Coplanar waveguide. (b) Bow-tie. (c) Log-periodic. (d) Cleaved chip with coplanar waveguide. TABLE I EPITAXIAL STRUCTURE OF FABRICATED DEVICES

unit length can be corrected by applying the theory for elevated CPWs with finite ground plane [35]. A mode converting optical waveguide was designed to be compatible with the device requirements as obtained by modeling. The length of the mode converting waveguide was 1 mm while the width of the input waveguide was 8 m with the predicted responsivity at 1.55 m to be 0.51 A/W. The resulting epitaxial structure is given in Table I. A thin InGaAs absorber of 120 nm and a typical InP carrier collection layer with a thickness of 300 nm were designed to improve fast electron transport. The diluted waveguide for coupling from a lensed fiber was formed by repeating an structure. The structure was grown by Metal-organic vapor phase epitaxy (MOVPE) with strict control of the Zinc diffusion to allow for graded doping of the absorption layer. The devices were then fabricated using standard photolithographic techniques with wet etch processes. A low stress 1.5 m thick oxide layer was used to isolate the mesa followed by a planarization step. Finally the metallization was achieved by sputtering of a 600 nm thick titanium-gold layer. As part of the metallization, two types of broadband planar antennas were integrated with the device, a Log-Periodic antenna (LPA) and a Bow-Tie antenna (BTA). The planar LPA is a self-complementary antenna that shows a quasi-static radiation resistance of about 72 on an InP substrate. The BTA was designed to have a radiation resistance

close to 50 on an InP substrate. The low frequency cut-off for the LPA and the BTA were around 100 GHz and 200 GHz respectively. The high frequency operation limit for both devices is determined by the feed electrodes and from modeling results was found to be about 1.5 THz, corresponding to a parasitic capacitance of less than 2 fF that is independent of the thickness of the intrinsic region [36]. Fig. 3 shows the different types of fabricated devices. The TW-UTC-PDs had various widths in the range of 2–4 m and a length of 25 m. Two types of devices were designed for assessment with different experimental arrangements. One set of devices (Fig. 3(a) and (d)) used a CPW output suitable for millimeter-wave measurements up to 220 GHz using coplanar probes with a 150 m spacing between ground and signal electrodes. Another set of devices was integrated with broadband planar antennas for free space THz measurements. Fabricated antenna-integrated devices are shown in Fig. 3(b) and (c). IV. DC AND RF CHARACTERIZATION Initially, the quality of the fabricated devices was assessed using CPW-integrated devices. From I–V measurements, the dark current of the devices was found to be lower than 50 nA at a bias of . The series resistance of the device was found to have typical values of 7–10 . From C–V measurements, the average capacitance per unit length at a bias of was found to be very close to the values predicted from modeling and is given in Table II. It has to be noted that if the parasitic capacitance is not taken into consideration, then the capacitance per unit length is about 70% of the value obtained from the model. The DC responsivity was assessed for temperature controlled CPW-integrated devices. A 16 lensed fiber (corresponding to a MFD of 7 m at a wavelength of 1.55 m) was found to be the optimum for coupling into the input diluted waveguide. The best devices exhibited a DC responsivity of 0.53 A/W at a wavelength of 1.55 m, corresponding to an external quantum efficiency of 42%. This is in good agreement with the predicted value from our simulations. After DC measurements, the same devices were tested with a Lightwave Component Analyzer (LCA) and a coplanar

512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 4. Relative frequency response of a temperature-controlled (a) 2 25 m and (b) 3 25 m TW-UTC-PDs up to 40 GHz obtained with an LCA. The experimental arrangement was calibrated on an open, a short and a 50 load with a calibration standard suitable for coplanar probes.

Fig. 5. Relative frequency response up to 110 GHz for temperature-controlled with dimensions of: (a) 2 25 m and (b) TW-UTC-PDs biased at 3 25 m .

TABLE II CAPACITANCE MEASUREMENTS

DC-67 GHz probe. The probe and the RF cables that were used were calibrated up to 40 GHz with a calibration substrate on an ideal open, short and a broadband 50 load to account for reflections and insertion loss. An essentially flat response was obtained in this frequency range. The frequency response up to 40 GHz is plotted in Fig. 4. V. MILLIMETER-WAVE RESULTS To further assess the frequency response and the 3-dB bandwidth of CPW-integrated devices up to 110 GHz, another two sets of measurements were performed. The first used the heterodyne signal between two tunable lasers as an input and the generated signal was extracted with DC-67 GHz, V-band (50–75 GHz) and W-band (75–110 GHz) coplanar probes. The power was measured directly with a 110 GHz RF power meter. The second experimental arrangement used a more sophisticated technique as described in [26]. In this set of measurements, two widely tunable SG-DBR lasers Optically Injection Locked (OIL) to a reference OFCG with a frequency spacing of 10 GHz were used. The narrow linewidth signal that was generated was measured directly with a spectrum analyzer for frequencies up to 50 GHz. For higher frequencies, calibrated

Fig. 6. G-Band measured power from a temperature-controlled 3 25 m obtained with a coplanar probe, a 20 dBi horn antenna and the Thomas Keating power meter. Results are calibrated to the insertion loss of the probe and the power meter’s frequency response.

V-band and W-band harmonic mixers were connected to the output of the coplanar probes. The experimental results are plotted in Fig. 5 for the same devices (dimensions 2 25 m and 3 25 m ). Excellent agreement between the two experimental techniques was observed as well as a good agreement with modeling results. Both measurements were performed at a photocurrent of approximately 5 mA and the measured power

ROUVALIS et al.: CONTINUOUS WAVE TERAHERTZ GENERATION

513

Fig. 7. Experimental arrangement used for THz measurements. The amplified heterodyne signal from two SG-DBR lasers OIL to an OFCG was used as an optical input to antenna-integrated devices.

at the lowest frequency point (50 MHz) was . This photocurrent level was low enough to avoid saturation of the harmonic mixers. In particular, the experimentally determined 3-dB bandwidth was found to be about 108 GHz and 86 GHz for devices with dimensions of 2 25 m and 3 25 m respectively. From simulation, the calculated 3-dB bandwidth was 107 GHz and 89 GHz respectively. It is also noteworthy that the particular device that achieved the highest 3-dB bandwidth (108 GHz) achieved also the highest DC responsivity of 0.53 A/W. Finally, power measurements were attempted with a G-Band (140–220 GHz) coplanar probe. In this case, a 20 dBi G-Band horn antenna was connected at the output (WR-5 waveguide) of the probe and the generated power was measured with the Thomas Keating power meter (TK-PM) for frequencies up to 220 GHz with a step of 10 GHz. The results after calibration to the insertion loss of the probe (up to 3 dB at 220 GHz) and the frequency response of the power meter are given in Fig. 6. These results do not include the insertion loss of the CPW structure that from modeling results was found to be very low dB for frequencies up to 300 GHz. The device showed record levels of power in the millimeter-wave range and the highest power levels were 2.4 mW at 150 GHz and 1 mW at 200 GHz at a maximum DC photocurrent of 23 mA. The thermally controlled device also showed no sign of power saturation up to this level of photocurrent and is in good agreement with results presented in [24]. To the best of our knowledge, these are the highest power levels ever reported in this frequency range from a photomixing source. VI. TERAHERTZ GENERATION The next set of measurements involved power measurements from unpackaged antenna-integrated TW-UTC-PDs. The devices were mounted on a High Resistivity Float Zone Silicon (HRFZ-Si) substrate that has a resistivity of more than 10 cm

and a dielectric constant similar to that of InP at THz frequencies. A 5 mm radius hemispherical lens made of the same material was used to create an extended hemispherical substrate. High directivities can be achieved with this design [37], [38]. A schematic of the experimental arrangement used here can be seen in Fig. 7. For these measurements, the line spacing of the OFCG was tuned to be about 17 GHz in order to maximize the frequency range (1.8 THz) over which injection locking could be achieved, and this is the minimum step that was used for the rest of THz measurements. The optical heterodyne signal that was used as an input to the devices came from two widely tunable SG-DBR lasers that were optically injection locked to the reference OFCG. Therefore, the power of the resulting THz signal is distributed over a very narrow linewidth of the order of 10 Hz. The polarization of the heterodyne signal was optimized through polarization controllers, and the resulting optical signal was amplified with a constant output power Erbium Doped Fiber Amplifier (EDFA). The optical signal was fed into the device through a lensed fiber. Two different types of free space THz power meters (THzPMs) were used. The TK-PM was used for detecting levels of THz output power down to 10 . For lower power levels, a diamond window Golay cell (GC) was used that has an extremely flat response over the THz range and very high sensitivity. At the frequency over which the GC had to be used, the detected power was confirmed with both THz-PMs. Both THz-PMs have to be operated within an homodyne system and are sensitive to vibrations, IR and visible light. For this reason, a free space path with a chopper operating at the desirable frequency was added after one of the two SG-DBR lasers and before the 3-dB coupler. The advantage of this in comparison with directly chopping the THz beam is that no acoustic waves are present on the THz-PM input. The chopping frequency was 30 Hz for the TKPM and 20 Hz for the GC and these are the frequencies that correspond to the minimum Noise Equivalent Power (NEP) for each THz-PM

514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

respectively. At 20 Hz the responsivity of the GC was confirmed to be 16.4 kV/W by comparing the power of a 100 GHz signal from a frequency multiplier with other power meters. A careful filtering of the residual IR light is essential for measuring signals generated from photomixers with these THz-PMs. It has been suggested that polymer films can act as excellent IR filters while they still perform very well at THz frequencies [39]. HDPE layers and an engineered PTFE film under the commercial name Zitex® were used to filter IR light [40]. PTFE acts as a scattering film for IR wavelengths and was placed first followed by an HDPE film that absorbs strongly these wavelengths. These materials were characterized with a THz Time Domain Spectroscopy (TDS) system up to 7 THz, and they were found to be practically transparent from 100 GHz up to 2 THz. This filtering can also guarantee that no stray visible signals or Blackbody radiation are present at the input window of the THz-PMs. Two 3 25 m heat sink-mounted TW-UTC-PDs, one with a LPA and one with a BTA showed the highest radiated THz power. Power measurements were taken from 102 GHz up to 1.53 THz with a frequency step of 102 GHz that corresponds to a spectral distance of six comb lines from the OFCG operated at 17 GHz. The DC responsivity for these particular devices was somewhat lower than for those with CPW electrodes and was found to be about 0.27 A/W for the LPA-integrated device and 0.31 A/W for the BTA-integrated device. The measurements were taken at a photocurrent of 13 mA for the LPA-integrated device and 12 mA for the BTA-integrated device and at a bias of . Both devices achieved output power levels of more than 110 at 306 GHz. At 510 GHz, 36 and 40 were measured from the LPA and the BTA-integrated devices respectively. The BTA-integrated device showed substantial levels of power with 5 at 1.02 THz and also achieved 0.5 at 1.53 THz with an input optical power of less than 40 mW. The LPA showed lower power levels at the same frequencies with 2 at 1.02 THz and 0.3 at 1.53 THz and the input optical power was less than 50 mW. High levels of THz figure of merit were achieved from the BTA-integrated device with 0.003 at 1.02 THz and 0.00035 at 1.53 THz. The effect of the photodetected Amplified Spontaneous Emission (ASE) noise generated by the EDFA was investigated thoroughly. From measurements using a typical EDFA, the SNR over a bandwidth wider than the gain bandwidth of the EDFA was found from measurements to be of the order of 20 dB. This signal-to-ASE bandwidth can be approximated by about half the total bandwidth of the EDFA, approximately 2.5 THz. Different measurement tests were performed to guarantee that the power that was measured by the PMs corresponded to the actual THz signal. The signal-to-ASE beat noise was measured when a single laser signal with an output power of 3 dB higher than the one used for each laser at the measurement was injected into the device. The measurement with the Golay cell indicated that the noise floor corresponded to a voltage reading that was lower by a factor of 3 compared to the power detected at the maximum frequency of the measurement (1.53 THz). The same test for ASE-to-ASE self beat noise at an ASE noise power level higher than the one of the actual THz measurement did not give a detectable signal. This signal-to-ASE noise floor is also practically independent of the THz frequency for the

Fig. 8. THz emission from heat sink-mounted antenna-integrated devices measured with a Thomas Keating power meter and a Golay cell. (a) Log-periodic. (b) Bow-tie.

measurements presented here. For the measurements taken with the TK-PM, it was found that the signal-to-ASE noise is significantly lower than the minimum detected power, even when the frequency response of the TK-PM is ignored. A numerical estimation of the noise floor of the measurement can be given by integrating the modeled frequency response of the device up to a frequency that corresponds to the signal-to-ASE bandwidth (2.5 THz, half the gain bandwidth of the EDFA). This numerical integration results in a noise floor that is about 31 dB and 34 dB lower than the power emitted by the device at the frequency where the maximum power can be achieved for Log-Periodic and Bow-Tie antenna integrated TW-UTC-PDs respectively. This calculation is in good agreement with the experimental tests. Some antenna-integrated devices were packaged into a quasi-optical module that can be seen in Fig. 9. A similar HRFZ-Si substrate was used forming an extended hemispherical lens with a radius of 3 mm while a thermistor was placed inside the module near the device for thermoelectric cooling. The device was wire bonded to an external CPW that feeds DC and potentially RF signals through the SMA port of the module seen in Fig. 9. The relative frequency response of the module was found by measuring the output power with a GC with the same optical input as in the previous measurements. Experimental points were obtained with a step of 17 GHz from 51 GHz up to 510 GHz and with a step of 51 GHz from 510 GHz up to 969 GHz at a photocurrent of 4.5 mA. The experimental results for a packaged LPA-integrated TW-UTC-PD are plotted in Fig. 10 together with modeling results [26]. The relative response was obtained by normalization to the

ROUVALIS et al.: CONTINUOUS WAVE TERAHERTZ GENERATION

515

VII. CONCLUSION

Fig. 9. Packaged antenna-integrated TW-UTC-PD. A 6 mm diameter hemispherical Si lens was used to extract the THz signal efficiently. An SMA connector was used to supply the bias voltage to the circuit.

In this paper, we have presented the theory, modeling and experimental assessment of Traveling-Wave Uni-Traveling Carrier Photodiodes for efficient continuous wave THz generation. From a theoretical point of view, significant advantages arise from this photodiode configuration as it can simultaneously allow for the decrease of the roll-off at high frequencies, higher 3 dB bandwidth frequency and higher saturation power. The fabricated devices showed a 3-dB electrical bandwidth of 108 GHz together with a very high DC responsivity of 0.53 A/W at a wavelength of 1.55 m. High levels of power together with improved THz figure of merit were obtained in the millimeter-wave and THz frequency domains from devices integrated with Coplanar Waveguides and broadband antennas respectively. The higher output power reported here can mainly be attributed to the reduced active area of the device and further improvement in the growth and fabrication process (lower series resistance) and optimization of the design. Packaged devices suitable for various THz applications were demonstrated and good agreement was found between theoretical and experimental results. The realization of the device with a passive input optical waveguide is expected to lead to hybrid or monolithic integration with tunable lasers to form a compact THz photomixing source. Finally, further studies of non-linear effects can allow for this device to be used as a high sensitivity THz receiver by applying optoelectronic mixing techniques [41]–[43]. ACKNOWLEDGMENT The authors would like to thank Dr. O. Mitrofanov and Mr. R. Mückstein for their help in spectroscopic characterization of various materials used in this work. REFERENCES

Fig. 10. THz emission from temperature-controlled packaged TW-UTC-PD integrated with a Log-Periodic antenna up to 1 THz. Solid line: modeling. Diamonds: experimental results.

signal obtained by the GC at the maximum output power that was found at 85 GHz (60 measured with TK-PM). The simulated frequency response was normalized similarly at the maximum generated power that was found to be at 80 GHz. Good agreement between theory and experiment was observed with a maximum deviation of less than 3 dB. The overall roll-off of the device was about 28 dB/decade from 100 GHz to 1 THz. Better frequency response between 100 GHz and 500 GHz was observed while this degraded at higher frequencies. Nevertheless, that is substantially better than the 40 dB/decade roll-off that is obtained for vertically-illuminated photodiodes.

[1] B. Ferguson and X.-C. Zhang, “Materials for terahertz science and technology,” Nature Mater., vol. 1, pp. 26–33, 2002. [2] M. Tonouchi, “Cutting edge terahertz technology,” Nature Photon., vol. 1, pp. 97–105, 2007. [3] P. H. Siegel, “Terahertz technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 910–928, Mar. 2002. [4] N. Karpowicz, H. Zhong, J. Xu, K. I. Lin, J. S. Hwang, and X.-C. Zhang, “Comparison between pulsed terahertz time-domain imaging and continuous wave terahertz imaging,” Semicond. Sci. Technol., vol. 20, pp. S293–S299, 2005. [5] R. Köhler, A. Tredicucci, F. Beltram, H. E. Beere, E. H. Linfield, A. G. Davies, D. A. Ritchie, R. C. Iotti, and F. Rossi, “Terahertz semiconductor-heterostructure laser,” Nature, vol. 417, pp. 156–159, 2002. [6] M. A. Belkin, Q. J. Wang, C. Pflügl, A. Belyanin, S. P. Khanna, A. G. Davies, E. H. Linfield, and F. Capasso, “High-Temperature operation of terahertz quantum cascade laser sources,” IEEE J. Sel. Topics Quantum Electron., vol. 15, no. 3, pp. 952–967, 2009. - [7] M. Ino, T. Ishibashi, and M. Ohmori, “C.W. oscillation with silicon IMPATT diodes in 200 GHz and 300 GHz bands,” Electron. Lett., vol. 12, no. 6, pp. 148–149, 1976. [8] H. Eisele, “480 GHz oscillator with an InP Gunn device,” Electron. Lett., vol. 46, no. 6, pp. 422–423, 2010. [9] S. Suzuki, M. Asada, A. Teranishi, H. Sugiyama, and H. Yokoyama, “Fundamental oscillation of resonant tunneling diodes above 1 THz at room temperature,” Appl. Phys. Lett., vol. 97, no. 24, pp. 242102–242102, 2010. [10] T. W. Crowe, W. L. Bishop, D. W. Porterfield, J. L. Hesler, and R. M. Weikle, II, “Opening the terahertz window with integrated diode circuits,” IEEE J.Solid-State Circuits, vol. 40, no. 10, pp. 2104–2110, Oct. 2005.

516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[11] G. Chattopadhyay, E. Schlecht, J. S. Ward, J. J. Gill, H. H. S. Javadi, F. Maiwald, and I. Mehdi, “An all-solid-state broadband frequency multiplier chain at 1500 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1538–1546, May 2004. [12] A. Maestrini, J. S. Ward, J. J. Gill, C. Lee, B. Thomas, R. H. Lin, G. Chattopadhyay, and I. Mehdi, “A frequency-multiplied source with more than 1 mW of power across the 840–900 GHz band,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1925–1932, Jul. 2010. [13] A. J. Seeds and K. J. Williams, “Microwave photonics,” J. Lightw. Technol., vol. 24, no. 12, pp. 4628–4641, Dec. 2006. [14] E. R. Brown, K. A. McIntosh, K. B. Nichols, and C. L. Dennis, “Photomixing up to 3.8 THz in low-temperature-grown GaAs,” Appl. Phys. Lett., vol. 66, no. 3, pp. 285–287, 1995. [15] D. Saeedkia and S. Safavi-Naeini, “Terahertz photonics: Optoelectronic techniques for generation and detection of terahertz waves,” J. Lightw. Technol., vol. 26, no. 15, pp. 2409–2423, Aug. 2008. [16] S. M. Duffy, S. Verghese, K. A. McIntosh, A. Jackson, A. C. Gossard, and S. Matsuura, “Accurate modeling of dual dipole and slot elements used with photomixers for coherent terahertz output power,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1032–1038, Jun. 2001. [17] C. C. Renaud, M. Pantouvaki, S. Gregoire, I. Lealman, P. Cannard, S. Cole, R. Moore, R. Gwilliam, and A. J. Seeds, “A monolithic MQW InP-InGaAsP-based optical comb generator,” IEEE J. Quantum Electron., vol. 43, no. 11, pp. 998–1005, Nov. 2007. [18] F. Lelarge, B. Dagens, J. Renaudier, R. Brenot, A. Accard, F. van Dijk, D. Make, O. L. Gouezigou, J.-G. Provost, F. Poingt, J. Landreau, O. Drisse, E. Derouin, B. Rousseau, F. Pommereau, and G.-H. Duan, “Recent advances on InAs/InP quantum dash based semiconductor lasers and optical amplifiers operating at 1.55 m,” IEEE J. Sel. Topics Quantum Electron., vol. 13, no. 1, pp. 111–124, Jan. 2007. [19] L. A. Coldren, “Monolithic tunable diode lasers,” IEEE J. Sel. Topics Quantum Electron., vol. 6, no. 6, pp. 988–999, Nov./Dec. 2000. [20] S. Ristic, A. Bhardwaj, M. J. Rodwell, L. A. Coldren, and L. A. Johansson, “An optical phase-locked loop photonic integrated circuit,” J. Lightw. Technol., vol. 28, no. 4, pp. 526–538, Feb. 2010. [21] R. J. Steed, L. Ponnampalam, M. J. Fice, C. C. Renaud, D. C. Rogers, D. G. Moodie, G. D. Maxwell, I. F. Lealman, M. J. Robertson, L. Pavlovic, L. Naglic, M. Vidmar, and A. J. Seeds, “Hybrid integrated optical phase-lock loops for photonic terahertz sources,” IEEE J. Sel. Topics Quantum Electron., vol. 17, no. 1, pp. 210–217, Jan. 2011. [22] M. J. Fice, E. Rouvalis, L. Ponnampalam, C. C. Renaud, and A. J. Seeds, “Telecommunications technology-based THz sources,” Electron. Lett., vol. 46, no. 26, pp. S28–S31, 2010. [23] A. Beling and J. C. Campbell, “InP-based high-speed photodetectors,” J. Lightw. Technol., vol. 27, no. 3, pp. 343–355, Mar. 2009. [24] H. Ito, S. Kodama, Y. Muramoto, T. Furuta, T. Nagatsuma, and T. Ishibashi, “High-speed and high-output InP-InGaAs unitraveling-carrier photodiodes,” IEEE J. Sel. Topics Quantum Electron., vol. 10, no. 4, pp. 709–727, Jul./Aug. 2004. [25] K. S. Giboney, M. J. W. Rodwell, and J. E. Bowers, “Traveling-Wave photodetector design and measurements,” IEEE J. Sel. Topics Quantum Electron., vol. 2, no. 3, pp. 622–629, May./Jun. 1996. [26] E. Rouvalis, C. C. Renaud, D. G. Moodie, M. J. Robertson, and A. J. Seeds, “Traveling-wave uni-traveling carrier photodiodes for continuous wave THz generation,” Opt. Exp., vol. 18, pp. 11105–11110, 2010. [27] T. Ishibashi, S. Kodama, N. Shimizu, and T. Furuta, “High-Speed response of uni-traveling-carrier photodiodes,” Jpn. J. Appl. Phys., vol. 36, no. 10, pp. 6263–6268, 1997. [28] S. Demiguel, N. Li, X. Li, X. Zheng, J. Kim, J. C. Campbell, H. Lu, and A. Anselm, “Very high-responsivity evanescently coupled photodiodes integrating a short planar multimode waveguide for high-speed applications,” IEEE Photon. Technol. Lett., vol. 15, no. 12, pp. 1761–1763, Dec. 2003. [29] F. Xia, J. K. Thomson, M. R. Gokhale, P. V. Studenkov, J. Wei, W. Lin, and S. R. Forrest, “An asymmetric twin-waveguide high-bandwidth photodiode using a lateral taper coupler,” IEEE Photon. Technol. Lett., vol. 13, no. 8, pp. 845–847, Aug. 2001. [30] A. Beling, H.-G. Bach, G. G. Mekonnen, R. Kunkel, and D. Schmidt, “High-Speed miniaturized photodiode and parallel-fed traveling-wave photodetectors based on InP,” IEEE J. Sel. Topics Quantum Electron., vol. 13, no. 1, pp. 15–21, Jan./Feb. 2007. [31] A. Stöhr, A. Malcoci, A. Sauerwald, I. C. Mayorga, R. Güsten, and D. S. Jäger, “Ultra-Wide-Band traveling-wave photodetectors for photonic local oscillators,” J. Lightw. Technol., vol. 21, no. 12, pp. 3062–3070, Dec. 2003.

[32] P. Roblin and H. Rohdin, High-Speed Heterostructure Devices. Cambridge, U.K.: Cambridge Univ. Press, 2002. [33] H. Ito, T. Furuta, S. Kodama, and T. Ishibashi, “InP/InGaAs uni-travelling-carrier photodiode with 310 GHz bandwidth,” Electron. Lett., vol. 36, no. 2, pp. 1809–1810, 2000. [34] R. Lewen, S. Irmscher, and U. Eriksson, “Microwave CAD circuit modeling of a traveling-wave electroabsorption modulator,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1117–1128, Apr. 2003. [35] R. N. Simons, Coplanar Waveguide Circuits, Components, and Systems. New York: Wiley, 2001. [36] I. S. Gregory, C. Baker, W. R. Tribe, I. V. Bradley, M. J. Evans, E. H. Linfield, A. G. Davies, and M. Missous, “Optimization of photomixers and antennas for continuous-wave terahertz emission,” IEEE J. Quantum Electron., vol. 41, no. 5, pp. 717–728, May 2005. [37] D. F. Filipovic, S. S. Gearhart, and G. M. Rebeiz, “Double-Slot antennas on extended hemispherical and elliptical silicon dielectric lenses,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1738–1749, Oct. 1993. [38] J. V. Rudd and D. M. Mittleman, “Influence of substrate-lens design in terahertz time-domain spectroscopy,” J. Opt. Soc. Amer. B, vol. 19, no. 2, pp. 319–329, 2002. [39] D. Koller, G. A. Ediss, L. Mihaly, and G. L. Carr, “Infrared measurements of possible IR filter materials,” Int. J. Infrared Millimeter Waves, vol. 27, no. 6, pp. 835–846, 2006. [40] D. J. Benford, M. C. Gaidis, and J. W. Kooi, “Optical properties of Zitex in the infrared to submillimeter,” Appl. Opt., vol. 42, no. 25, pp. 5118–5122, 2003. [41] C. C. Renaud, L. Ponnampalam, F. Pozzi, E. Rouvalis, D. Moodie, M. Robertson, and A. J. Seeds, “Photonically enabled communication systems beyond 1000 GHz,” in Proc. IEEE Int. Topical Meeting Microw. Photon. (MWP), 2008, pp. 55–58. [42] E. Rouvalis, M. J. Fice, C. C. Renaud, and A. J. Seeds, “Optoelectronic detection of millimetre-wave signals with travelling-wave uni-travelling carrier photodiodes,” Opt. Exp., vol. 19, no. 3, pp. 2079–2084, 2011. [43] E. Rouvalis, M. J. Fice, C. C. Renaud, and A. J. Seeds, “Optoelectronic mixing at 100 GHz with travelling-wave uni-travelling carrier photodiodes,” in Proc. Conf. Lasers Electro-Optics (CLEO), 2011, Paper JThB115.

Efthymios Rouvalis (S’08) was born in Athens, Greece, in 1985. He received the Diploma in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 2007. He is currently working towards the Ph.D. degree in the Ultra-Fast Photonics Group, University College London, London, U.K. His current research interests include modeling and characterization of ultra-fast photodetectors for continuous wave terahertz generation and detection. Mr. Rouvalis has served as a reviewer in OSA and IEEE peer-reviewed journals and is a student member of the Optical Society of America, the IEEE Microwave Theory and Techniques Society, and the IEEE Photonics Society.

Cyril C. Renaud was born in Paris, France, in 1973. He received the degree of engineering from the Ecole Supérieure d’Optique, Orsay, France, and the Diplôme d’Etudes Approfondies (D.E.A.) in optics and photonics from the University Paris XI, Orsay, France, in 1996. He spent one year as a Project Engineer with Sfim-ODS, working on the development of microchips lasers. He then worked within Optoelectronics Research Centre, University of Southampton, Southampton, U.K., on diode pumped high-power ytterbium-doped fibre-lasers, with particular interest on -switched system and 980-nm generation. This work led to the award of a Ph.D. degree in 2001. He is currently a Lecturer and site director of a doctoral training centre at University College London, U.K., where he is working on optoelectronic devices and systems. His current research includes works on uncooled WDM sources, agile tuneable laser diode and monolithic optical frequency comb generator using Quantum Confined Stark Effect, high frequency photodetectors

ROUVALIS et al.: CONTINUOUS WAVE TERAHERTZ GENERATION

(UTC, travelling wave) and optical frequency generation systems in the optical and millimetre wave domains (DWDM, THz).

David G. Moodie (M’95) was born in Leicester, U.K., in 1968. He received the B.Sc. degree in physics from the University of Durham, U.K., in 1989, the M.Sc. degree in telecommunications engineering from the University of London, U.K., in 1995, and the Ph.D. degree from Imperial College London, U.K., in 2002. In 1989, he joined BT Laboratories, U.K., and worked on the development of a range of optoelectronic components. From 2000 to 2003 he was a Project Manager at Corning Research Centre, U.K. Since 2003, he has worked for CIP Technologies, U.K. His main roles are product management, project management and device design. Areas of technical interest include electroabsorption modulators, photonic integration, photodiodes, and THz technologies.

Michael J. Robertson received the Ph.D. degree from the University of Durham, U.K., on cadmium sulphide solar cells. He has over 20 years experience in photonic devices for telecommunications. He joined BT Labs working on laser reliability for optical telecommunications. After this, he led a team that developed a high reliability planar PIN photodiode for submarine optical communications and he successfully transferred this to manufacturing at BT&D (later part of Agilent). During this time, he was part of the team at BT that won the Queen’s Award for Technology in 1993 for its work on optoelectronic materials and devices. Since then he has managed research on

517

components for optical fibre systems including expanded mode lasers, semiconductor optical amplifiers, electroabsorption modulators and optical switches. He is currently VP Research programmes within CIP Technologies.

Alwyn J. Seeds (M’81–SM’92–F’97) received the Ph.D. and D.Sc. degrees from the University of London, London, U.K. From 1980 to 1983, he was a Staff Member with the Lincoln Laboratory, Massachusetts Institute of Technology (MIT), Cambridge, where he was involved with GaAs monolithic millimeter-wave integrated circuits for use in phased-array radar. He returned to the U.K. in 1983 to take up a lectureship in telecommunications with Queen Mary College, University of London, U.K. In 1986, he joined University College London (UCL), London, U.K., where he is currently Professor of Optoelectronics and Head of the Department of Electronic and Electrical Engineering. He has authored or coauthored over 300 papers on microwave and optoelectronic devices and their systems applications. His current research interests include microwave bandwidth tunable semiconductor lasers, semiconductor optical modulators, mode-locked lasers, optical phase-locked loops, optical frequency synthesis, broadband wireless over fiber access systems, dense WDM networks, terahertz photonics, and nonlinear optical devices. Prof. Seeds is a Fellow of the Royal Academy of Engineering.

518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Miniaturized UWB Filters Integrated With Tunable Notch Filters Using a Silicon-Based Integrated Passive Device Technology Zhengzheng Wu, Student Member, IEEE, Yonghyun Shim, Student Member, IEEE, and Mina Rais-Zadeh, Member, IEEE

Abstract—This paper reports on the implementation of miniaturized ultra-wideband filters integrated with tunable notch filters using a silicon-based integrated passive device technology. An ultra-wideband bandpass filter is realized on a micromachined silicon substrate, showing an insertion loss of 1.1 dB, return loss of better than 15 dB, and attenuation of more than 30 dB at both lower and upper stop-bands, with a spurious-free response up to 40 GHz. The filter occupies only 2.9 mm 2.4 mm of die area. To address the in-band interference issues associated with ultrawideband communication, very compact tunable notch filters are monolithically integrated with the bandpass filters. A two-pole tunable notch filter integrated with an ultra-wideband filter provides more than 20 dB rejection in the 5–6 GHz range to reject U-NII interferences, with a total footprint of 4.8 mm 2.9 mm. The power handling, linearity, and temperature stability of filters are characterized and presented in this paper. Index Terms—Integrative passive devices (IPDs) and modules, interference suppression, MEMS or microelectromechanical systems, tunable filters, ultra-wideband.

U

I. INTRODUCTION

LTRA-WIDEBAND (UWB) has emerged as a fast growing technology since the Federal Communications Commission (FCC) approved the unlicensed use of the frequency spectrum from 3.1 to 10.6 GHz [1]. The allocated wide spectrum enables Impulse Radio-UWB (IR-UWB), which is based on transmitting and detecting short duration pulses. In contrast to narrowband systems, IR-UWB is carrier-less, greatly simplifying the RF front-end by using all-digital transmitters [2], [3] and receivers that do not require power hungry RF oscillators or PLLs [4], [5]. The low-cost and energy-efficient IR-UWB scheme is a good candidate for several applications, such as wireless sensor networks and handheld devices. UWB communication stimulates both opportunities and challenges in the design and implementation of fully integrated RF front-ends [2]–[6]. Still, a major impediment to the wide adoption of UWB technology is the issue of narrowband interferences that might exist in the same frequency range. The FCCManuscript received September 26, 2011; revised November 07, 2011; accepted November 18, 2011. Date of publication January 16, 2012; date of current version March 02, 2012. This work was supported in part by the National Science Foundation under Award 1055308 and in part by the University of Michigan, Ann Arbor. The authors are with the University of Michigan, Ann Arbor, MI 48109 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2178428

regulated low UWB emission power ( 41.3 dBm/MHz) necessitates interference mitigation techniques. Circuit design techniques, such as frequency selective receivers, have been explored to reject the interferences using active filters, but at the cost of increased CMOS chip area and higher power consumption [7], [8]. Alternatively, RF front-ends exploiting only subbands of the UWB frequency range have been used to address the interference issue [9], [10], although with reduced communication capacity. It is known that RF preselect filtering greatly relaxes the receiver linearity requirement and reduces the gain desensitization due to strong interferers. Also, for IR-UWB, filters used in transmitters can regulate the emission power of short duration pulses to comply with the FCC spectral mask and eliminate the use of additional pulse shaping circuits as in [11]. Therefore, low-loss, highly selective, and integrated passive UWB filters are needed. So far, reported works have focused on UWB filter miniaturization based on microstrip, coplanar waveguide (CPW), or quasi-lumped components on low-loss microwave substrates such as FR4, LTCC, or LCP [12]–[19]. These filter implementations are developed mostly as standalone components. Our recent work demonstrated, for the first time, low-loss and miniaturized UWB filters fully integrated with fixed notch filters on silicon substrates using an integrated passive devices (IPD) technology [20]. In this paper, the proposed IPD technology is employed to fabricate UWB filters integrated with RF microelectromechanical system (MEMS) tunable notch filters. The tunable notch filters in this work are some of the most compact filters reported [21]–[28] that provide interference rejection of more than 20 dB (in 4.9–6.5 GHz frequency range) and low passband loss. Integration of UWB filters with tunable notches allows detect-and-avoid (DAA) mechanism, which can address the issue of interferences. Using the multiband orthogonal frequency division multiplexing (MB-OFDM), the DAA-enabled UWB radio can form the basis for cognitive radio implementation [29]. In this paper, first the IPD technology will be introduced. Then, the UWB and tunable notch filter design techniques that achieve size miniaturization and high-performance filtering will be discussed. Finally, integration result of the UWB filter with the tunable notch filter will be presented and characterization results of filters will be discussed. The presented filter technology is suitable for flip-chip assembly or multi-chip-module (MCM) integration with CMOS ICs, making the implementation of highly integrated UWB RF front-end modules realizable.

0018-9480/$31.00 © 2012 IEEE

WU et al.: MINIATURIZED UWB FILTERS INTEGRATED WITH TUNABLE NOTCH FILTERS

519

Fig. 2. A SEM image of a cascaded bandpass filter on a micromachined substrate (size: 2.9 mm 2.4 mm). Inset shows the inductor on a SiON membrane.

Fig. 1. Conceptual view of the IPD multi-chip integration (top) and the process flow of the IPD technology (bottom).

II. FABRICATION PROCESS FLOW OF THE INTEGRATED PASSIVE DEVICE (IPD) TECHNOLOGY PLATFORM The UWB filters are fabricated using a silicon-based IPD technology, schematically shown in Fig. 1 (top). Using this process, RF MEMS tunable capacitors, switches, and highinductors can be simultaneously fabricated. When wafer-level packaged, the all-in-one IPD is expected to offer a more compact form factor and a lower cost passive integration scheme compared to other RF MEMS technologies. The fabrication process is shown in Fig. 1. The process starts with the deposition of a 2 -thick low-stress silicon oxynitride (SiON) dielectric layer on a high-resistivity silicon substrate. The processing steps are: (a) deposition and patterning of gold as the bottom electrode; (b) deposition of a dielectric layer for metal-insulator-metal (MIM) capacitors; (c) deposition and patterning of an amorphous silicon sacrificial layer; (d) etching the sacrificial layer to form a step for realizing high tuning ratio MEMS tunable capacitors and ohmic-contact dimples; (e) gold electroplating for the top metal electrode of MIM capacitors; (e) thick copper electroplating to form highinductive components; and (f) xenon difluoride gaseous etching of the amorphous silicon to form air-gap membrane, and selectively removing the silicon substrate to reduce the substrate loss. Where the silicon substrate is partially removed, the SiON dielectric membrane provides support for metal structures for enhanced mechanical robustness. The sacrificial layer step etch allows the formation of dual gaps for high analog tuning range ( 4:1) MEMS capacitors [30], [31], and contact dimples used in ohmic-contact MEMS switches [32]. III. DESIGN AND IMPLEMENTATION OF FILTERS Using the presented IPD platform, UWB bandpass filters as well as tunable planar notch filters are demonstrated. Filters are designed in co-planar configuration with proximate ground plane so that the electromagnetic field is confined on the surface of the substrate. Therefore, the performance of filters is not

Fig. 3. (a) High-pass filter circuit, and (b) layout of coupled inductors.

affected by backside metallization, variations in the substrate thickness, or the packaging layer. To accurately predict parasitic effects of the co-planar filter configuration, filters are simulated using the HFSS full-wave electromagnetic simulation tool [33]. In all HFSS simulations, the conductivity of electroplated copper is taken as and the loss tangent and conductivity of the silicon substrate are assumed to be 0.004, and 1 , respectively. In the following subsections, the design strategy of the UWB filters, tunable notch filters, and UWB filter with an integrated tunable notch will be discussed, and the simulation results of the filters will be compared with measured results. A. Cascaded UWB Bandpass Filter Previously we reported on a UWB bandpass filter design composed of a cascade of low-pass and high-pass filter sections that offers low loss on both micromachined and solid silicon substrates (Fig. 2) [20]. The filter networks were synthesized from generalized Chebyshev configuration [34], providing steep rejection with a low-order design. Fig. 3(a) shows the circuit diagram of the high-pass filter section. From the filter synthesis and optimization, the value of the components for the high-pass filter having a cutoff frequency of 3.1 GHz and out-of-band rejection of 30 dB below 2 GHz can be obtained. The derived filter network contains a high-value inductor, , in the T-junction, which can be eliminated by transforming the T-junction into a pair of mutually coupled inductors [35]. The component values of the coupled inductor pair are listed in Table I. Mutual coupling of 0.2 is difficult to implement using either tightly coupled interleaved structures [36] or loosely coupled proximate inductor pairs. Therefore, a custom-designed inter-winded inductor pair is used [Fig. 3(b)]. The inter-winded pair has tight coupling in the inner turns and weak coupling in

520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 4. (a) Low-pass filter circuit and (b) layout of the coupled inductor pair. TABLE I COMPONENTS IN THE HIGH-PASS FILTER

TABLE II COMPONENTS IN THE LOW-PASS FILTER

Fig. 6. Circuit implementation of the notch filter.

Fig. 5. Measured response of the cascaded high-pass–low-pass bandpass filter on a micromachined substrate (silicon is removed beneath inductors). (a) Insertion loss and return loss (with closed-up view of passband performance inset). (b) Group delay.

lower ( 2 GHz) and upper ( 13 GHz) sides of the passband. A spurious-free response up to 40 GHz is obtained. The group delay is less than 0.25 ns. B. Tunable Notch Filter

the outer turns of the inductors, offering the desired mutual coupling of 0.2. The relatively small size of these mutually coupled inductors is the main contributing factor in significant size reduction of the high-pass filter. The circuit diagram of the low-pass filter section of the UWB filter is shown in Fig. 4(a). Inductors and are purposefully coupled by placing them in close proximity, as depicted in Fig. 4(b). As a result, the transmission zero is moved close to the passband to improve the roll-off at the edge of the high-frequency cutoff. The component values used in the low-pass filter are listed in Table II. It can be seen that the substrate parasitic capacitances in the filter can be absorbed into filter components , , and , making it possible to achieve a low-loss filter at frequencies up to 10 GHz [20]. Fig. 5 shows the measured and simulated responses of a cascaded (high-pass–low-pass) UWB bandpass filter on a micromachined silicon substrate. The cascaded UWB filter has a bandwidth of 7.6 GHz (3–10.6 GHz) within which the return loss is better than 15 dB. The mid-band insertion loss of the filter is 1.1 dB (at 6.85 GHz). This filter exhibits an excellent out-of-band rejection of at least 30 dB at

UWB communication using bandpass filters in the receiver may suffer from strong in-band interferers. The center frequencies of in-band interferences may not be known in advance. Considering the IEEE 802.11a interferers, for example, narrowband interferers can appear in a range of 4.9–5.85 GHz. Therefore, a fixed notch filter cannot completely resolve the interference problem of UWB filters. It is also necessary that notch filters have sufficient rejection level across the communication band of interferers. As such, analog tuning of a notch filter with high rejection level is required to block unknown interferers. Reported works have been focused on the design of fixed-frequency narrowband notch filters embedded with UWB bandpass filters [12], [16]–[18], [20]. In this paper, we demonstrate tunable notch filters that can be monolithically integrated with UWB filters to mitigate the interference issue. The design of miniaturized notch filters in this work is based on the configuration of coupled transmission line bandstop filters [37]. As can be seen in Fig. 6, the first-order notch filter cell is obtained by loading a pair of coupled transmission lines with a capacitor for reduced electrical length. If the even-mode and

WU et al.: MINIATURIZED UWB FILTERS INTEGRATED WITH TUNABLE NOTCH FILTERS

521

odd-mode impedances ( and ) of a coupled line are designed to match the port impedance , i.e., (1) the four-port -parameter matrix of a pair of symmetrical coupled transmission line with electrical length can be written as [38]

(2)

Fig. 7. Fractional bandwidth ( 10 dB) of the notch filter versus center freat 5.25 GHz, coupling coefficients quency. (a) Different electrical lengths . (b) Different values, at 5.25 GHz.

where (3)

TABLE III COMPONENT VALUES OF THE TUNABLE NOTCH FILTER

(4) is the coupling coefficient defined as (5) When Port 3 is grounded, the reflection coefficient at Port 3 is (6) Further, when Port 4 is terminated with impedance reflection coefficient at Port 4 is

, the (7)

With these terminations on Ports 3 and 4, the coupled line becomes a two-port network with -parameters of (8) (9) terminating When there is an ideal capacitive load Port 4, the two-port network is a bandstop network. By solving , the notch center frequency, can be found. (10) The bandwidth of the notch filter can be found from (8)–(10). For example, the 10 dB bandwidth of can be obtained by solving . The 10 dB fractional bandwidth versus notch center frequency is plotted in Fig. 7 for various electrical lengths and coupling coefficients . To further reduce the filter size, the coupled transmission line section is transformed into a lumped LC coupler [39], as seen in Fig. 6. Using this lumped transformation, narrowband notch filters can be designed using low-value inductors, making it possible to achieve high- , small size, and improved filter shape compared to conventional bandstop LC filters [21]–[23]. Although the lumped conversion is in principle a narrowband approximation of the coupled transmission line configuration, the converted lumped network has low passband insertion loss up

Fig. 8. Fractional bandwidth and load capacitance filter versus center frequency.

of the lumped notch

to very high frequencies. In designing the lumped coupled inductors, parasitic capacitors need to be taken into account as part of the even-mode and odd-mode capacitances , as depicted in Fig. 6. A lumped notch filter is transformed from a coupled line filter with electrical length of 31.5 at 5.25 GHz and coupling coefficient of 0.51, which results in a pair of inductors with inductance of 0.93 nH and mutual coupling coefficient (k) of 0.51 (Table III). If a tunable capacitor with tuning range from 0.4 to 1.8 pF is used, the notch center frequency can be tuned from 7 to 3.7 GHz. The expected frequency tuning as well as the 3 and 10 dB fractional bandwidths across the tuning range is plotted in Fig. 8. Dual-gap MEMS tunable capacitors are utilized to achieve continuous frequency tuning. As can be seen in the SEM image and cross-sectional view shown in Fig. 9, the narrow center gap defines the tunable RF capacitor , while the wider side-gap is used for electrostatic actuation. This configuration overcomes the pull-in effect of electrostatic actuators [30]. A fabricated dual-gap MEMS capacitor is tuned from 0.38 to 2.1 pF (5.5:1) when measured at 500 MHz (Fig. 9). The equivalent circuit model of the RF MEMS tunable capacitor is plotted in Fig. 9, and the equivalent component values are

522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 9. A SEM image, measured tuning results, cross-sectional view, and circuit model of a fabricated dual-gap MEMS capacitor.

TABLE IV COMPONENT VALUES IN THE MEMS CAPACITOR MODEL

TABLE V PARAMETERS OF DUAL-GAP MEMS CAPACITOR

Fig. 10. A SEM image of a fabricated two-pole tunable notch filter together with the circuit schematic of the tunable notch filter cell.

given in Table IV. The design of the MEMS capacitors involves several tradeoffs among various parameters including , tuning voltage, linearity, and tuning speed [31]. The most important parameter depends on the application and the capacitor design may be optimized to achieve a specific goal. There is no stringent requirement on the tuning speed of the notch filter if the existing interferences do not change frequently. Therefore, continuously tuned MEMS capacitors that offer a tuning speed on the order of 100 seems reasonable for the filter design. Low tuning bias voltage is preferred as it reduces the power consumption of DC converters and simplifies the implementation of the tuning bias circuit. However, a capacitor with low tuning bias suffers from insufficient power handling capability, as will be discussed in Section IV. The designed parameters of the RF MEMS tunable capacitor used in the tunable notch filter are summarized in Table V. As can be seen in Fig. 6, the RF MEMS tunable capacitor forms a series LC resonator in the notch filter. Also, the MEMS tunable capacitor has a series parasitic inductance, (see Fig. 9). The parasitic inductance of the MEMS capacitor is absorbed into the main inductor of the tank. Therefore, the of the MEMS capacitors is improved at high frequencies and the

Fig. 11. Measured tuning characteristics of a two-pole tunable notch filter. (a) Insertion loss. (b) Return loss at different bias levels.

usable frequency range of the device is extended beyond the self-resonant frequency predicted by the conventional extraction method using -parameters: . A two-pole tunable notch filter is realized by cascading two first-order notch cells (Fig. 10). The measured tuning characteristic of the two-pole notch filter is shown in Fig. 11. A tuning range of 3 GHz (6.5–3.5 GHz) is achieved by applying a DC bias voltage up to 17 V to the MEMS capacitors. The tunable notch filter maintains low passband loss ( 1 dB) up to 13 GHz at all tuned states.

WU et al.: MINIATURIZED UWB FILTERS INTEGRATED WITH TUNABLE NOTCH FILTERS

523

The FCC indoor mask is overlapped on the filter responses in Fig. 13. Although the current design does not fully satisfy the FCC mask, compliance to FCC mask can be met by slightly reducing the filter bandwidth to account for the brick wall passband transition [15]. Table VI compares the tunable filters in this work with other recently reported works. As highlighted in the table, the filters implemented in this work achieve a significant size reduction (of 10 ) with a competitive performance. Also, monolithic tunable UWB filters are for the first time realized in a silicon IPD technology. Fig. 12. A SEM image of the fabricated UWB filter integrated with a two-pole tunable notch filter (overall size: 4.8 mm 2.9 mm).

IV. FILTER LINEARITY AND TEMPERATURE STABILITY In addition to small-signal performance, power handling and linearity are also important performance metrics for tunable RF filters. The RF signal that passes through RF MEMS devices has an effective DC bias and causes self-actuation. As a result, at higher RF powers, the tuning range of the MEMS capacitor will be limited [30], [40]. In addition, intermodulation signals are generated due to the nonlinearity of MEMS devices [41], [42]. It should be noted that the AC voltage swing across the MEMS capacitor in a tunable LC circuit is amplified by the of the tank. Therefore, estimation of the power handling and linearity performance is essential when designing tunable filters. A. Power Handling The power handling of the MEMS capacitor is limited to an RF power level that causes the membrane to pull-in [41]. The maximum RF voltage that can be applied to a dual-gap MEMS capacitor at various DC bias before pull-in is analyzed in [30]. For the designed dual-gap MEMS capacitor of Fig. 9, the maximum tolerable RF voltage swing (peak-to-peak voltage) versus center frequency of the designed notch is plotted in Fig. 14. As can be seen, the maximum allowed RF voltage swing is larger than 1.6 V if the notch filter is tuned to frequencies above 5 GHz. Therefore, the tunable notch filter in this work is suitable for UWB receivers. Higher power handling can be achieved by increasing the stiffness of the RF MEMS capacitors at the cost of increased DC bias.

Fig. 13. Measured and simulated responses of the UWB bandpass filter integrated with a two-pole tunable notch filter (State 1: notch center at 5.25 GHz; State 2: notch center at 5.8 GHz). (a) Insertion loss. (b) Return loss and group delay.

C. UWB Filters With Integrated Notch Filters The two-pole tunable notch filter is cascaded with UWB bandpass filters to allow in-band interference rejection capability in a DAA fashion (Fig. 12). Measured and simulated frequency responses of the filter at two tuning states are shown in Fig. 13. The two states are set to reject the interferences from 802.11a, at either the IEEE 802.11a lower band (5.15–5.35 GHz) or the higher band (5.725–5.825 GHz). The measured rejection level of the tunable notch filter is better than 20 dB covering the 5–6 GHz Unlicensed National Information Infrastructure (U-NII) band. The UWB passband insertion loss is less than 2.7 dB. The size of the UWB filter integrated with the two-pole tunable notch filter is 4.8 mm 2.9 mm (Fig. 12).

B. Linearity To study the linearity performance of the fabricated tunable notch filter, a nonlinear model is developed for the MEMS capacitor using the method discussed in [42]. Modifications are made to accurately reflect the dual-gap configuration as well as the separate DC bias and RF electrodes using the device characteristics summarized in Table V. The capacitor model is then included in the two-pole tunable notch filter to predict the linearity and the large signal behavior. The two-pole notch filter is tuned to 5.25 GHz, a typical tuning state to reject the interference from WLAN. Agilent ADS [43] Harmonic Balance simulation is used to predict the filter large signal behavior. In simulations, two-tone signals are applied to the notch filter with center frequency of 5.25 GHz, frequency offset of 1 kHz, and input power of 10 dBm (amplitude of 0.1 V across a 50 termination). Because of the high resonator , the voltage swing at 5.25 GHz across the tunable capacitor is amplified to more

524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

COMPARISON

OF

TABLE VI UWB FILTERS WITH NOTCH

Fig. 14. Maximum allowed RF voltage swing (peak-to-peak voltage) of the notch filter.

Fig. 16. Output spectrum with input offset of (a) 1 kHz and (b) 10 MHz.

Fig. 15. Displacement of the tunable capacitor membrane at input power of 10 dBm.

than 0.5 V peak-to-peak. The displacement of the MEMS capacitor membrane under such two-tone input power is plotted in Fig. 15. As shown, the displacement fluctuation of the MEMS capacitor membrane under such high voltage swing (0.5 V) is less than 3.5 nm. This is due the fact that the membrane has a mechanical resonant frequency of less than 10 kHz (Table V). The low-pass mechanical response of the MEMS device significantly attenuates the high-frequency membrane vibration. The observed membrane movement is a response to the intermodulations that generate a signal tone at 1 kHz and excite the capacitor membrane through electrostatic force in the RF gap. When applying two-tone signals with larger frequency offsets ( 10 kHz), the frequency of the intermodulation tone is beyond

the mechanical resonant frequency of the membrane and even smaller vibration is expected. Based on two-tone Harmonic Balance simulations, the output spectrum of the UWB filter integrated with tunable notch filter is plotted in Fig. 16. The two-pole notch filter is tuned to 5.25 GHz when the two-tone inputs have a center frequency of 5.25 GHz and input power of 10 dBm. In Fig. 16(a) and (b), two-tone inputs with a frequency offset of 1 kHz and 10 MHz are applied, respectively. It can be observed that there is higher harmonic output power around 5.25 GHz when two-tone inputs have 1 kHz offset. However, such inter-modulation tones are within the stopband of the notch filter, and they do not cause additional interference to the UWB passband. As can be observed in Fig. 16(b), when the frequency offset of the two-tone input (10 MHz) is far beyond the mechanical resonant frequency of the MEMS capacitor (i.e., 9 kHz), the intermodulation signals are highly attenuated. Therefore, very low harmonic output power is observed. It can be also found that very low-power intermodulation tones are observed across the entire UWB passband (Fig. 16). The notch filter nonlinearity does not produce additional interferences to the UWB passband.

WU et al.: MINIATURIZED UWB FILTERS INTEGRATED WITH TUNABLE NOTCH FILTERS

525

Fig. 18. Frequency stability of the UWB bandpass filter edges. (a) High-pass edge. (b) Low-pass edge.

Fig. 17. Output spectrum with input offset of (a) 1 kHz; (b) 9 kHz; (c) 1 MHz; and (d) 20 MHz.

Two-tone measurements are also carried out to verify the inter-modulation outputs generated from the tunable filter. A two-tone input is applied at the center frequency of 5.25 GHz with input power of 10 dBm when the two-pole tunable notch filter is tuned to 5.25 GHz. The measured output spectra with frequency offset of 1 kHz, 9 kHz, 1 MHz, and 20 MHz are shown in Fig. 17(a)–(d). It can be found that intermodulation output terms are attenuated as the input frequency offset increases, which is consistent with the simulation results using the nonlinear RF MEMS model. The extracted third-order input intercept point from the measurement is 10 dBm at 9 kHz frequency offset. The low mechanical of the membrane helps with avoiding linearity degradation when frequency offset is equal to the membrane natural resonance frequency. Significant linearity improvement can be obtained by using higher stiffness MEMS capacitor design or MEMS switched capacitors [41]. Although low bias voltage MEMS capacitor design is employed in this work, it is shown that the intermodulation terms generated due to the device nonlinearity do not cause additional interference across the whole UWB passband. These nonlinear analysis results show unique benefits of RF MEMS devices used in tunable bandstop filters. C. Temperature Stability The temperature stability of the fabricated micromachined filters are examined using a temperature-controlled probe station. The frequency response of the UWB filter is measured from to C to 70 C. The frequency stability of the UWB passband edges are plotted in Fig. 18. It can be observed that the passband edges are very stable under the temperature change, and the rejection level of the filter is maintained. A two-pole tunable notch filter is also characterized under temperature change (Fig. 19). The notch filter is tuned to 5.8 GHzf at room temperature. It can be seen that the measured center frequency drift is 5.6% when temperature is changed C to 70 C. The frequency shift because of temfrom perature change is significantly smaller than the electrostatic

Fig. 19. Frequency responses of the two-pole tunable notch filter at different temperatures.

frequency tuning range, which is more than 60% (Fig. 11). Therefore, frequency change due to temperature drift can be compensated by adjusting the bias on the tunable notch filter. Improvement to the temperature stability of the RF MEMS tunable notch filter can be achieved using a temperature-stable RF MEMS design [31]. V. CONCLUSION In this paper, a silicon-based IPD technology is developed for the implementation of miniaturized UWB bandpass filters as well as tunable notch filters. Design and characterization of UWB bandpass filters and tunable notch filters are presented. The fabricated filters exhibit high performances on a silicon substrate, which makes them suitable candidates for highly integrated UWB RF front-end modules. ACKNOWLEDGMENT The authors would like to acknowledge the staff of the Lurie Nanofabrication Facility at the University of Michigan for their assistance with fabrication. REFERENCES [1] FCC Code of Federal Register (CFR), Title 47, pt. 15, United States. [2] P. P. Mercier, D. C. Daly, and A. P. Chandrakasan, “An energy-efficient all-digital UWB transmitter employing dual capacitively-coupled pulse-shaping drivers,” IEEE J. Solid-State Circuits, vol. 44, no. 6, pp. 1679–1688, Jun. 2009. [3] Y. Park and D. D. Wentzloff, “An all-digital 12 pJ/pulse IR-UWB transmitter synthesized from a standard cell library,” IEEE J. SolidState Circuits, vol. 46, no. 5, pp. 1147–1157, May 2011. [4] F. S. Lee and A. P. Chandrakasan, “A 2.5 nJ/bit 0.65 V pulsed UWB receiver in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2851–2859, Dec. 2007.

526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[5] F. Zhang, A. Jha, R. Gharpurey, and P. Kinget, “An agile, ultra-wideband pulse radio transceiver with discrete-time wideband-IF,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1336–1351, May 2009. [6] T. Kikkawa, P. K. Saha, N. Sasaki, and K. Kimoto, “Gaussian monocycle pulse transmitter using 0.18 CMOS technology with on-chip integrated antennas for inter-chip UWB communication,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1303–1312, May 2008. [7] A. Vallese, A. Bevilacqua, C. Sandner, M. Tiebout, A. Gerosa, and A. Neviani, “Analysis and design of an integrated notch filter for the rejection of interference in UWB systems,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 331–343, Feb. 2009. [8] G. Cusmai, M. Brandolini, P. Rossi, and F. Svelto, “A 0.18CMOS selective receiver front-end for UWB applications,” IEEE J. SolidState Circuits, vol. 41, no. 8, pp. 1764–1771, Aug. 2006. [9] A. Medi and W. Namgoong, “A high data-rate energy-efficient interference-tolerant fully integrated CMOS frequency channelized UWB transceiver for impulse radio,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 974–980, Apr. 2008. [10] R. Roovers, D. M. W. Leenaerts, J. Bergervoet, K. S. Harish, R. C. H. van de Beek, G. van der Weide, H. Waite, Y. Zhang, S. Aggarwal, and C. Razzell, “An interference-robust receiver for ultra-wideband radio in SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2563–2572, Dec. 2005. [11] T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y. Ogata, S. Kobayashi, N. Koshizuka, and K. Sakamura, “A UWB-IR transmitter with digitally controlled pulse generator,” IEEE J. SolidState Circuits, vol. 42, no. 6, pp. 1300–1309, Jun. 2007. [12] Z. C. Hao and J. S. Hong, “Ultrawideband filter technologies,” IEEE Microw. Mag., vol. 11, no. 4, pp. 56–68, Jun. 2010. [13] H. Liang, K. Wu, and X. Zhang, “Development of packaged ultra-wideband bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 220–228, Jan. 2010. [14] Y. Chen, S. Chang, C. Wei, Y. Wang, and C. H. Huang, “Packaged ultra-wide-band bandpass filter based on inverted-T multiple-mode resonators and inverted-F impedance transformers,” IEEE Microw. Mag., vol. 11, no. 1, pp. 126–129, Feb. 2010. [15] Z. C. Hao and J. S. Hong, “UWB bandpass filter Using cascaded miniature high-pass and low-pass filters with multilayer liquid crystal polymer technology,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 941–948, Apr. 2010. [16] H. Shamanand and J. S. Hong, “Ultra-wideband (UWB) bandpass filter with embedded band notch structures,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 193–195, Mar. 2007. [17] Z. C. Hao and J. S. Hong, “Compact UWB filter with double notch-bands using multilayer LCP technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 500–502, Aug. 2009. [18] X. Luo, J. G. Ma, K. S. Yeo, and E. P. Li, “Compact ultra-wideband (UWB) bandpass filter with ultra-narrow dual-and quad-notched bands,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 6, pp. 1509–1519, Jun. 2011. [19] H. R. Arachchige, J. S. Hong, and Z. C. Hao, “UWB bandpass filter with tunable notch on liquid crystal polymer substrate,” in Asia-Pacific Microw. Conf., Dec. 2008, pp. 1–4. [20] Z. Wu, Y. Shim, and M. Rais-Zadeh, “Miniaturized UWB bandpass filters integrated with notch filters using a silicon-based integrated passive device technology,” in IEEE/MTT-S Int. Microw. Symp., Jun. 2011, pp. 1–4. [21] W. D. Yan and R. R. Mansour, “Compact tunable bandstop filter integrated with large deflected actuators,” in IEEE/MTT-S Int. Microw. Symp., Jun. 2007, pp. 1611–1614. [22] H. S. Lee, D. H. Choi, and J. B. Yoon, “MEMS-based tunable LC bandstop filter with an ultra-wide continuous tuning range,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 710–712, Nov. 2009. [23] E. Lourandakis, M. Schmidt, S. Seitz, and R. Weigel, “Tunable lumped element filters with BST thin-film varactors,” in Eur. Microw. Conf., Oct. 2008, pp. 1691–1694. [24] I. Reines, S. J. Park, and G. M. Rebeiz, “Compact low-loss tunable X-band bandstop filter with miniature RF-MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1887–1895, Jul. 2010. [25] C. Musoll-Anguiano, I. Llamas-Garro, Z. Brito-Brito, L. Pradell, and A. Corona-Chavez, “Characterizing a tune all bandstop filter,” in IEEE/ MTT-S Int. Microw. Workshop Series on Signal Integrity and HighSpeed Interconnects, Feb. 2009, pp. 55–58.

[26] Z. Brito-Brito, I. Llamas-Garro, L. Pradell-Cara, and A. CoronaChavez, “Microstrip switchable bandstop filter using PIN diodes with precise frequency and bandwidth control,” in Eur. Microw. Conf., Oct. 2008, pp. 1707–1710. [27] D. R. Jachowski and C. Rauscher, “Frequency-agile bandstop filter with tunable attenuation,” in IEEE/MTT-S Int. Microw. Symp. Digest, Jun. 2009, pp. 649–652. [28] B. Smilowitz, “High speed varactor tuned notch filter,” in IEEE/MTT-S Int. Microw. Symp. Digest, June 1985, pp. 531–534. [29] R. Gharpurey and P. Kinget, Ultra Wideband: Circuits, Transceivers and Systems. Boston, MA: Springer, 2008, pp. 60–61. [30] T. G. S. M. Rijks et al., “Micro-electro-mechanical tunable capacitors for reconfigurable RF architectures,” J. Micromech. Microeng., vol. 16, no. 3, pp. 601–611, Mar. 2006. [31] Y. Shim, Z. Wu, and M. Rais-Zadeh, “A high-performance temperature-stable continuously tuned MEMS capacitor,” in IEEE Int. Conf. Microelectromech. Syst. (MEMS’11), Cancun, Mexico, 2011, pp. 752–755. [32] C. D. Patel and G. M. Rebeiz, “An RF-MEMS switch with mN contact forces,” in IEEE/MTT-S Int. Microw. Symp. Digest, May 2010, pp. 1242–1245. [33] “HFSS 1”. Ansoft Corporation, Pittsburgh, PA, 2009. [34] J. D. Rhodes and S. A. Alseyab, “The generalized Chebyshev low-pass prototype filter,” Int. J. Circuit Theory Appl., vol. 8, no. 4, pp. 113–125, Apr. 1980. [35] C. W. Tang and D. L. Yang, “Realization of multilayered wide-passband bandpass filter with low-temperature co-fired ceramic technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1668–1674, Jul. 2008. [36] S. S. Mohan, C. P. Yue, M. del Mar Hershenson, S. S. Wong, and T. H. Lee, “Modeling and characterization of on-chip transformers,” in Int. Electron Devices Meeting, Dec. 1998, pp. 531–534. [37] B. M. Schiffman and G. L. Matthaei, “Exact design of band-stop microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 12, no. 1, pp. 6–15, Jan. 1964. [38] D. M. Pozar, Microwave Engineering. Hoboken, NJ: Wiley, 2005. [39] J. Hogerheiden, M. Ciminera, and G. Jue, “Improved planar spiral transformer theory applied to a miniature lumped element quadrature hybrid,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 543–545, Apr. 1997. [40] A. Cruau, P. Nicole, G. Lissorgues, and C. M. Tassetti, “Influence of RF signal power on tunable MEMS capacitors,” in Eur. Microw. Conf., Oct. 2003, vol. 2, pp. 663–666. [41] G. M. Rebeiz, RF MEMS: Theory, Design, and Technology. Hoboken, NJ: Wiley, 2003. [42] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [43] “Advanced Design System 2009A”. Agilent Technol. Inc., Palo Alto, CA, 2009.

Zhengzheng Wu (S’09) received the B.S. degree in microelectronics from Fudan University, Shanghai, China, in 2005, and the M.S. degree in microelectronics from the Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai, China, in 2009. Currently, he is working towards the Ph.D. degree in electrical engineering and computer science at the University of Michigan, Ann Arbor. During the summer of 2011, he was an Intern with Samsung Telecommunications America, Dallas, TX, where he was involved in developing multiband RF power amplifiers for wireless handsets. His research interests include MEMS for wireless applications and timing references, tunable RF filters and passive circuits, circuits for wireless transceivers, and integrated Microsystems. Mr. Wu received the Rackham International Student Fellowship from the University of Michigan for 2010–2011. He was a student paper competition finalist at the 2011 International Microwave Symposium.

WU et al.: MINIATURIZED UWB FILTERS INTEGRATED WITH TUNABLE NOTCH FILTERS

Yonghyun Shim (S’09) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 2007, and the M.S.E. degree in electrical engineering and computer science from the University of Michigan, Ann Arbor, in 2009. Currently, he is working towards the Ph.D. degree in electrical engineering and computer science at the University of Michigan, Ann Arbor. His research interests include micromachined RF front-end filters, RF MEMS passives, RF IC and wireless front-end, and CMOS-MEMS integration.

527

Mina Rais-Zadeh (S’03–M’08) received the B.S. degree in electrical engineering from the Sharif University of Technology ,Tehran, Iran, and the M.S. and Ph.D. degrees in electrical and computer engineering from Georgia Institute of Technology, Atlanta, in 2005 and 2008, respectively. From August 2008 to 2009, she was a Postdoctoral Research Fellow with the Integrated MEMS Group, Georgia Institute of Technology. Since January 2009, she has been with the University of Michigan, Ann Arbor, where she is currently an Assistant Professor in the Department of Electrical Engineering and Computer Science. Her research interests include passive micromachined devices for communication applications, resonant micromechanical devices, gallium nitride MEMS, and micro/nano fabrication process development. Prof. Rais-Zadeh is the recipient of the NSF CAREER Award (2011), the IEEE Electron Device Society Early Career Award (2011), and finalist in the student paper competitions at the SiRF (2007) and IMS (2011) conferences. She serves as a member of the technical program committee of IEEE IEDM, IEEE Sensors, and Hilton Head workshop.

528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Stability and Bifurcation Analysis of Self-Oscillating Quasi-Periodic Regimes Almudena Suárez, Elena Fernández, Franco Ramírez, and Sergio Sancho

Abstract—An in-depth stability and bifurcation analysis of self-oscillating quasi-periodic solutions is presented. It is based on the formal analysis of the frequency-domain characteristic system, with a high degree of complexity due to the repetition of singularities at the intermodulation frequencies of the quasi-periodic spectrum. The problem is tackled by relating the system singularities to the Lyapunov exponents so that equivalent singularities of the frequency-domain system are mapped into the same Lyapunov exponents. The study is illustrated by means of its application to a self-oscillating power amplifier, which is used here as a test bench. The main types of qualitative behavior versus relevant circuit parameters, such as the bias voltage and input power, are distinguished and analyzed in detail. The influence of the transistor biasing on the number of oscillatory solutions is studied, as well as the effect of these coexisting solutions on the circuit response versus the input power. Two types of hysteresis are identified and explained, as well as a co-dimensional 2 bifurcation, which leads to a qualitative change in the structure of the quasi-periodic solution curves. The analysis is validated with measurement results. Index Terms—Bifurcation, hysteresis, quasi-periodic regime, self-oscillating power amplifier (SOPA), stability analysis.

I. INTRODUCTION

I

NSTABILITY problems [1]–[11] are extremely common in microwave circuits, and when using frequency-domain analysis techniques, such as harmonic balance (HB), they give rise to a disconnection between the solution simulated and the solution measured [1]–[10]. A number of rigorous techniques have been presented for stability analysis of dc and periodic regimes, such as the Nyquist criterion applied to the HB characteristic determinant [1], [2], the normalized determinant function [3], [4], or pole-zero identification [5], [6]. However, the nominal operation of circuits such as frequency mixers or parametric amplifiers [12] corresponds to a quasi-periodic regime, with two incommensurate fundamental frequencies. In some cases, one of the fundamental frequencies is due to a self-oscillation [13], as in self-oscillating mixers [14], [15], which enable compact-size and low-cost frequency converters, or in the recently proposed self-oscillating power amplifiers (SOPAs), also known as limit-cycle amplifiers or asynchronous sigma–delta modulators [16]–[21]. In time domain, the stability of a quasiManuscript received June 23, 2011; revised December 16, 2011; accepted December 20, 2011. Date of publication January 31, 2012; date of current version March 02, 2012. This work was supported by the Spanish Ministry of Science and Innovation (TEC2011-29264-C03-01). The authors are with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, Santander 39005, Spain (e-mail: [email protected]; elena.fernandez; ramirezf,; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2184129

periodic solution is analyzed by linearizing the nonlinear system about this quasi-periodic solution in the presence of a small perturbation. The analysis is performed in terms of the Lyapunov exponents [22], [23], which are a set of real values that describe the average of contraction or expansion of the perturbation vector of the state variables. The quasi-periodic solution is stable when the amplitude of the perturbation vector decreases as time evolves. This is accomplished when all the Lyapunov exponents are negative. On the other hand, few previous studies [13], [24] have addressed the local stability analysis of quasi-periodic solutions with techniques based on HB, and no work to our knowledge has aimed at obtaining the Lyapunov exponents from a frequency-domain analysis of the circuit. The description of the stability properties of quasi-periodic solutions in terms of the Lyapunov exponents should allow deep insight into the possible bifurcation phenomena when a parameter is varied [7]. Here a formal analysis of the frequency-domain characteristic system resulting from the circuit linearization about a quasi-periodic solution is presented. Compared to the case of a linearization about a periodic solution, this system has a higher degree of complexity due to the repetition of singularities at the intermodulation frequencies of the steady-state quasi-periodic spectrum. This problem will be tackled by relating the system singularities to the Lyapunov exponents so that equivalent singularities of the frequency-domain system are mapped into the same Lyapunov exponents. From this theoretical study, it will be demonstrated that pole-zero identification can be applied for the stability analysis of quasi-periodic states. To our knowledge, it is the first time that this analysis is performed in the RF and microwave domain. The methodology is totally general and enables the stability analysis of quasi-periodic regimes of any kind, not only those in which one of the fundamentals is due to a self-oscillation. This paper is organized as follows. Section II presents the formal stability analysis of quasi-periodic solutions. Section III describes the SOPA test bench. Sections IV and V present the SOPA stability analysis in free-running conditions and in its nominal quasi-periodic regime, under variations in the transistor biasing and input power. Section VI analyzes the effect of the input signal modulation on the stability properties and the intermodulation distortion. II. FORMAL STABILITY ANALYSIS QUASI-PERIODIC SOLUTIONS

OF

Let a nonlinear system exhibiting a steady-state quasi-periodic solution with two incommensurate fundamental frequencies and be considered. Without loss of generality, it will

0018-9480/$31.00 © 2012 IEEE

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

be assumed, for simplicity, that the nonlinear system can be represented in state form, i.e., , where is the vector of state variables. Under a small instantaneous perturbation, the vector of state variables will become with the increment fulfilling the following linear differential equation: (1) where is the Jacobian matrix of the nonlinear function , evaluated at the quasi-periodic solution, with the fundamental frequencies and . The solution of system (1) can be expressed as

(2) where , , and is a set of independent solutions of (1), as easily deduced by replacing any of these solutions into (1). As the time-varying components are bounded in time, due to their quasi-periodic nature, the stability of is determined by the real part of the exponents . For compactness, the ordered frequency basis is introduced, which contains all the positive and negative intermodulation frequencies , including dc. The harmonic expression of the perturbation then becomes (3) in (1), Now, introducing the expression for each and equating intermodulation terms of the same orders, the following algebraic system is obtained: (4) contains the set of harmonic components , and the constant matrix corresponds to the frequency-domain representation of the Jacobian matrix in the basis . The complex numbers , where , are the generalized eigenvalues of (4). Each generalized eigenvalue has an associated eigenvector and it fulfills the characteristic equation . From inspection of (2), if is a system eigenvalue, then the whole set of complex numbers are also system eigenvalues. This can be easily demonstrated by redefining the set of vectors when ideally considering Fourier expansion of infinite order . Hence, the complete set . of system eigenvalues is constituted by In the particular case of a self-oscillating regime at the fundamental frequency , there will be natural invariance versus a phase shift in this frequency component since there is no input generator at , and therefore, no external time reference. As a result, there will be a generalized eigenvalue ,

529

Fig. 1. Cubic-nonlinearity oscillator with the element values V V , , nH, and nF. In the stability analysis mA and presented here, the input current source has the amplitude MHz. frequency

associated with the direction of invariance , for which the perturbations neither grow, nor decay. For illustration, the analysis has been applied to the cubicnonlinearity oscillator circuit in Fig. 1. With the input frequency MHz and input-current amplitude mA, this circuit operates as a self-oscillating mixer at the two fundamental frequencies MHz and MHz. The simplicity of the circuit enables an exhaustive determination of the generalized eigenvalues of (4). When described as in (1), the circuit in Fig. 1 has two state variables (two dimensions) so there should be two sets of equivalent eigenvalues. This is shown in Fig. 2(a), where the eigenvalues obtained through the resolution of (4) are indicated with squares. One of the sets is composed by the eigenvalues at all the intermodulation products , located on the imaginary axis. This set is associated with the system autonomy. The components of the other set of eigenvalues are given by with the same value (except for numerical errors). The value is negative [see Fig. 2(a)], which indicates the stability of the steady-state self-oscillating quasi-periodic regime. In the nonlinear-dynamics mathematical field [22], [23], the stability of a quasi-periodic solution is described in terms of its associated Lyapunov exponents [23]. These exponents describe the average of contraction or expansion of the perturbation vector . In this analysis, the state space is divided in subspaces fulfilling , with (5)

where

is a set of where of the perturbed system. Let belonging to the subspace

linearly independent solutions be a perturbation solution

(6) The Lyapunov exponents are then given by (7) is called the spectrum of Lyapunov exThe set ponents. Applying this analysis to system (1), subspaces are generated by the vectors , which constitute a basis of linearly independent solutions to (1). In order to simplify the analysis, let us assume that the basis has

530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

modulates the steady state, giving rise to a small perturbation in the state variables. The dynamics of the perturbed system is then governed by the following equation: (9) where is the system time-domain Jacobian matrix with respect to the current source. This source can be expressed in the frequency basis as (10) As a consequence, the perturbation of the state variables has the form (11) Introducing (10) and (11) in system (9), the following system in the Laplace domain is obtained: (12)

Fig. 2. Stability analysis of the quasi-periodic solution of the cubic nonlinearity oscillator in Fig. 1 (a) Squares: generalized eigenvalues, calculated from (4). . The frequency subinCrosses: poles obtained from the identification of tervals considered for this identification are indicated. (b) Normalized magni. tude of the different transfer functions

been ordered such that . Using the definition (7), the Lyapunov exponents are given by

and contain the harmonic components and where . Note that each of the perturbed harmonic components of each variable in is related to each of the harmonics in by a single-input–single-output (SISO) transfer function whose poles agree with the singularities of system (4). Let be the transfer function relating the th harmonic perturbation of a voltage and . To obtain the transfer function , only the th harmonic component in is activated in the form (13)

(8) The above equality is fulfilled because the quasi-periodic vecare bounded in time. The quasi-periodic solution will tors be unstable if any of its associated Lyapunov exponents has positive value. In the case of a stable self-oscillating quasi-periodic solution, all the Lyapunov exponents will be negative, except the one corresponding to the direction of invariance , which takes a zero value . Following an identical procedure to the one used in [5] for the case of periodic solutions, it is directly shown that the generalized eigenvalues will agree with the poles of any linear transfer function that can be defined in the system. In practical circuit analysis, the poles can be numerically obtained through pole-zero identification [5], [6], taking into account that all the possible closed-loop transfer functions that can be defined in a linear system will share the same denominator [5], [6], and therefore, will have the same poles. Here, for the stability analysis of a quasi-periodic solution, a small-signal current source is introduced into the circuit. This current source

where is the Kronecker delta. The system poles are then obtained applying pole-zero identification to the transfer function . As already mentioned, all the transfer functions have the same poles. Nevertheless, in some functions there could be pole-zero cancellations. To overcome this problem, it is convenient to obtain several transfer functions . Due to the collection of poles with zero real part, there must be resonances of the magnitude function at the frequencies . These resonances can be seen in Fig. 2(b). In this figure, the normalized magnitude of the transfer functions with and has been superimposed over the spectrum of the quasi-periodic steady-state solution. The pole resulting from the identification of are indicated with crosses in Fig. 2(a). This identification has been carried out with the tool STAN [5], [6]. For this analysis, should be varied between zero and the maximum frequency of the quasi-periodic spectrum. The fitting of with a quotient of polynomials in the whole frequency band is computationally very expensive so the band should be subdivided into intervals, which are chosen so as to obtain low fitting errors with relatively small identification order. Due to the influence of the zeroes, the resonances are less pronounced around the spectrum frequencies with lower amplitude so broader identification intervals can be considered at higher frequencies. In each sub-interval, the

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

531

Fig. 4. Output-power spectrum. Analysis of the SOPA linearity in comparison with that of the amplifier only. Dashed line: measurements (averaged). Solid line: simulations.

Fig. 3. SOPA, based on [16]–[18]. (a) Block diagram. (b) Schematic of the Class-D amplifier. (c) Amplifier photograph.

frequency step in is chosen so as to avoid passing through the frequencies of the steady-state quasi-periodic spectrum, which would give rise to singularities. The identification intervals used for the stability analysis of the circuit in Fig. 1 are shown in Fig. 2(a). As can be seen, there is very good agreement with the generalized eigenvalues (squares) obtained through the resolution of (4). III. DESCRIPTION OF THE SOPA TEST-BENCH In Class-D amplifiers, a high amplitude signal (switching signal) at the frequency makes the transistors switch between on and off stages and the output voltage has a rectangular waveform [24], [25]. The signal to be amplified, with lower frequency , gives rise to the pulsewidth modulation of the rectangular waveform at , as it changes the duration of the on–off-states of the transistor devices. The output waveform contains an average or baseband value dependent on the duty cycle of the rectangular waveform [25]. The undesired frequency components, with integer, are filtered before reaching the load. For the ideal maximum efficiency of 100%, the filter should exhibit infinite impedance at the switching frequency and higher harmonics and the transistor should switch between the on- and off-states with zero switching time. In the case of a SOPA, the Class-D amplifier [16]–[18] is inserted into a feedback loop [see Fig. 3(a)], which should enable an oscillation at the switching frequency , with no need for an extra source. Due to the fact that the loop is not clocked, no quantization noise is introduced, thus the over-switching ratio, or ratio between the oscillation frequency and the signal carrier, can be relatively low, unlike the oversampling ratio of delta–sigma modulators, which must be high in order to reduce the quantization noise [19]. The self-oscillation gives rise to a dithering effect in such a way that the amplifier gain is independent of the input amplitude until it approaches the self-oscillation amplitude [16]–[19]. The topology of the SOPA in Fig. 3 is based on the one proposed in [16]–[18]. It contains a comparator of the input

and feedback signals, a matching filter preceding the amplifier block, a feedback loop filter , and a low-pass filter . Here, the output filter consists of an LC stage, ended in 50 , which exhibits low impedance at the signal frequency and large impedance at the oscillation frequency and its harmonic components. The feedback path consists of a series LC resonator at the oscillation frequency, plus some additional impedance-transforming elements and a variable attenuator. An RF Class-D power amplifier topology [26]–[29] was used for the design of the SOPA prototypes, avoiding the need for the complementary transistors (e.g., NMOS–PMOS). The transistor used is an ATF33143 HFET (Avago Technologies). A switching frequency in the order of 750 MHz has been chosen to reduce the influence of modeling inaccuracies in the passive and active elements. The prototypes were built using a Rogers RO4003C substrate . To select the drain bias voltage, it has been taken into account that, for a given circuit design, the signal power at which the oscillation is extinguished (and thus, the linearity range) decreases with the oscillation amplitude, which, in turn, increases with . In the measurements, with MHz, the oscillation is extinguished at dBm for V, at dBm for V, and at dBm for V. The latter value is chosen here and held constant for the simulations and measurements. The drain efficiency is calculated as the ratio between the output power at the signal frequency and the dc supply power. For ideal transistor switching and output filter design, the efficiency would be independent of the signal power. In practice, it drops for very small signals, though as shown in [21], it can outperform the results obtained with other operation classes. Here the maximum attainable efficiency for gate-bias voltage V and V is 60%, which is in the order of the values provided by other authors [16]–[18]. Fig. 4 shows the simulated and measured output-power spectrum of the SOPA when a WCDMA-like signal is introduced centred at 200 MHz. The SOPA spectrum is compared to the one obtained with the amplifier only, i.e., without the feedback path (and thus, without self-oscillation), for the same average output power. When reducing , the usable input-power range decreases due to a more pronounced nonlinear behavior. In fact, beyond certain input power , jumps are observed between oscillations of different output power and frequency. Fig. 5 presents the experimental measurements of the oscillation frequency and

532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 5. Experimental observation of power and frequency jumps in the SOPA . The quasi-periodic solution curve obtained with two-tone when increasing HB, using an AG, is also represented for comparison.

output power versus . For V and dBm, the oscillation frequency is MHz. When increasing , the oscillation frequency suddenly jumps to MHz. On the other hand, for higher gate-bias voltage, hysteresis phenomena were observed in the upper boundary of the input power interval. For V, increasing the input power, the oscillation was extinguished at dBm. When reducing , it re-emerged at dBm. In Fig. 5, these results are compared with the quasi-periodic solution curve obtained with two-tone HB, using an auxiliary generator (AG) at the self-oscillation frequency [7], [30], [31]. The in-depth stability analysis of the SOPA will involve three different regimes, the dc solution of the system in Fig. 3, the periodic solution at the input frequency , for which the system does not self-oscillate, and the desired self-oscillating quasi-periodic solution at and . IV. STABILITY ANALYSIS OF THE PERIODIC FREE-RUNNING OSCILLATION The SOPA response when increasing the input power is highly dependent on the stability properties of the original free-running oscillation, i.e., in the absence of input signal. The evolution of the free-running oscillation versus the gate-bias voltage has been analyzed with an AG [7], [30], [31], which allows passing through the possible turning points (TPs) of the solution curve. Fig. 6 shows the variation of the internal oscillation amplitude versus . The oscillation curve decays to zero amplitude at point , occurring at the gate-bias voltage V, which is above the threshold V. Note that for all the gate-voltage values, there is a dc solution, which, in the oscillation interval, coexists with the oscillatory solutions. The multivalued nature of the curve in Fig. 6 can be explained with the aid of a simplified analytical model, based on the block diagram in Fig. 3. Assuming that the system exhibits an oscillation at the frequency , the voltage at the input of the amplifier can be expressed as . Thus, the system fulfills the following complex equation: (14) where and are the transfer functions of the linear blocks in the forward and feedback branches, respectively, and is

Fig. 6. Variation of the oscillation amplitude versus the gate-bias voltage in free-running conditions, i.e., in the absence of an input signal at .

Fig. 7. Amplifier nonlinear gain versus the amplitude of the periodic for two different gate-bias voltages V and signal at V. The intersections with provide the oscillatory solutions.

the amplifier nonlinear gain (assumed real), evaluated as the ratio between the first harmonic of the output voltage and . At a low gate-bias voltage (e.g., V), the transistor gain increases with the amplitude, as shown in Fig. 7, which is due to the fact that, in the presence of the periodic signal , the transistor conducts during an increasing fraction of the signal period [28]. However, from certain value of , the natural transistor saturation gives rise to a gain reduction, after passing through a local maximum. Due to this form of variation of the gain function, there will be two intersections of and , which approximately correspond to the two solutions of (14), as shown in Fig. 7. The application of the pole-zero identification [5], [6] to the dc solution, coexisting with the solution curve shown in Fig. 6, indicates that this dc solution is stable for gate-bias voltages below the point , and unstable for the rest of values. At point , the dc solution undergoes a Hopf-type bifurcation [1], [7], with a pair of complex conjugate poles at about the oscillation frequency crossing the imaginary axis to the right-hand side of the complex plane (RHP). Pole-zero identification has also been applied along the periodic oscillation curve in Fig. 6. The stable (unstable) sections of this curve are shown via the solid (dashed) line. The stability analysis shows that the upper section of the solution curve is stable, but at the TP, a real pole crosses the imaginary axis through zero. At the point , the same real pole crosses to the left-hand side of the complex plane (LHP).

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

533

Fig. 8. SOPA solution curves, traced in terms of the oscillation amplitude versus the input power, for different values of the gate-bias voltage of the transistor used.

V. STABILITY ANALYSIS OF THE SELF-OSCILLATING AMPLIFIER For the stability analysis of the SOPA, two cases will be considered: a gate-bias voltage below the Hopf bifurcation in the dc regime (Fig. 6) and a gate-bias voltage above this bifurcation. A. Stability Analysis for Gate-Bias Voltage Below the Hopf Bifurcation in DC Regime For small input power at the signal frequency , the number of SOPA solutions, for each value, will be the same as in free-running conditions (Fig. 6). However, in the presence of the input source at , the self-oscillating solutions will become quasi-periodic, with two fundamental frequencies input frequency and the oscillation frequency , respectively. The analysis has been performed with two-tone HB and a diamond truncation of order . In Fig. 8 the quasi-periodic solutions are traced versus for values below the Hopf bifurcation in the dc regime, occurring at the gate bias voltage V (Fig. 6). Two distinct solution curves (with different amplitude) are obtained for each value (in agreement with the two oscillation points obtained in Fig. 6), each represented by tracing the internal oscillation amplitude at versus . The two curves corresponding to the gate-bias voltage A in Fig. 6 are called A and A’ in Fig. 8, those corresponding to the gate-bias voltage B in Fig. 6 are called B and B’, etc. The same AG-based parameter-switching technique, explained at the beginning of Section III, is applied to pass through the turning points of these quasi-periodic solution curves, now considering two fundamental frequencies in the HB simulation: and . The oscillation frequency undergoes a small variation in the presence of the input source, but for all the quasi-periodic curves, it remains about MHz. The curves in Fig. 8 are flat up to certain , which approximately delimits the linear operation range with respect to the input source at . This range decreases with , in agreement with the experimental observations. Below V, the small amplitude curve arises from relatively high power. When increasing , the system makes a transition to the low amplitude curve at the TP of the curve with higher amplitude (see curves F and F’ in Fig. 8). In fact, the jump obtained in the experiment (Fig. 5) for low values leads to a regime with lower oscillation amplitude. The small curve is extinguished at approximately the same value as the high amplitude curves

Fig. 9. Power transfer curves for the bias voltages: (a) V and V. Input frequency MHz. Measurements are super(b) imposed.

obtained for larger (Fig. 8). For illustration, Fig. 9 shows the – curves for V (curves D and D’ in Fig. 6, as solid and dashed–dotted lines, respectively) and V (curves F and F’), with measurements superimposed. The significant amplitude jump at the oscillation frequency is associated with a smaller discontinuity at the signal frequency. The measurement points should correspond to the stable sections of the quasi-periodic solution curves. Each self-oscillating quasi-periodic solution (obtained for particular parameter values) coexists with a periodic solution at the input frequency for which the circuit is not self-oscillating. This solution is equivalent to the dc solution that coexists with the free-running oscillation of any oscillator circuit. At low , the stability of this solution will agree with that of the dc solution. When increases, the stability of the periodic solution at will undergo qualitative variations. An example, corresponding to V, is shown in Fig. 10(a), where the periodic solution has been represented by tracing the amplitude at versus . Fig. 10(b) shows the evolution of the dominant poles of the periodic solution at versus , corresponding to a pair of complex-conjugate poles at about the oscillation frequency. This pair of complex-conjugate poles shifts with . When increasing from a low value, the periodic solution curve, which is initially stable, becomes unstable at the Hopf bifurcation [see Fig. 10(a)]. At this bifurcation, the pair of complex-conjugate poles crosses the imaginary axis to the RHP [see Fig. 10(b)]. Note that the quasi-periodic curve A’ is extinguished at (Fig. 8). The same pair of poles [see Fig. 10(b)] crosses again to the LHP at the Hopf bifurcation , where the quasi-periodic solution A is extinguished (Fig. 8). For other gate-bias voltages (below the Hopf bifurcation in Fig. 6), the stability of the periodic solution at undergoes the same qualitative variations. The above result will be combined with the stability analysis of the quasi-periodic solutions in Fig. 8, carried out through

534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 11. Stability analysis of a particular quasi-periodic solution of the SOPA V, in the upper section of curve A in Fig. 8, obtained for dBm. (a) Magnitude of the transfer function. (b) Pole locus, indicating stable behavior. Fig. 10. Stability analysis of the periodic solution at (without self-oscillaat V. (a) Variation of the drain-voltage amplitude tion) versus versus . The solution points corresponding to the two Hopf bifurcaat tions are indicated. (b) Evolution of the dominant poles of this periodic solution . versus

the procedure described in Section II. As an example, Fig. 11 presents the stability analysis of a quasi-periodic solution corresponding to the upper section of curve A in Fig. 8. This solution has been obtained for V, dBm. The small-signal current source at the incommensurate frequency , used for the calculation of the transfer functions, is connected in parallel at one of the gate nodes. The circuit is then linearized with respect to this source, about the particular quasi-periodic solution at the fundamental frequencies and . In Fig. 11(a), the magnitude of the transfer function has been represented versus the perturbation frequency, covering the whole spectrum of the quasi-periodic solution. This frequency interval has been divided into several sub-intervals (indicated in the figure) for a more precise pole-zero identification. Fig. 11(b) presents the pole locus corresponding to , showing all the poles resulting from the identification in the various sub-intervals. As can be seen, the analysis predicts stable behavior since all the poles are on the LHP, except those associated with the solution autonomy, which are located on the imaginary axis. As can be expected, the accuracy degrades for poles located far from the imaginary axis since the associated resonances are less pronounced. The same result is obtained for other functions, calculated through linearization about the same quasi-periodic solution. In the following, the stability and bifurcation of the SOPA will be analyzed, taking into account that poles repeated about the intermodulation products map into the same set of Lyapunov expo-

Fig. 12. SOPA solution curves, represented in terms of the oscillation ampli, for V. The stable (unstable) sectude versus tions are traced in solid (dashed) line.

nents, as derived in Section II. The stability analysis will be carried out along the entire solution curves in Fig. 8 up to the oscillation extinction through an inverse Hopf bifurcation. In this way, it will be possible to check the agreement of the stability analysis of quasi-periodic solutions with the mathematical properties of Hopf bifurcations derived in [1], [32], and [33]. For the sake of clarity, the quasi-periodic solution curves corresponding to points A, D, and F in Fig. 6 V , representative of the different kinds of behavior observed in Fig. 8, have been retraced in Fig. 12. The stable and unstable sections of these curves are indicated with solid and dashed lines, respectively. 1) V. Quasi-Periodic-Solution Curves A and A’: According to Fig. 10(b), at the bifurcation point of

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

535

bifurcations, presented in [1], [8], [32], and [33]. In fact, taking into account the pole-zero identifications in Figs. 10(b) and 13, when increasing , at , the following transformation takes place: (15)

Fig. 13. Stability analysis of the quasi-periodic solutions A and A’. Evolution for V. of the real part of the dominant poles versus

the periodic solution at , the critical pair of complex-conjugate poles at the oscillation frequency is exactly on the imaginary axis [see Fig. 10(b)]. This is the point at which the quasi-periodic solution A’ is extinguished. Therefore, at , there must be also a degenerate quasi-periodic solution with zero amplitude at the intermodulation products , with . To preserve the system dimension, this degenerate quasi-periodic solution should have two Lyapunov exponents of value zero. One of the exponents, , is associated with the autonomy of the self-oscillation at . The other exponent is due to the fact that the Jacobian matrix of the degenerate quasi-periodic solution is singular at the bifurcation point. When moving away from the bifurcation along the quasi-periodic curve, one of the Lyapunov exponents remains at zero since it is associated with the system autonomy. The other one will vary continuously from zero. The above description is in agreement with the results of the pole-zero identification, shown in Fig. 13. In this figure, the real part of the dominant poles of the quasi-periodic curve A’ has been represented versus . At each parameter step, the identification has been carried out in two manners: considering the entire frequency interval, as in Fig. 11, and considering a smaller interval about the oscillation frequency, to be able to follow the pole evolution versus the parameter. Care has been taken to check the consistency of the two analyses. According to the demonstration in Section II, the two Lyapunov exponents with zero value at the Hopf bifurcation, and , should correspond to two pairs of complex-conjugate poles at the oscillation frequency with zero real part: , . This is confirmed in Fig. 13. When moving away from the bifurcation, the real part of one of the pairs remains at zero, whereas the real part of the other one increases with . In fact, the pair of complex-conjugate poles stays in the RHP for all the values, giving rise to the instability of the whole path A’. For tending to zero, the quasi-periodic solution A’ tends to the periodic free-running oscillation corresponding to point A’ in Fig. 6. This free-running solution is unstable, in total agreement with the results in Fig. 13. In the neighborhood of the bifurcation , the unstable quasi-periodic solution exists only before the bifurcation point (subcritical case) where it coexists with the stable periodic solution at . This result agrees with the analysis of Hopf

indicates quasi-periodic, periodic, stable, and where the superindex is the dimension of the unstable subspace. Compared with previous work, (15) provides additional information on the dimension of the unstable subspaces of the quasi-periodic regime. When further increasing , at the bifurcation point , the periodic solution becomes stable in an inverse Hopf bifurcation [see Fig. 10(b)]. Considering a small ball about the bifurcation point, it is not possible to have a stable solution after the bifurcation if no stable solution exists prior to this bifurcation. For consistency, a stable quasi-periodic solution must exist before the bifurcation. This can be verified in Fig. 13, where the real part of the critical poles of the solution curve A has also been represented, versus . The pair of poles has zero real part at the bifurcation point and for all the rest of values. The entire quasi-periodic curve A is stable from the bifurcation point down to W, where it tends to the stable free-running oscillation point A (Fig. 6). Note that the real part of the complex-conjugate poles at the oscillation frequency decreases significantly near the points of high slope in Curve A (shown in Fig. 12), which proves the accuracy of the analysis. In fact, this real part should be zero in the case of an infinite slope, which would lead to a singularity in the Jacobian matrix of the HB formulation. From the inspection of Fig. 12, at the Hopf bifurcation , the following transformation takes place: (16) which agrees with the second form of Hopf bifurcation, presented in [1], [8], [29], and [30]. 2) V. Quasi-Periodic Solution Curves D and D’: As the gate-bias voltage decreases, the two free-running oscillatory solutions, in the absence of the signal at , have a smaller amplitude difference (see Fig. 6) and so do the two SOPA curves. From a certain , the two coexisting curves start to exhibit turning points (Figs. 8 and 12). At each TP, a real pole (or a pair of poles at the oscillation frequency) should cross the imaginary axis. This has been verified applying pole-zero identification along the quasi-periodic curves D and D’. The results are shown in Fig. 14, where the real part of the critical poles of the solution curves D and D’ have been represented versus the input power. The lower section of the quasi-periodic curve D’ (Fig. 12), from to , is stable, with a pair of complex-conjugate poles at the oscillation frequency. This pair crosses the imaginary axis at (Fig. 14), where curve D’ becomes unstable. Due to the TP in curve D’ (Fig. 12), the Hopf bifurcation is qualitatively different from . The stable quasi-periodic solution generated at exists only after the bifurcation (supercritical case) and coexists with the unstable periodic regime. Therefore, the transformation occurring at is the reverse of the one

536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

C. Stability Analysis for Gate-Bias Voltage Above the Hopf Bifurcation in DC Regime

Fig. 14. Stability analysis of the quasi-periodic solutions D and D’. Evolution of the real part of the dominant poles versus the input power for V.

in (16). The second oscillation curve versus (curve D in Fig. 8), is stable at small signal, but at the TP , the pair of complex-conjugate poles at the oscillation frequency cross to the RHP, as shown in Fig. 14. At the point , the same pair of poles crosses again to the LHP. Thus, the section is stable and the Hopf bifurcation has the form in (16). B. Lower Gate-Bias Voltage V (Fig. 8), a At the gate-bias voltage merging takes place between high- and low-amplitude curves. This merging corresponds to a co-dimensional 2 bifurcation, requiring the fine tuning of two parameters (in this particular case, and ) [34]. Before this bifurcation, a real pole in the high (low) amplitude curve crosses the imaginary axis at . At the co-dimensional 2 bifurcation and merge (Figs. 12 and 14) and the two critical poles become tangential to the imaginary axis, instead of crossing this axis. After this bifurcation, the two unstable sections of the originally different curves form a single unstable path (see the unstable section of Curve F in Fig. 12) and the two stable sections form a single stable curve (see F’). After the tangency, one of the critical real poles is on the LHP (curve F’) and the other is on the RHP (lower section of curve F). Thus, for bias voltage below the co-dimensional 2 bifurcation , there are also two distinct solution curves, but their form is very different from the one obtained for . If the bias voltage is further reduced, the size of the curves, analogous to Curve F in Fig. 12, will decrease, in agreement with Fig. 6, and each new curve will be contained inside the previous one. As a conclusion, for low gate-bias voltage and relatively large , jumps will occur between stable sections of a single curve (Case D) or between stable sections of two distinct curves (Case F). This explains the experimental results of Figs. 5 and 9. For higher , approaching the Hopf bifurcation in dc regime (Fig. 6), there will be no coexistence of stable quasi-periodic solutions (see curves A and A’ in Fig. 12). The solution without self-oscillation will be stable only in the low input power range, up to the Hopf bifurcation . In fact, the input power at decreases with until this bifurcation (and the small amplitude curve) disappears from the diagram.

For a gate bias voltage above the Hopf bifurcation (and above the TP ) in Fig. 6, there will be a single self-oscillating range. However, turning quasi-periodic solution in the lower range, which will give rise points may appear in the upper to hysteresis phenomena. Fig. 15 shows the variation of the osfor the two gate-bias voltages cillation amplitude versus V [see Fig. 15(a) and (b)] and V [see Fig. 15(c) and (d)]. Each figure contains two curves, one corresponding to a single sinusoidal input at (the case considered thus far) and the other corresponding to a two-tone input, MHz, which will be anawith frequency spacing lyzed later. In Fig. 15(b) and (d), the oscillation component is measured after the output filter, which explains the low power V and one input tone, the transilevels. For tion from SOPA regime to periodic regime takes place at the TP T [see Fig. 15(a)], whereas the transition from periodic to SOPA regime takes place at the Hopf bifurcation H. For V, there is also a TP in the oscillation curve, but its effect on the circuit behavior is hardly noticeable. larger than the value corresponding to the Hopf biFor furcation , the negative conductance increases with the oscillation amplitude, which justifies the hysteresis phenomenon. Fig. 16 shows the evolution of the total conductance at the amplifier input (observation node) versus the voltage amplitude at for V and V. The diagram has been obtained by sweeping the amplitude of the AG at constant frequency (approximately equal to the oscildBm, lation frequency) with constant input power slightly above the Hopf bifurcation . For V, the magnitude of the negative conductance initially increases and then decreases due to the natural device saturawith tion. This gives rise to the TP in the solution curve obtained at V, responsible for the hysteresis phenomenon. V, there is only a small increase of the negaFor tive resistance with , with negligible effect on the oscillation curve [see Fig. 15(c)]. value from which hysteresis phenomena will be The observed can be approximately determined in simulation by tracing two curves in the plane defined by and . The first curve corresponds to the Hopf-bifurcation locus, obtained by , setting the oscillation amplitude to very small value e.g., V. The gate-bias voltage is then swept, in terms of solving the nonperturbation condition and at each step. The second curve (traced in a similar manner) should correspond to the locus of quasi-periodic solutions with constant and relatively small oscillation amplitude ). Here the value V has been chosen, (constant corresponding to the initial stage of amplitude growth versus (see Fig. 15). The results are shown in Fig. 17 with measurements for the Hopf locus superimposed. The two curves intersect at the gate bias voltage . For , the from the Hopf oscillation amplitude grows when decreasing bifurcation. Therefore, no hysteresis phenomenon should be ob, the oscillation amplitude grows when served. For from the Hopf bifurcation, which should give rise increasing to hysteresis.

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

537

Fig. 16. Explanation of the hysteresis phenomenon observed in Fig. 15(a). Evolution of the total conductance at the amplifier input (observation node) V versus the signal amplitude at , calculated with an AG, for V. and

Fig. 17. Graphical method to determine the interval for which hysteresis . This hysteresis is observed in the upper edge of the SOPA takes place versus operation range [see Fig. 15(a)]. Measurements for the Hopf bifurcation locus are superimposed.

Fig. 15. Bifurcation behavior for above in Fig. 6, with one tone at MHz and two input tones with frequency separation MHz: V, simulated (b) V, measured after the (a) V, simulated (d) V, measured. output filter (c)

As a conclusion, with gate-bias voltage above the one corresponding to the Hopf bifurcation from dc regime, there will be a single oscillatory solution for small input power values. interval, hysteresis However, in the upper boundary of the phenomena may be observed from certain value of . This value can be approximately determined with the simple graphical technique provided in this section. VI. DYNAMIC EFFECTS UNDER MODULATED SIGNALS To investigate the influence of a time-varying envelope, two sinusoidal input tones, centered at , with a frequency separation MHz, will be considered. The tones are not

modulated so it is possible to perform an HB simulation with three fundamental frequencies, one given by the oscillation frequency and the other two given by the individual frequencies of the tones. Due to the high computational cost, less intermodulation products are considered in the variable representation. In spite of the accuracy limitations, this approximate analysis will evidence the differences with respect to the behavior with a single input tone. It will also enable the initialization of the self-oscillation in the more accurate envelope-transient analysis presented later in this section. In fact, the three-tone analysis is carried out using an AG at the self-oscillation frequency [7], [30], [31]. In Fig. 15, the results at V and V can be compared with those obtained with a single tone. For low , the curves obtained with one- and two-tone inputs are totally superimposed, which is due to the fact that the closed-loop operates in linear regime with respect to the input signal. As increases, they evolve in different ways. For V [see Fig. 15(a)], the oscillation exhibits a significant amplitude reduction at dBm, but persists in the whole range considered for the analysis. For V [see Fig. 15(c)], there is an abrupt amplitude decay about dBm. The oscillation amplitude tends to zero at dBm after passing through a TP. The experimental points are superimposed.

538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 19. Experimental waveform for MHz.

Fig. 18. V. Time-domain analysis of the SOPA with two nonMHz. The operation conditions modulated input tones with separation dBm and MHz. (a) Input signal. (b) Amplifier output are waveform.

In order to explain the circuit behavior with two input tones, the input signal will be expressed as a modulated carrier

(17) varying from 0 to . For small frequency spacing , the envelope will vary very slowly and the system will behave as if it were “frozen” [35], [36]. In a frozen system, the stability changes take place for the same parameter values ( values) as in static conditions. For the 2-MHz separation considered here, the system is not frozen and there are dynamic effects which cannot be predicted with the one-tone analysis in Fig. 15. However, some key aspects of the system behavior persist under modulated conditions. Provided that A is relatively small, the oscillation will not be extinguished during the modulation period . However, above a certain value, the oscillation is extinguished for large and arises again for low . This kind of behavior is confirmed by the waveforms shown in Fig. 18, obtained with standard time-domain integration for V. The experimental waveform, with time intervals in which the oscillation is extinguished, is shown in Fig. 19. Note that the HB analysis of Fig. 15(c) provides an average value of the oscillation signal and this average value decreases in magnitude when the oscillation is switched on and off due to the modulation. For V, the switching behavior is observed in the interval dBm to dBm. Above dBm, the oscillation is not able to start up again after extinction. With an envelope transient analysis [37], [38], only two fundamental frequencies are needed: the middle frequency of the input tones , and the oscillation frequency . The input with

V,

dBm, and

power is swept, and at each sweep step, two simulations are performed. The first simulation is the three-tone HB analysis described above, using an AG [7], [30]. In this second simulation, the AG, with the values obtained from the previous HB analysis, is connected to the circuit at the initial time only, which is implemented with a time-varying resistor, as shown in [7]. The number of intermodulation terms considered can be much higher than the number used in the HB simulation. In fact, a diamond truncation, with nonlinearity order , is used. Fig. 20(a) shows the time variation of the amplitude of the input signal at , and that of the amplitude of the spectral component at the oscillation frequency for the same input power dBm and the two gate-bias voltages V and V. In both cases, the oscillation decays to zero for a fraction of the modulation period (for high ) and restarts again (for low ). However, the amplitude maxima are higher for V. Fig. 20(b) shows the evolution of the time-varying oscillation amplitude for V and different values of between 0–13 dBm. For small , the amplitude is nearly constant in time. When increasing , there is, initially, an increasing swing of the oscillation amplitude, with maxima that decrease slowly from their small-signal value. In agreement with the time-domain analysis in Fig. 18, from dBm, the oscillation decays to zero for a fraction of the modulation period and restarts again. From about this power value, the amplitude maxima decrease quickly with and at about dBm the maxima become zero so the oscillation vanishes completely. For V, the swing in the oscillation amplitude increases with and from certain value, this amplitude varies between zero and a maximum that does not decrease as quickly as in the case of V. The envelope-transient analysis in Fig. 20(c) indicates that the intermittency starts from dBm, and from this power value the oscillation keeps switching on and off for all the values considered in the analysis, in agreement with the results shown in Fig. 15(a). Unlike the case of V, the oscillation is not fully extinguished in the whole interval considered in the simulation. The differences in the behavior for V and V can be explained considering two aspects. Though the system is not frozen, the fast decrease with of the envelope maximum obtained for V [see

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

539

Fig. 21. Simulated and measured spectra: (a) about the signal frequency and V and two input tones (b) about the oscillation frequency for with dBm.

can be related to the fact that the oscillation amplitude also increases with . For instance, at V, the oscillation is extinguished at the smaller input power dBm. Fig. 20. Time variation of the magnitude of the spectral component at the osand the same conditions cillation frequency for different values of MHz, MHz. (a) Comparison of the oscillation envelope for dBm. The envelope of the input signal is also superimposed: (b) V, (c) V.

Fig. 20(b)] can be related to the faster reduction of the oscillation amplitude observed in static conditions (Fig. 15). On the other hand, at low , the positive real part of the dominant poles is smaller for V Hz than for V Hz . Thus, for V, the oscillation requires a longer time to build up, which is in agreement with Fig. 20(b). A large variation of the oscillation amplitude with the modulation signal will have a negative impact on the circuit performance. This is shown in the spectra of Fig. 21 about the signal frequency [see Fig. 21(a)] and the oscillation frequency [see Fig. 21(b)], calculated for dBm and V. Measurements are superimposed with very good agreement. From certain input power, the nonlinear effects associated with the oscillation dynamics will dominate the beneficial dithering action of this oscillation. This aspect should be taken into account when designing the SOPA. In fact, the circuit should be designed considering the maximum amplitude excursions of the input signal. The input power corresponding to the inverse Hopf bifurcation should be sufficiently high to ensure a negligible effect of the input signal over the self-oscillation. The input power at increases with , which

VII. CONCLUSION The stability analysis of quasi-periodic solutions in the frequency domain has been presented. It is based on the formal analysis of the frequency-domain characteristic system, showing that equivalent singularities of the perturbed HB system are mapped into the same Lyapunov exponents. From this study, it has been theoretically demonstrated that pole-zero identification can be applied for the stability analysis of quasi-periodic states. To our knowledge, it is the first time that this analysis is performed in the RF and microwave domain. The study has been illustrated by means of its application to self-oscillating power amplifiers. The possible coexistence of oscillation modes, the hysteresis phenomena, and the bifurcation behavior in the presence of one and two input carriers have been investigated, obtaining good agreement with the experimental results. ACKNOWLEDGMENT The authors are grateful to Dr. J. A. García, Universidad de Cantabria, Santander, Spain, for helpful discussions and Dr. M. Pontón, Universidad de Cantabria, Santander, Spain, for help in the experimental characterization. REFERENCES [1] V. Rizzoli and A. Neri, “State of the art and present trends in nonlinear microwave CAD techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 343–356, Feb. 1988. [2] V. Rizzoli and A. Lipparini, “General stability analysis of periodic steady-state regimes in nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 1, pp. 30–37, Jan. 1985.

540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[3] W. Struble and A. Platzker, “A rigorous yet simple method for determining stability of linear -port networks (and MMIC application),” in Gallium Arsenide Integr. Circuit Symp. Tech. Dig., 1993, pp. 251–254. [4] S. Mons, J.-C. Nallatamby, R. Queré, P. Savary, and J. Obregon, “A unified approach for the linear and nonlinear stability analysis of microwave circuits using commercially available tools,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2403–2409, Dec. 1999. [5] J. M. Collantes, I. Lizarraga, A. Anakabe, and J. Jugo, “Stability verification of microwave circuits through floquet multiplier analysis,” in IEEE APCCAS, Tainan, Taiwan, 2004, pp. 997–1000. [6] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2001. [7] A. Suárez, Analysis and Design of Autonomous Microwave Circuits. Piscataway, NJ: IEEE Press, 2009. [8] A. Suárez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Norwood, MA: Artech House, 2003. [9] S. Jeon, A. Suárez, and R. Rutledge, “Global stability analysis and stabilization of a class-E/F amplifier with a distributed active transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3712–3722, Dec. 2005. [10] S. Jeon, A. Suárez, and R. Rutledge, “Analysis and elimination of hysteresis and noisy precursors in power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1096–1106, Mar. 2006. [11] F. Bonani and M. Gilli, “Analysis of stability and bifurcations of limit cycles in Chua’s circuit through the harmonic-balance approach,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 46, no. 8, pp. 881–890, Aug. 1999. [12] B. R. Gray, B. Melville, and J. S. Kenney, “Analytical modeling of microwave parametric upconverters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2118–2124, Aug. 2010. [13] V. Rizzoli and A. Neri, “Harmonic-balance analysis of multitone autonomous nonlinear microwave circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 1991, vol. 1, pp. 107–110. [14] M. Claassen and U. Guettich, “Conversion matrix and gain of selfoscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 1, pp. 25–30, Jan. 1991. [15] E. de Cos, A. Suárez, and S. Sancho, “Envelope transient analysis of self oscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1090–1100, Apr. 2004. [16] F. Malekzadeh, R. Mahmoudi, and A. H. M. van Roermund, “A new approach for nonlinear metric estimation of limit cycle amplifiers,” in Eur. Microw. Integr. Circuits Conf., 2009, pp. 451–454. [17] M. Sarkeshi, R. Mahmoudi, and A. van Roermund, “Efficiency analysis of a limit-cycle class-D amplifier with a random Gaussian excitation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1369–1372. [18] F. Malekzadeh, R. Mahmoudi, and A. H. M. van Roermund, “A new statistical approach for nonlinear analysis of limit cycle amplifiers,” in IEEE Radio Wireless Symp., San Diego, CA, Jan. 2009, pp. 47–50. [19] T. Piessens and M. Steyaert, “Behavioral analysis of self-oscillating class D line drivers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 4, pp. 706–714, Apr. 2005. [20] T. Piessens and M. Steyaert, “Highly efficient xDSL line drivers in 0.35- m CMOS using a self-oscillating power amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 22–29, Jan. 2003. [21] W. Laflere, M. Steyaert, and J. Craninckx, “A power amplifier driver using self-oscillating pulse-width modulators,” in Solid-State Circuits Conf., 2007, pp. 380–383. [22] J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamical Systems and Bifurcations of Vector Fields. New York: Springer-Verlag, 1990. [23] T. S. Parker and L. O. Chua, Practical Numerical Algorithms for Chaotic Systems. Berlin, Germany: Springer-Verlag, 1989. [24] A. Suarez, J. Morales, and R. Quéré, “Chaos prediction in an MMIC frequency divider in millimetric band,” IEEE Microw. Guided Wave Lett., vol. 8, no. 1, pp. 21–23, Jan. 1998. [25] D. Comer and D. Comer, Advanced Circuit Design. New York: Wiley, 2003. [26] X. Zhang, L. E. Larson, and P. M. Asbeck, Design of Linear RF Outphasing Amplifiers. Norwood, MA: Artech House, 2003.

[27] H. Tsai-Pi, A. G. Metzger, P. J. Zampardi, M. Iwamoto, and P. M. Asbeck, “High efficiency current-mode class-D amplifier with integrated resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 3, pp. 2035–2042. [28] S. Jeon, A. Suárez, and D. Rutledge, “Nonlinear design technique for high-power switching-mode oscillators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3630–3640, Oct. 2006. [29] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Nonlinear Microwave Circuits. Norwood, MA: Artech House, 2003. [30] A. Suarez, J. Morales, and R. Quéré, “Synchronization analysis of autonomous microwave circuits using new global-stability analysis tools,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 494–504, May 1998. [31] A. Suarez and R. Melville, “Simulation-assisted design and analysis of varactor-based frequency multipliers and dividers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1166–1179, Mar. 2006. [32] H. Kawakami, “Bifurcation of periodic responses in forced dynamic nonlinear circuits: Computation of bifurcation values of the system parameters,” IEEE Trans. Circuits Syst., vol. CAS-31, pp. 248–260, Mar. 1984. [33] N. V. Butenin, I. N. Yu, and N. A. Fufaev, Introduction to the Theory of Non-Linear Oscillations. Moscow, Russia: Mir Publishers, 1991. [34] V. I. Arnold, V. S. Afrajmovich, S. I. Yu, and L. P. Shil’nikov, Bifurcation Theory and Catastrophe Theory. Berlin, Germany: Springer, 1991. [35] L. A. Zadeh, “On stability of linear varying-parameter systems,” J. Appl. Phys., vol. 22, pp. 402–405, 1951. [36] F. Ramírez, A. Suárez, I. Lizarraga, and J. M. Collantes, “Stability analysis of nonlinear circuits driven with modulated signals,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 929–940, Apr. 2010. [37] K. S. Kundert, “Introduction to RF simulation and its application,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1298–1319, Sep. 1999. [38] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 3, pp. 1365–1368.

Almudena Suárez was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the Universidad de Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. She is currently a Full Professor with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria. She coauthored Stability Analysis of Nonlinear Microwave Circuits (Artech House, 2003) and authored Analysis and Design of Autonomous Microwave Circuits (IEEE Press, 2009). Dr. Suárez is a member of the Technical Committees of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) and the European Microwave Conference. She was an IEEE Distinguished Microwave Lecturer from 2006 to 2008.

Elena Fernández received the Telecommunications Engineering and the MsC degrees from the University of Cantabria, Santander, Spain, in 2009 and 2010, respectively, and is currently working toward the Ph.D. degree at the University of Cantabria. Her research interests include self-oscillating amplifiers and oscillator circuits.

SUÁREZ et al.: STABILITY AND BIFURCATION ANALYSIS OF SELF-OSCILLATING QUASI-PERIODIC REGIMES

Franco Ramírez was born in Potosí, Bolivia. He earned the Electronic Systems Engineering degree from the Antonio José de Sucre Military School of Engineering (EMI), La Paz, Bolivia, in 2000 and the Ph.D. degree in communications engineering from the Universidad de Cantabria, Santander, Spain, in 2005. He is currently a Research Associate with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, where he works under the “Ramón y Cajal” Programme of the Spanish Ministry of Science and Innovation. His research interests include phase noise, stability, and the development of nonlinear techniques for the analysis and design of autonomous microwave circuits.

541

Sergio Sancho was born in Santurce, Spain, in 1973. He received the Physics degree from Basque Country University, Basque Country, Spain, in 1997, and the Ph.D. degree in electronic engineering from the Universidad de Cantabria, Santander, Spain, in 2002. In 1998, he joined the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, where he is currently an Associate Professor. His research interests include the nonlinear and stochastic analysis of microwave circuits and frequency synthesizers, the investigation of chaotic regimes, and phase-noise analysis.

542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Novel MMIC Power Amplifier Linearization Utilizing Input Reflected Nonlinearity Nai-Chung Kuo, Student Member, IEEE, Jing-Lin Kuo, Student Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—This paper introduces a novel method to generate an auxiliary third-order intermodulation (IM3) signal, which can be used in the linearization of power amplifiers (PAs) by canceling the output IM3 power. This auxiliary signal is simply achieved by exploiting the input reflected power of the main device, rather than obtained by conventional methods as driving a highly nonlinear device or subtracting the fundamental power from the output signal. It is demonstrated that substantial IM3 power can be reflected to the source with little reflected fundamental power under some input matching conditions of the device, and this feature can be utilized in the design of monolithic microwave integrated circuits (MMICs) targeting excellent linearity. A 25-GHz pHEMT power amplifier is designed and fabricated to exemplify the proposed technique. With the linearization, the OIP3 of the proposed PA increases by 14 dB from 25 to 39 dBm, and the output power enhances significantly, from 5 to 14 dBm with 40-dBc IM3 distortion and from 9 to 14 dBm with 40-dBc adjacent channel power ratio. Index Terms—Intermodulation distortion (IMD), linearization, monolithic microwave integrated circuit (MMIC), power amplifier (PA).

I. INTRODUCTION NALOG linearization of RF power amplifiers (PAs) can be categorized by feedback, predistortion, and feedforward [1]–[3]. Although the latter two techniques are more vulnerable to the process variation, faster output response and wider modulation bandwidth can be obtained if the output RF signal is trimmed without the involvement of base-band circuits. Predistortion PAs have been widely reported that add another nonlinear device in the RF path to compensate the PA nonlinearity [4]–[7] or by paralleling an auxiliary device with complementary IM3 characteristics to the main device [8], [9]. To further improve the linearity, more complicated structures can be adopted; for example, the input signal can be modified with its parasitic IM3 and fifth-order intermodulation (IM5) arbitrarily controlled, creating an input characteristic that is the inversion of the device response [10]–[13]. However, in monolithic microwave integrated circuit (MMIC) designs, especially at higher frequencies, the simplicity of the linearization

A

Manuscript received July 04, 2011; revised November 22, 2011; accepted November 28, 2011. Date of publication January 31, 2012; date of current version March 02, 2012. This work was supported by the National Science Council of Taiwan, China under Contract NSC 98-2219-E-002-005, Contract NSC 98-2219-E-002-010, and Contract NSC 98-2221-E-002-059; and by the National Taiwan University under Excellent Research Project 98R0062-01 and Project 98R0062-03. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2180537

structure is highly emphasized, and the prevailing technique is the simple predistortion employing a compensating nonlinear device [4]–[6], [8], [9]. These approaches usually create a deep notch of IM3 power at a particular input power [5], [6], [8], but it has been reported that the IM3 power notch tends to be re-filled when the PA is driven by more complicated signals [2]. On the other hand, feedforward PAs (FFPAs) have better linearization performances by generating an auxiliary IM3 power for the output IM3 cancellation [14], [15]. Reported feedforward schemes can be divided into two groups according to the method of the auxiliary IM3 generation. Conventional structures obtain the auxiliary IM3 power with little parasitic fundamental power by signal subtraction, which requires three power couplers in extracting the auxiliary signal and another one for the output IM3 cancellation. Because of the complexity of the structure, traditional FFPAs [14], [15], widely reported in hybrid microwave circuits with superior performance, have not been realized in MMICs. The second approach obtains the auxiliary IM3 power by allocating part of the input power to a highly nonlinear device, usually a device under an unusual bias condition [16], [17]. Although this approach is much simpler and more feasible in MMICs, it depends largely on the accurate modeling of another highly nonlinear device. Moreover, the output IM3 power of this auxiliary device can hardly exceed its output fundamental component, even with a higher input power. In this paper, a new method to generate an auxiliary IM3 power with adjustable parasitic fundamental power is proposed. The IM3 power is obtained by the input reflected power of the main amplifier, containing fundamental and higher order components due to the nonlinear input impedance of the device. It can be derived that if a device is conjugately matched at its input interface, the reflected IM3 power is lower than the reflected fundamental power only by 9.5 dB regardless of the operational power. Compared to the conventional feedforward structure using four power couplers, only two power couplers and no additional nonlinear device are required in the proposed structure. It is reported that the reflected IM3 power can exceed the reflected fundamental power at some input levels, and the maximum IMD3 and corresponding input power can be arbitrarily designed by varying the source impedance. The reflected IM3 power can be successively linearly amplified and used to cancel the IM3 power of the main amplifier without significantly affecting its fundamental output power, since the output IMD3 of the main amplifier is much lower than that of the auxiliary source. Based on the proposed technique, a power amplifier is fabripHEMT process [18]. At 25 GHz, the OIP3 of cated in 0.15the proposed PA is enhanced by 14 dB, from 25 to 39 dBm with the proposed linearization. The output power can be largely extended from 5 to 14 dBm with 40-dBc IMD3 and from 9 to

0018-9480/$31.00 © 2012 IEEE

KUO et al.: NOVEL MMIC PA LINEARIZATION

543

14 dBm with 40-dBc ACPR. By introducing the novel and relatively simple feedforward technique, the complexity-performance trade-off in conventional structures can be alleviated, exemplified by a MMIC PA with excellent linearity. II. CONCEPT OF INPUT REFLECTED NONLINEARITY At the gate of a FET, the reflected voltage in power-wave theory [19] is expressed by (1) where is the input impedance of the field-effect transistor (FET), is the source impedance seen in the opposite direction from the gate, and is the corresponding gate voltage when the . The magnitude interface is conjugately matched of can be determined after the available input power and the source impedance are given, described by

Fig. 1. IM3 power generated and reflected to the input of the circuit, along with the reflected fundamental power.

(2) In power-wave theory, the reflected power at the gate be directly calculated by and , given by

can

(3) If the input matching network is composed of low-loss passive is also the reflected power back to the input components, port. It should be noticed that the gate voltage cannot be simply expressed as the sum of and since the two terms are not subject to linear superposition; it should be expressed as (4) Substituting (4) into (1), the reflected voltage pressed according to as

can be ex(5)

is the input admittance. If is linear, the reflected where signal will only have fundamental terms when excited by a twotone input power. However, is nonlinear due to the nonlinear capacitances and transconductance of the device. Therefore, there is IM3 power generated and reflected to the input of the circuit, as illustrated in Fig. 1. If is written in a power series of the gate voltage as (6) the magnitude of the reflected fundamental and IM3 voltages can with a two-tone gate voltage be derived as (7)

Fig. 2. Equivalent model of the FET device.

impedance . In this case, the difference between the reflected fundamental and the IM3 voltage is 9.5 dB regardless of the input level. On the other hand, if , the first and the second term of (7) might cancel with each other at some input levels. In this case, although the reflected fundamental power is much higher than the reflected IM3 in the first place , it will experience a power notch with higher input power, possibly exceeded by the reflected IM3 power. A simplified model, illustrated in Fig. 2, of an eight-finger and 400- -periphery FET in the selected process is established based on the device measurement data. The analysis can be verified since the calculated results, obtained from the derived equations and the simplified model, agree to the simulated results using the provided EEHEMT model. The comparisons of the calculated and the simulated results are successively shown in Figs. 3–6. Significant nonlinear components of a FET are the current source and the gate-drain and gate-source capacitors. For a given bias condition, the parameters of the simplified model can be extracted from the measured data [20]. With the quasi-static assumption [21], nonlinear components can be expanded into power series centered at a fixed bias, given by

(8) The reflected fundamental voltage in (7) consists of two terms, and the first term can be eliminated if the small-signal input admittance is conjugately matched by the source

(9) (10) (11)

544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 3. (a) Calculated and (b) simulated reflected IM3 power with a

30-dBm input power in the 2-D contour of source impedance.

Fig. 4. (a) Calculated and (b) simulated reflected fundamental power with a

30-dBm input power in the 2-D contour of source impedance.

are listed in Table I. The drain-source capacitor and the drain-source resistor are treated as linear components. can be expressed as a power series The input impedance of the gate voltage, as (12) and

can be obtained by (13) (14)

Fig. 5. Calculated and simulated fundamental and IM3 drain voltage versus input power.

is the total output impedance at the drain, paralleled where by , and the load impedance. The coefficients and in the input admittance series (6) can be derived as

where is the voltage swing at gate and is the voltage swing at drain. is the coefficient of the -series with order and with order , is the corresponding coefficient of the -series corresponding with order , and is the coefficient of the current-series corresponding . When the FET is biased with 0.75-V gate with order voltage and 5-V drain voltage, the corresponding coefficients

(15) (16) Gate resistance ( ) and gate inductance ( ) must be considered in (15) since they contribute significantly to the input impedance. Using (3), (8), and (16), the reflected IM3 power

KUO et al.: NOVEL MMIC PA LINEARIZATION

545

Fig. 6. (a) Calculated and (b) simulated maximum reflected IMD3 in the 2-D contour of source impedance.

TABLE I PARAMETERS OF THE EQUIVALENT MODEL OF PHEMT FET DEVICE

can be calculated according to the source impedance when the input available power is given, written as

the reflected signal. It can be observed from Figs. 3(b) and 4(b) that if the input return loss is higher than 15 dB (reflected fundamental power 45 dBm), which is feasible in the MMIC designs with reliable device models and process, the reflected IM3 power is higher than 120 dBm with reflected IMD3 higher than 75 dBc. The differences between the calculated and simulated results in Figs. 3 and 4 are mainly caused by the deviation of the provided EEHEMT model from the measurement data, which will be addressed in Section V. It is successively illustrated that the 75-dBc reflected IMD3 is much higher than the corresponding output IMD3. From (12), the output fundamental and IM3 voltage can be respectively expressed as (18) (19)

(17) In this study, a PA is designed and optimized at 24 GHz to exemplify the proposed technique. With the component values in Table I adopted and set as 0.04 S (close to the final design value drawn from the load-pull simulation), the with a 30-dBm input power is shown in calculated Fig. 3(a) in the 2-D contour of the source impedance , and the simulated to the source impedance is shown in Fig. 3(b). On the other hand, the calculated and simulated reflected fundamental powers are plotted in Fig. 4 for the same input power ( 30 dBm). Compared to the reflected fundamental power, the reflected IM3 power is not very sensitive to the source impedance, reaching a maximum value higher than 120 dBm ( 115 dBm). From Fig. 4(b), the minimum reflected fundamental power is about 105 dBm (75-dB return loss) with a source impedance of . It is noted that the reflected fundamental power cannot be totally suppressed due to the nonlinear input impedance of the device. When the device is conjugately matched, the reflected IM3 power is about 10-dB lower than the reflected fundamental power; however, if the source impedance varies, the reflected fundamental power will significantly increase, resulting in the reduced IMD3 in

By (18) and (19), the output fundamental and IM3 voltage can be estimated and are plotted in Fig. 5 to the input power. The device is conjugately matched at its input by a source impedance of . The simulated results are also shown in Fig. 5, which are very close to the calculated values. When the input power is 30-dBm, the output IMD3 is 88 dBc. Thus, if the input return loss is designed to be higher than 15 dB, the reflected signal, with IMD3 higher than 75 dBc, can be amplified and used to cancel the output IM3 power without affecting the fundamental output since in the final cancellation, the fundamental power from the auxiliary path is at least 13 dB lower than that from the main amplifier. Finally, the reflected IMD3 and the output IMD3 can be expressed as follows:

(20)

(21)

546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

The condition for the reflected IMD3 to be much higher than the output IMD3, necessary for the effective output IM3 cancellation, can be approximated by (22) Equation (22) reveals that the reflected IMD3 is dominated by the ratio between the nonlinear gate-source capacitor and the input matching condition of the device, and the output IMD3 depends highly on the ratio between the third-order transconductance and the fundamental transconductance of the device. Although designing a source impedance exactly conjugated to the input impedance can result in a 9.5-dBc reflected IMD3 regardless of the input power, it is better and more practical to choose a source impedance corresponding to a lower reflected IMD3 under small-signal operation. It can be observed from (20) that with some mismatch in the input impedance , the reflected IMD3 can have an arbitrary high value at a given input power level if the first term in the denominator of (20) is cancelled by the second term, resulting in a power notch in the reflected fundamental power. The calculated and simulated maximum reflected IMD3 are shown in Fig. 6 to the source impedance. The maximum reflected IMD3 is found with the total input power lower than 13 dBm, which is expected to be the maximum input power of the designed amplifier in two-tone measurement. By comparing with Fig. 4, it is shown that the source impedance corresponding to the best small-signal return loss does not result in the maximum reflected IMD3. For example, if the source impedance is selected as for the best small-signal return loss in Fig. 4(b), the simulated maximum reflected IMD3 is lower than 5 dBc in Fig. 6(b); however, with a source impedance of , the simulated reflected IMD3 can be as high as 15 dB. The corresponding single-tone input power for the maximum reflected IMD3 in the simulation is shown in Fig. 7 to the source impedance. The distribution of the contours is similar to the return-loss contours shown in Fig. 4(b). It is obvious that when the source impedance is selected with a higher small-signal return loss, the maximum reflected IMD3 will happen at a lower input power since the first term in the denominator of (20) must be cancelled at lower input levels. The source impedance should be selected to achieve a highquality auxiliary IM3 source with the maximum IMD3 higher than that of the output signal, and equation (22) should be satisfied in order to confirm the intactness of the fundamental power from the main amplifier after the IM3 cancellation. The smallsignal input return loss should also be considered; for example, it is not sensible to choose a source impedance of although the reflected IM3 power can exceed the fundamental power by 10 dB, where the corresponding small-signal input return loss is only 5 dB, too low for the input power to be fully delivered into the device. The proposed technique, exploiting the input reflected nonlinearity, has two major advantages over the conventional approach of driving another nonlinear device in generating a highIMD3 auxiliary signal. Although the formations of the reflected IMD3 (20) and the output IMD3 (21) are similar, the first term in the denominator of (20) can be designed with more flexibility,

Fig. 7. Input fundamental power corresponding to the maximum reflected IMD3 in the 2-D contour of source impedance.

even to be zero without affecting the numerator of (20). On the other hand, the counterpart in (21), , is mainly determined by to the device characteristics. From (13), it is impossible for be designed to zero due to the gate-drain capacitor , which implies that the fundamental leakage through the gate-drain capacitor will limit the output IMD3; moreover, the denominator of (21) can only be adjusted by varying the bias condition, which also affects its numerator and complicates the design. Most importantly, in the proposed technique no extra nonlinear device is required to generate the auxiliary IM3 power. The second advantage of this technique is the capability to create an auxiliary signal with IMD3 higher than 0 dBc at some input levels, by creating a power notch in the reflected fundamental power. The first term in the denominator of (20) can be adjusted freely since the source impedance can be realized arbitrarily through the input matching network; however, when the counterpart in (21) is examined, it is revealed that the two terms ( and ) cannot be systematically designed to have the same polar angle in order to cancel with each other. Besides, when of the nonlinear device is set to a very low value in order to and increase the output IMD3, and , if viewed reduce as vectors, are likely to be mutually orthogonal and unable to cancel with each other. III. CIRCUIT DESIGN A 24-GHz power amplifier is designed in 0.15AlGaAs/InGaAs/GaAs pHEMT process [18] to exemplify the proposed technique. An eight-finger device with a total periphery of 400 is selected for the main amplifier, and the gate voltage is biased at 0.75 V with a 5-V drain voltage. The load impedance seen from the drain is designed by the standard load-pull procedure, determined to be for the maximum power delivery. Using Figs. 3, 4, 6, and 7, the source impedance can be successively selected. The source impedance is chosen as , and a maximum reflected IMD3 of 2 dBc can be expected. With that source impedance, the small-signal input return loss is 15 dB, and the reflected IM3 power is 117 dBm with a 30-dBm input power. The corresponding reflected IMD3 is 72 dBc, much higher than the 88-dBc output IMD3 shown in Fig. 5. It is noted that the reflected IM3 power cannot be directly used for the output

KUO et al.: NOVEL MMIC PA LINEARIZATION

547

Fig. 8. Schematic of the proposed circuit.

linearization without being extracted from the RF path. Thus, a power coupler must be implemented in the input of the PA, coupling part of the reflected power to an auxiliary path for the final cancellation. The schematic of the circuit is shown in Fig. 8. Two directional power couplers are implemented at the input and output of the circuit. The former couples the reflected signal to the auxiliary path composed of a phase-adjustment component and an auxiliary linear amplifier, and the latter delivers the amplified IM3 power to the main path for the IM3 cancelation. The input coupler is designed with 1.5-dB insertion loss and 5.5-dB coupling factor. Improving the insertion loss (increasing the coupling factor) can enhance the power gain of the main amplifier while reducing the reflected IM3 power coupled to the auxiliary path; in this case, another gain stage might be required in the auxiliary path for the gain compensation. The output coupler is designed with 0.6-dB insertion loss and 10-dB coupling factor; similarly, improving the insertion loss of the output coupler can enhance the output power and power gain of the main amplifier, but less IM3 power will be coupled from the auxiliary path into the final IM3 cancellation; as a result, the auxiliary amplifier must generate higher power with extra power consumption. In order to achieve the required power gain of the auxiliary path by three gain stages, the trade-off in the input coupler design is made in favor of the reflected IM3 power coupled to the auxiliary path. The simulated fundamental and IM3 power coupled to the auxiliary path (point A in Fig. 8) and generated by the main amplifier (point B in Fig. 8) are plotted in Fig. 9 to the input power of the chip. It is shown that the reflected IM3 power can infringe the reflected fundamental power by 2 dB with a 4-dBm chip input power (2.5-dBm input power to the device), which are close to the predicted values using Figs. 6 and 7. The difference between the reflected and output IM3 power is about 18 dB, and the total power gain of the auxiliary path is designed

Fig. 9. Simulated fundamental and IM3 power coupled to the auxiliary path (point A in Fig. 8), and before the final cancellation (point B in Fig. 8).

to be 27.4 dB in order to compensate the coupling and insertion loss of the output coupler. The auxiliary amplifier is designed with the output capability 10 dB lower than that of the main amplifier, and it targets to cancel the output IM3 of the main amplifier when the main amplifier is operated with a total output power lower than 18 dBm with output IMD3 lower than 20 dBc, as marked in Fig. 9. The main amplifier is simulated to consume 528-mW dc power with 30% efficiency, and the efficiency of the auxiliary amplifier is about 20%. The output directional coupler is designed to maximize the total efficiency of the circuit. Table II illustrates the overall PA efficiency corresponding to the different coupling factors of the output coupler. It is demonstrated that the PA can have better efficiency with the designed output coupler. For the IM3 cancellation, the IM3 power coupled to the PA output from the auxiliary path should be exactly of the same

548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE II EFFICIENCY CONSIDERATION IN THE DESIGN OF THE OUTPUT COUPLER

Fig. 11. Gain and input return loss of the auxiliary path versus the diode bias voltage, for gate bias voltages of the first two gain stages from 0.5 to 0.9 V.

Fig. 10. Output phase of the auxiliary path versus the diode bias voltage, for gate bias voltages of the first two gain stages from 0.5 to 0.9 V.

magnitude and the opposite phase to that from the main path. It can be easily derived that a mere 0.14-dB power imbalance or an additional 10 phase difference from a perfect cancellation will lower the suppression level to 15 dB. Considering the circuit sensitivity to various variations, the auxiliary path is designed with adjustable gain and output phase. The four negatively-biased diodes at the input of the auxiliary amplifier provide shunt reactance to the auxiliary path, resulting in a phase shift controllable by the negative diode bias voltage. On the other hand, the adjustment of the gate bias of the first two gain stages of the auxiliary amplifier can adjust the gain of the auxiliary path without reducing its output power, which is determined by the last stage. For the gate voltage from 0.9 to 0.5 V, the phase change and the corresponding gain/return loss are shown respectively in Figs. 10 and 11. It can be observed from Fig. 10 that the maximum phase-tuning range is about 25 and the diode should be initially biased at 1.2 V for the maximum adjustable margin in both sides. The resulting phase offset of the two paths is compensated by a fixed delay line inserted at the output of the auxiliary amplifier. It can be observed from Figs. 10 and 11 that the change in the gate voltages of the first two gain stages can result in 5-dB gain variation but only slightly affects the output phase; the gain of the auxiliary path also changes very little with the diode bias voltage. Therefore, the gain/phase adjustment mechanisms can tolerate with phase variation and 2.5-dB gain variation by tuning the diode and the gate bias voltages. The input return loss of the auxiliary path is higher than 13 dB over the adjustment. Although the reflected signal from the device can contain very little fundamental power, when a power coupler is inserted at its input for the extraction of the reflected signal into the auxiliary path, some fundamental power will directly leak to the

Fig. 12. Simulated output fundamental and the third- and fifth-order intermodulation powers versus input power.

auxiliary path from the input source due to the imperfect isolation of the input coupler. As a result, there must be some fundamental power in the auxiliary path not determined by the input impedance matching of the main amplifier, and the IMD3 of the auxiliary signal will be limited when the PA is operated with lower input power, unable to reach the 9.5-dBc maximum value even under conjugate impedance matching. Fortunately, applying the introduced design strategy, the reflected fundamental power is not required to be very low in the small-signal operation, but is designed with a power notch and gradually infringed by the reflected IM3 power. In this design, the fundamental leakage, 35 dB lower than the input power from simulation, is lower than the reflected fundamental power coupled to the auxiliary path. It is noted that the fundamental power into the auxiliary amplifier shown in Fig. 9 is already the sum of the reflected fundamental power and the leakage power, which does not deviate from the expected value considering only the reflected fundamental power. The simulated output fundamental and IM3/IM5 powers are shown in Fig. 12 with and without the linearization (auxiliary amplifier on and off). It can be observed that when the auxiliary amplifier functions, the output fundamental power changes little since the designed reflected IMD3 is 16 dB higher than

KUO et al.: NOVEL MMIC PA LINEARIZATION

549

Fig. 15. Measured and simulated maximum power and peak PAE from 18 to 26 GHz.

Fig. 13. Chip photograph. The chip size is

.

Fig. 16. Measured and simulated small-signal gain and input return loss with linearization. Fig. 14. Measured and simulated small-signal gain and return losses without linearization.

the output IMD3. The output IM3 power can be suppressed by 20 dB for a wide range of operational power. The circuit is simulated using Agilent ADS and full-wave EM analysis is employed for all the passive structures. IV. MEASURED RESULTS Fig. 13 shows the chip micrograph of the proposed PA with chip size of . The circuit is measured via on wafer probing. The measured and simulated gain and return losses are shown in Fig. 14 when the auxiliary amplifier is turned off. The measured results are similar to the simulated results with 10-dB power gain from 17 to 24 GHz and 450-mW power consumption. The power performance of the main amplifier is shown in Fig. 15; the measured output power is higher than 20 dBm from 19 to 25 GHz, and the PAE is about 20%. Although the small-signal gain and the power performance of the main amplifier (without linearization) are reasonably close to the simulated values, the measured small-signal gain deviates from the simulation when the auxiliary amplifier functions. The measured and simulated small-signal gain and input return loss

with linearization are shown in Fig. 16. It can be observed that the small-signal gain degrades 4 dB at 24 GHz and about 1.8 dB at 25 GHz, which makes the designed PA more useful if operated at 25 GHz. The unexpected degradation in the smallsignal gain, considering the normal performance of the main amplifier, can be attributed to the excessive fundamental power in the auxiliary path. This deviation will be discussed later in Section V. The gain and PAE of the proposed amplifier at 25 GHz are measured versus the output power, shown in Fig. 17, with and without linearization. When the PA is without linearization, the saturation power is 20.8 dBm, the is 19.2 dBm, the is 17.8%. On the peak PAE is 18.8%, and the PAE at other hand, when the PA is with linearization, it achieves saturation power of 20.2 dBm, of 19.8 dBm, peak PAE PAE of 14.1%. It can be observed that although and the small-signal gains of the two cases are obviously different, the saturation powers are close to each other. This phenomenon supports the assumption that when the IM3 is cancelled, there is surplus fundamental power in the auxiliary path that involves in the final IM3 cancellation, canceling part of the output fundamental power. The saturation power is not severely affected since the maximum output power of the auxiliary amplifier is

550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 17. Gain and PAE versus measured output power at 25 GHz, with and without linearization.

Fig. 19. Measured output spectrum of the PA with a 16-QAM signal and 11-dBm output power, with and without linearization.

Fig. 18. Measured IMD3 and IMD5, with and without linearization.

Fig. 20. Measured output and input ACPR versus PA output power, with and without linearization.

much lower than that of the main amplifier, not capable of affecting the total output power at higher output levels. Fig. 18 shows the intermodulation distortions of the PA. The IMD3 and IMD5 are measured with two-tone input power centered at 25 GHz and with frequency offset of 1 MHz. When the auxiliary amplifier functions with and for the best linearization effect, the IM3 power can be effectively suppressed in a wide output range with the total power consumption increasing only by 50 mW, contributed by the auxiliary amplifier. In summary, the IM3 power can be suppressed for more than 20 dB if the output power does not exceed 13 dBm, and the OIP3 can enhance significantly from 25 to 39 dBm. The PA can generate a output power of 14 dBm/ 15.4 dBm with IMD3 lower than 40 dBc 30 dBc, improving 9 dB/5.2 dB compared to the case without linearization. Fig. 19 shows the measured power spectrum of the PA, with linearization on and off, driven by a 1-Msps input signal under 16 quadrature amplitude modulation (16-QAM), centered at 25 GHz. The output powers in both cases are 11 dBm, computed by summing the power over the frequency range of 25 GHz 667 kHz. The enhancement in ACPR is very significant with the linearization, and the intermodulation power outside the channel can be suppressed for more than

15 dB at 1-MHz frequency offset. Fig. 20 shows the measured ACPR for the output power from 2 to 19 dBm, with and without linearization. The adjacent channel power (ACP) is calculated by summing the power over the frequency range from GHz kHz to GHz kHz and from GHz to GHz kHz. The ACPR of the input signal is also plotted since the input signal also has some adjacent channel power resulted from the modulation and the inherent nonlinearity of the source. For the output power lower than 13 dBm, the ACPR of the PA can be improved for more than 10 dB with the linearization. If the PA is required to be operated with 40-dBc ACPR, the output power can be largely enhanced from 9 to 14 dBm. It is noted that when the output power of the PA is very low (i.e. 0 dBm), the ACPR, already very close to that of the input source, cannot be improved by the linearization. Table III presents several MMIC pHEMT PAs with available linearity data. The proposed PA features an outstanding OIP3 of 39 dBm with its power consumption taken into account. It also demonstrates a wide power range of effective IMD3 and ACPR enhancement, capable of generating substantial output power with stricter limitation in linearity. The good performance is resulted from the structure of direct IM3 cancellation, which has

KUO et al.: NOVEL MMIC PA LINEARIZATION

551

TABLE III REPORTED GAAS PHEMT AMPLIFIERS WITH LINEARITY DATA

*Quiescent power consumption.

only been reported at lower frequencies due to the complexity of the conventional structures that require either four power couplers or an additional highly nonlinear device in producing the auxiliary IM3 power. V. DISCUSSIONS This section verifies that the unexpected small-signal gain degradation is resulted from the inaccuracy of the provided EEHEMT model. After the model is slightly modified according to the device measurement data, the re-simulated small-signal gain agrees to the measurement. The reflected fundamental and IM3 power are also measured and found to result in lower IMD3 than expected, which is also explained. The reflected IM3 power of the chip is directly measured by a directional coupler (HP 87301B) connected to the input of the chip, coupling part of the reflected IM3 power for the observation. The measured reflected IM3 power is plotted in Fig. 21 versus the chip input power. However, the reflected fundamental power coupled from the chip is lower than the leakage power of the off-chip coupler, and it can only be estimated by the measured small-signal input return loss, also shown in Fig. 21. It is noted that the fundamental leakage from the off-chip coupler with 30-dB isolation is higher than the reflected fundamental power, which can only be well distinguished by a coupler with isolation higher than 40 dB. On the other hand, the output fundamental and the output IM3 power can be directly measured when the auxiliary amplifier is turned off, both plotted in Fig. 22. Figs. 21 and 22 reveal that at 24 and 25 GHz, the reflected IMD3 is higher than the output IMD3, but the difference is not significant enough for the fundamental power from the auxiliary path to be neglected during the final IM3 cancellation. At 25 GHz and with a 10-dBm input power (circled in Figs. 21

Fig. 21. Measured reflected IM3 power, estimated reflected fundamental power, and the fundamental power leakage from a 30-dB isolation off-chip coupler.

and 22), the reflected IMD3 is 42 dBc, while the output IMD3 is 51 dBc (9-dB difference). It can be inferred that the smallsignal gain at 24 GHz will be reduced more severely since the reflected IMD3 is 51 dBc and the output IMD3 is 54 dBc (only 3-dB difference). After the device measurement data ( -parameters) is acquired at the designed bias, it is observed that although the simulated small-signal input impedance of the device (use EEHEMT model) is at 24 GHz with the output when network connected, it is simulated to be the device measurement data is used. In order to have the same

552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 22. Measured output fundamental and IM3 power without linearization. Fig. 24. Device -parameters of the original model, the device measurement is divided by 5 in order to be shown. data, and the revised model.

Fig. 23. Device input impedance (with output network connected) when the original model, the device measurement data, and the revised model are used in the simulation. Fig. 25. Simulated reflected IM3 power with a 30-dBm input power in the 2-D contour of source impedance. (revised model at 25 GHz).

input impedance, the EEHEMT model is revised by adding a resistor and a 12-pH inductor at its gate. Fig. 23 shows the simulated device input impedance when the EEHEMT model, the device measurement data, and the revised model are used in the simulation. Compared to the original simulation, the re-simulated input impedance with the revised model is closer to the result using the device measurement data. Fig. 24 shows the device -parameters in a unit Smith chart for the three cases ( is divided by 5 in order to be shown in the is significantly affected figure). It can be observed that only after the EEHEMT model is revised, closer to the device measurement data. Considering that Figs. 3(b) and 4(b) are constructed based on the inaccurate input impedance of at 24 GHz rather than the correct , the selected source in impedance should be adaptively viewed as Figs. 3(b) and 4(b) to obtain the re-simulated results. This modification lowers the reflected IM3 power by 5 dB and increases the reflected fundamental power by 7 dB; therefore, the revision of the device lowers the reflected IMD3 by 12 dB compared to the initial design value (16 dBc), leaving the reflected IMD3

and the output IMD3 only 4 dB apart, close to the measurement (3 dB) and accounting for the degradation in the small-signal gain. Corresponding to the revised model, the source impedance should be designed as at 24 GHz in order to achieve the desired performance introduced in Section III. From Fig. 21, the measured reflected IMD3 at 25 GHz ( 42 dBc with a 10-dBm input power) is 9 dB higher than that at 24 GHz ( 51 dBc with a 10-dBm input power), which can also be predicted by using the revised model in the simulation. At 25 GHz, the re-simulated reflected IM3 and the reflected fundamental power with a 30-dBm input power are shown in Figs. 25 and 26, respectively, to the source impedance. The source impedance in this design is at 25 GHz, and the reflected IM3 power is about 118 dBm and the reflected fundamental power is about 42 dBm. At 24 GHz, the adaptive source impedance is ; therefore, the reflected IM3 power is about 123 dBm from Fig. 3(b) and the reflected fundamental power is about 39 dBm from Fig. 4(b). Finally, the resimulated reflected IMD3 at 25 GHz is 76 dBc,

KUO et al.: NOVEL MMIC PA LINEARIZATION

553

ACKNOWLEDGMENT The chip is fabricated by WIN Semiconductors through the Chip Implementation Center (CIC), Hsin-Chu, Taiwan. The authors would like to thank Y.-L. Yeh and Prof. H.-Y. Chang, National Central University, for their help in chip measurement, and Dr. H.-C. Hsieh, Bureau of Standards, Metrology and Inspection, for his support in the manuscript preparation. REFERENCES

Fig. 26. Simulated reflected fundamental power with a 30-dBm input power in the 2-D contour of source impedance. (revised model at 25 GHz).

Fig. 27. Measured and resimulated small-signal gain with linearization.

8 dB higher than the 84-dBc reflected IMD3 at 24 GHz; the difference is close to the measured result. After the device model is revised, the measured and resimulated small-signal gain and input return loss are shown in Fig. 27 with the linearization. The resimulated results are close to the measurement, which again verify that the small-signal gain degradation is caused by the inaccuracy in the original device modeling. VI. CONCLUSION This work introduces a method to generate an auxiliary IM3 power, which is very useful in the power amplifier linearization by canceling its output IM3 power. This auxiliary signal is obtained by utilizing the input reflected signal of the targeted nonlinear device. Under some input matching conditions of the device, higher IMD3 can be obtained in the reflected signal. The proposed technique uses only a single power coupler and no additional nonlinear device in the auxiliary IM3 generation. A 25-GHz power amplifier fabricated in 0.15pHEMT is presented to exemplify the proposed technique, performing excellent linearity. With the linearization, the OIP3 of the proposed PA enhances 14 dB from 25 to 39 dBm, and the output power can be extended from 5 to 14 dBm with 40-dBc IMD3 and from 9 to 14 dBm with 40-dBc ACPR.

[1] S. C. Cripps, RF Power Amplifiers for Wireless Communication. Norwood, MA: Artech House, 1999. [2] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [3] H.-M. Park, D.-H. Baek, K.-I. Jeon, and S. Hong, “A predistortion linearizer using envelope-feedback technique with simplified carrier cancellation scheme for class-A and class-AB power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 898–904, Jun. 2000. [4] K. Yamauchi, K. Mori, and M. Nakayama, “A novel series diode linearizer for mobile radio power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, pp. 831–834. [5] S. Ko and J. Lin, “A novel linearizer and a fully integrated CMOS power amplifier,” in Asia–Pacific Microw. Conf. Tech. Dig., 2006, pp. 144–147. [6] J.-H. Tsai, H.-Y. Chang, P.-S. Wu, Y.-L. Line, T.-W. Huang, and H. Wang, “Design and analysis of a 44-GHz MMIC low-loss built-in linearizer for high-linearity medium power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2487–2496, Jun. 2006. [7] Y.-S. Lee, M.-W. Lee, and Y.-H. Jeong, “High-power amplifier linearization using the Doherty amplifier as a predistortion circuit,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 5, pp. 611–613, Sep. 2008. [8] T.-W. Kim, B. Kim, I. Nam, and B. Koi, “A low-power highly linear cascoded multiple-gate transistor CMOS RF amplifier with 10 dB IP3 improvement,” IEEE Microw. Wireless Compon. Lett., vol. 9, no. 5, pp. 420–422, Sep. 2003. [9] K.-H. Liang, C.-C. Ho, M.-W. Hsieh, and Y.-J. Chan, “Using auxiliary amplifier to cancel third-order intermodulation distortion for a 1.9 GHz CMOS linear amplifier design,” in IEEE RFIC Symp. Dig., 2005, pp. 237–240. [10] S.-W. Kim, C.-H. Park, S.-M. Lim, and M.-S. Song, “A design of the predistorter using same structure of the IMD generators,” in Asia–Pacific Microw. Conf. Tech. Dig., 2007, pp. 1–4. [11] J. Yi, Y. Yang, M. Park, W. Kang, and B. Kim, “Analog predistortion linearizer for high-power RF amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2709–2713, Dec. 2000. [12] Y. Yang, Y.-Y. Wu, and B. Kim, “New predistortion linearizer using low-frequency even-order intermodulation components,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 446–452, Feb. 2002. [13] R. Iommi, G. Macchiarella, A. Meazza, and M. Pagani, “Study of an active predistortorter suitable for MMIC implementation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 874–880, Mar. 2005. [14] H. Choi, Y. Jeong, J. S. Kenney, and C.-D. Kim, “Cross cancellation technique employing an error amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 18, pp. 488–490, Jul. 2008. [15] H. Choi, Y. Jeong, C.-D. Kim, and J. S. Kenney, “Efficiency enhancement of feedforward amplifiers by employing a negative group-delay circuit,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1116–1125, May. 2010. [16] D.-G. Kim, N.-P. Hong, and Y.-W. Choi, “A novel linearization method of CMOS drive amplifier using IMD canceller,” IEEE Microw. Wireless Compon. Lett., vol. 19, pp. 671–673, Oct. 2009. [17] J.-H. Tsai and T.-W. Huang, “A 38–46 GHz MMIC Doherty power amplifier using post-distortion linearization,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 388–390, May 2007. pHEMT Model Hand[18] WIN Semiconductors GaAs 0.15 book. Taipei, Taiwan: WIN Semiconduct., 2003. [19] K. Kurokawa, “Power waves and scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 194–202, Mar. 1965. [20] G. Damrrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [21] S. A. Mass, Nonlinear Microwave Circuits. Norwood, MA: Artech House, 1988.

554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[22] T. Shimura, T. Satoh, Y. Hasegawa, and J. Fukaya, “A high power -band applications,” in IEEE MTT-S density, 6 W MMIC for Int. Microw. Symp., 2003, pp. 851–854. [23] S. A. Brown and J. M. Carroll, “Compact l watt, power amplifier MMICs for -band applications,” in IEEE GaAs IC Symp. Dig., 2000, pp. 223–226. Nai-Chung Kuo (S’09) was born in Taipei, Taiwan, in 1987. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering, both from National Taiwan University, Taipei, Taiwan, in 2009 and 2011, respectively. His research interests are the design and theory of microwave/millimeter-wave circuits and antennas. Mr. Kuo was the recipient of the Gold Medal of the 2004 Canada Wide Science Fair, the Silver Medal of the 2005 Asia-Pacific Mathematics Olympiad, the Presidential Award of National Taiwan University (2005–2007), and the First Prize of the 2011 Youth Thesis Contest presented by the Chinese Institute of Electrical Engineering.

Jing-Lin Kuo (S’06) was born in Taipei, Taiwan, in 1983. He received the B.S. degree in electric engineering from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2006, the M.S. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2008, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include monolithic microwave/millimeter-wave circuit designs and phased array system designs.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of electromagnetic radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an Member of Technical Staff and Staff Engineer responsible for monolithic microwave integrated circuit (MMIC) modeling of CAD tools, MMIC testing evaluation, and design and became the Senior Section Manager of the Millimeter-Wave (MMW) Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, to teach MMIC-related topics. In 1994, he returned to TRW. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor. From 2005 to 2007, he was the Richard M. Hong Endowed Chair Professor of National Taiwan University. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was appointed an IEEE Distinguished Microwave Lecturer for the 2007–2009 term. He was the recipient of the 2003 Distinguished Research Award presented by the National Science Council, the 2007 Academic Achievement Award presented by the Republic of China Ministry of Education, the 2008 Distinguished Research Award presented by the PanWen-Yuan Foundation, and the 2010 National Professorship presented by the Republic of China Ministry of Education.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

555

Low-Power Sub-Harmonic Direct-Conversion Receiver With Tunable RF LNA and Wideband LO Generator at U-NII Bands Jin-Siang Syu, Student Member, IEEE, and Chinchun Meng, Member, IEEE

Abstract—A low-power tunable-band sub-harmonic direct-conversion receiver covering the whole Unlicensed National Information Infrastructure band is demonstrated using 0.18- m CMOS technology. The RF band is selected by tuning varactors at the loads of the two-stage low-noise amplifier, while a wideband octetphase generator is applied at the local oscillator (LO) port. The band tuning of both an LC tank and a transformer and the design of an optimal transformer turn ratio are fully discussed in this paper. Vertical-NPN bipolar junction transistors in a standard CMOS process are used at the mixer switching core for excellent noise performance. As a result, the receiver achieves a 48/50 voltage gain and 4.5/4.8-dB noise figure with a noise corner of 70 kHz when the RF band is tuned to 5.2/5.8 GHz, respectively. The dc current consumption of the RF front-end (including the LO buffer) is 8.5 mA at a 1.8-V supply. Index Terms—Direct-conversion receiver (DCR), low-noise amplifier (LNA), octet phase, phase shifter, sub-harmonic mixer (SHM), vertical-NPN (V-NPN).

I. INTRODUCTION

T

HE low-noise amplifier (LNA) plays an important role in low-power, low-noise receiver design since the LNA must provide sufficient gain to suppress the noise figure (NF) of the whole receiver chain, but itself adds as little noise as possible. However, the design challenge increases dramatically under power constraints. In this paper, our application is focused on the Unlicensed National Information Infrastructure (U-NII) radio band, consisting of three frequency bands of 100 MHz each in the 5-GHz band: 5.15–5.25 GHz (for indoor use only), 5.25–5.35 GHz, and 5.725–5.825 GHz. Although the LNA has a low transconductance gain due to its low dc current, the high load resistance [high quality factor ( )] provides sufficient voltage gain for a better NF of the whole receiver at the cost of the RF bandwidth. In addition, a narrower RF bandwidth results in little received noise and interference from other channels or other communication systems. Thus, a tunable/switchable narrowband LNA has better gain/noise performance than Manuscript received November 15, 2011; accepted December 03, 2011. Date of publication January 23, 2012; date of current version March 02, 2012. This work was supported by the National Science Council of Taiwan under Contract NSC 98-2221-E-009-033-MY3, Contract NSC 99-2221-E-009-049-MY3, and Contract NSC 98-2218-E-009-008-MY3, and by the Ministry of Education (MoE) Aim for the Top University (ATU) Program under Contract 95W803. The authors are with the Department of Electrical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: [email protected]. tw). Digital Object Identifier 10.1109/TMTT.2011.2182657

a wideband LNA at a given power consumption. As a result, a two-stage LNA with tunable loads, including the first-stage LC tank and the second-stage transformer load, is employed in this study. A direct-conversion receiver (DCR), i.e., zero-IF receiver, is well suited to low-power applications due to its simple circuit structure when compared to heterodyne or low-IF architectures. noise and problems are the However, the dc offset, primary issues for this system instead of the image and spurious problems of non-zero-IF architectures. A sub-harmonic mixer (SHM) is chosen for a low output dc offset due to the absence of local oscillator (LO) self-mixing [1]. In addition, MOS switching cores are directly replaced by vertical-NPN (V-NPN) bipolar-junction transistors (BJTs) available in a standard 0.18- m CMOS process. The BJT devices have a noise corner of below 1 kHz [2], [3]. Further, an LC filter is applied at the common emitter node to greatly improve the performance [4]. Moreover, by using both resonance inductors (at mixer LO port and the LC filter) and sub-harmonic mixing structure, the proposed SHM overcomes the low cutoff frequency of V-NPN BJTs and successfully operates at over . On the other hand, a wideband three times the transistor LO octet-phase signal generator is chosen to avoid tuning both RF and LO bands simultaneously. Since the RF LNA is a tunable-band structure while the mixer and the LO generator have wideband topologies, a tunable narrowband sub-harmonic direct-conversion receiver (SH-DCR) is proposed to cover the whole U-NII bands for the RF bandwidth around 200 MHz. Fig. 1 shows the block diagram of the proposed SH-DCR, including a two-stage tunable-band LNA, in-phase/quadrature (I/Q) SHMs with V-NPN BJT switching core, I/Q variable-gain amplifiers (VGAs) and a wideband LO octet-phase generator. The design of a single-in-differential-out two-stage tunable-band LNA is fully described in Section II. Section III presents the details of the down-conversion circuits, while Section IV reports the measurement results. Conclusions are given in Section V. II. TWO-STAGE TUNABLE-BAND LNA The impedance of a parallel LC tank with lossy inductor is

0018-9480/$31.00 © 2012 IEEE

(1)

556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 1. Block diagram of the I/Q SH-DCR with a tunable narrowband LNA and a wideband LO generator using 0.18- m CMOS technology.

Fig. 2. Schematic of an LC tank with a lossy inductor.

Fig. 3. (a) Frequency response of the single/two stage(s) of LC resonator(s). (b) reduction for each tank. (c) Wideband response with separation of tanks. (d) Tunable narrowband response.

Typically, the series resistance can be assumed as , where is close to a constant, only relating to the geometrical shape (including width, spacing, and thickness). Thus, (2) when , as proven in Appendix A. This is why an LC tank with a lossy inductor is commonly approximated as an RLC parallel tank, as shown in Fig. 2. Further, in Appendix A, a more general case, stages of RLC tanks in cascade with the same , is considered for bandwidth. Thus, an -dB bandwidth can be calculated as , where , which is defined in Appendix A. If the target bandwidth boundaries are and , the required can be obtained by (3) , as also shown in where the center frequency is Appendix A. Note that the 3-dB bandwidth of a single-stage LC tank is by adopting . By (3), to achieve a 3-dB bandwidth covering the whole U-NII band (5.15–5.825 GHz), the should be lower than 8.11. More strictly, if the gain flatness is required to be within 1 dB covering the whole band, the should be reduced to below 4.13. For a single-stage common-source (or cascode) LNA with RLC resonance load, the voltage gain can be simply expressed as at the center frequency . Generally speaking, the typical achievable value of an on-chip inductor is around 10. Thus, when compared to a wideband approach with . Over 2.4 times the (or extra 7.6-dB gain) is required to maintain the same voltage gain of the LNA or the IF VGA gain should be

increased if the NF of the RF front-end is acceptable. Either way, the power consumption is increased. On the other hand, if multiple tanks are in cascade and located at the same frequency, the greater number of stages in cascade results in a smaller and thus a narrower bandwidth, as also described in Fig. 3(a). Either a value reduction or a separation of resonance frequencies can fulfill the original bandwidth requirement, as illustrated in Figs. 3(b) and (c), respectively. However, gain degrades when using either method. For the requirements of low-power, high-gain, low-noise, and RF bandwidth of 5.15–5.825 GHz in this study, a two-stage cascode LNA with a tunable RF band is chosen. A two-stage LNA is required for a sufficient gain to suppress the NF of the following SHMs at a low dc current consumption. The center frequencies of the two stages are set to be the same and vary together, as described in Fig. 3(d). Thus, a higher gain is obtained when compared with the wideband solutions, especially in a low-power condition. Since the IF bandwidth is much lower than the RF bandwidth, the frequency response of the narrow RF tank is still nearly constant within the IF bandwidth 50 MHz . The schematic of a two-stage cascode LNA is shown in Fig. 4. An LC tank is used at the load of the first stage and a transformer at the second stage. A series inductor is sufficient for an input matching covering 5–6 GHz. Further, a gain tuning transistor is in parallel with the cascode transistor in the second stage to reduce the gain when a large RF signal is applied. Note that the gain tuning approach using tunable and fixed-biased is a prototype. The cascode device can be broken into more branches with weighted sizes (not only and ), and thus a more gentle slope of the tuning curve can be achieved by digitally switching on/off the bias of each branch [5]. The frequency tuning capability of both an LC tank and a transformer load with a varactor are fully discussed as follows.

SYU AND MENG: LOW-POWER SH-DCR

557

Fig. 4. Two-stage LNA with a tunable first-stage LC tank and a tunable secondstage transformer load, while a gain tuning transistor is applied in the second stage.

A. Frequency Tuning of an LC Tank Assume the higher/lower RF bandwidth boundaries are 5.825/5.15 GHz, respectively. Thus, the first-stage LC tank follows:

Fig. 5. (a) Transformer model with a capacitance load at the primary/ secondary coil, respectively. (b) Schematic of the LNA transformer with an input varactor and output pure capacitance load. (c) Layout construction of the 2:3 transformer.

(4)

As a result, (5) where is a conHowever, stant capacitance including device and substrate capacitances, and is a capacitance of a varactor ranging from to . Typically, in the CMOS process, a MOS varactor operating in an accumulation mode has a tuning ratio of 2.5. Moreover, if the varactor capacitance ratio is defined as , (6) That is, should be set above 0.23 to cover the whole U-NII band. The can be changed by modifying the size of the cascode transistor, the following common-source transistor, and the dc blocking capacitance. Thus, in this study, is tuned to 0.4 pF and and are around 0.7/0.52 pF, respectively. Further, (7) is around 1.44 nH. Finally, the used Thus, the calculated inductor in the first-stage LNA has an inductance of 1.42 nH, of 11, and of 13.5/30 GHz, respectively. B. Frequency Tuning of a Transformer Load On the other hand, the second-stage LNA has a transformer load to generate differential output signals. An transformer model is illustrated in Fig. 5(a) with the turn ratio , coupling coefficient , and

mutual inductance . Similar to an inductor, the series resistance of each coil is assumed to be proportional to the series inductance. That is, , , similar to the inductor model. Here, we focus on the situation of a pure capacitive load, which is especially suitable for an active mixer load. consists of the loading capacitance and the intrinsic parasitic capacitance of the transformer at each input/ output node. The transimpedance gain is considered because the output current of the cascode LNA is fed to the transformer and then the differential output voltage is directly transferred to the transconductance stage of the following active mixer, as indicated in Fig. 5(b). Following the lumped model in Fig. 5(a), the can be expressed as

(8) which is derived in Appendix B. Fig. 6(a) shows the frequency response of in a normalized frequency condition. As shown in Fig. 6(a), usually has two peak frequencies and , where when of the transformer is high. The mathematical derivation is also summarized in Appendix B. However, tends to be infinity for high coupling condition and is not suitable for real applications. Thus, is typically chosen. In addition, the optimal turn ratio is obtained after a thorough derivation in Appendix B if (which is true for the two coils on the same layer with the same linewidth). Fig. 6(b) shows the at a target frequency with respect to for different input/output loading capacitance. The peak gain occurs at , as predicted.

558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

That is, the tuning capability of a transformer with only one varactor on either side is half of a parallel LC tank or a transformer with varactor loads at both sides. Thus, a higher should be applied to cover the desired frequency range. As shown in Fig. 5(b), mainly consists of the varactor and the of the cascode device in the second-stage LNA. Besides, at the output stage, the differential capacitances of the transconductance stage of the mixers are in series, but I and Q mixers are in parallel. Therefore, the differential load capacitance is approximately equal to of a single transistor. Similar to an LC tank, the decision of the varactor value can also be applied. Thus,

(12) Thus, should be larger than 0.417 and is set to 0.5 in this study. If is set to 0.3 pF, pF, respectively. pF, while pF. The best transformer turn ratio is . Thus, a 2:3 planar single-to-differential transformer is chosen. The layout construction of the 2:3 transformer load is shown in Fig. 5(c), while the linewidth is 9 m, line spacing is 2 m, and the outer diameter is 190 m. III. I/Q SUB-HARMONIC DOWNCONVERTER A. SHM

Fig. 6. (a) Transimpedance gain of a transformer as a function of freat a target frequency with respect to for different quency. (b) input/output loading capacitance.

When

, i.e., can be simplified as

, the peak gain (9)

The reason is also indicated in Appendix B. It is noteworthy , which is true especially for a stacked transthat if former using two layers, shifts. Fig. 6(b) also shows that increases if , and vice versa. However, the case of is suitable for our study. Further, if varactors are employed at both nodes, and is still fulfilled, then (10) which is the same as the result of a parallel LC tank because the peak frequency is proportional to tank resonance frequency . On the other hand, if only can be tuned, assuming , yields (11) which is derived in Appendix C.

An SHM has better dc-offset output than a fundamental mixer inherently [1]. A top-LO SHM [6], [7] is applied because of a lower voltage headroom requirement and a better isolation when compared with a stacked-LO SHM at the cost of a larger LO power requirement. Additionally, parasitic V-NPN BJTs, obtained in a deep-n-well CMOS process without an extra mask [3], is applied at the mixer switching core to directly eliminate the noise problem, as shown in Fig. 7(a). Fig. 7(b) shows the as a function of of the measured data and simulated data using our fitted model with modified forward base transit time (TF) and the junction capacitance (CJC and CJE), while the dc model is provided by foundry. Besides, in this study, 0.25-mA dc current flows into one BJT transistor, and hence, the BJT only operates at around 1.7 GHz, as indicated in Fig. 7(b). That means a receiver covering U-NII bands (5.15–5.825 GHz) is rarely achievable originally. However, using both the sub-harmonic operation and differential resonance inductors, an SHM operated at three times the transistor is achieved in this study. Four differential inductors are in parallel with the base nodes of the switching core of the I/Q mixers and the output nodes of the LO generator to reduce both the conversion loss of the switching operation and the LO loss of the LO generator simultaneously. The details about the LO loss reduction will be discussed in Section III-B. On the other hand, self-mixing, transconductor nonlinearity, switching pair nonlinearity, and mismatch in load resistors are the main reasons for the degradation in downconversion mixers [8]. Self-mixing of RF signals due to coupling into the LO port can be significantly reduced by means of layout concerns, e.g., metal lines carrying RF and LO signals should never

SYU AND MENG: LOW-POWER SH-DCR

559

Fig. 8. Block diagram of an LC octet-phase generator including a wideband 45 phase shifter, cross-coupled buffer amplifiers, and single-stage PPF with resonance inductors.

tens of megahertz RF applications, the value drops dramatically due to the parasitic capacitance at high frequencies [4]. The in the LC filter can also resonate out the parasitic . Thus, both the high-frequency gain and the performance of the Gilbert mixer can be improved. For a chip area concern, a 3-D symmetric inductor realization is used [9]. The symmetrical 3-D inductors (effectively six turns from metal 6 to metal 1) with 8- m linewidth, 2- m line spacing, and 70- m outer diameter are applied at the LC filters in I/Q mixers and have the differential inductance of 2.4 nH and at around 5–6 GHz. B. Wideband LO Octet-Phase Generator

Fig. 7. (a) Top-LO sub-harmonic Gilbert mixer with V-NPN BJT in the improvement. switching core while an LC network is applied for an versus of the V-NPN BJT used in the mixer (b) Measured m . The base transit time is around 74 ps. core emitter area

cross each other, or should be kept orthogonal. Further, employing highly linear polysilicon resistors makes the effect from load resistor nonlinearity negligible. Device nonlinearity of RF transconductor generates the second-order inter-modulation distortion components. A perfectly matched switching stage upconverts the input differential baseband spectrum at mixer output. However, the RF components leak into the IF output by the low-frequency gain of the switching pairs if mismatches in the switching stage devices are considered. In principle, low-frequency RF components can be filtered out by ac coupling the switching stage. However, additional power consumption resulting from biasing the input stage and mixer core separately is not desirable in our low-power application. In this study, an LC filter is applied to filter out the current in RF transconductor [4], as shown in Fig. 7, since the shunt inductor has a relatively low impedance at low frequencies and the current can be directly shorted to ground by the bypass capacitor applied at the center-tapped node of the symmetric inductor. It is noteworthy that should be large enough for an improvement. In this study, is 15 pF. When compared to the

The proposed wideband octet-phase signal generator used at the LO port of the SHM, shown in Fig. 8, consists of a differential-type wideband 45 phase shifter [1], [10], two differential buffer amplifiers, and two polyphase filters (PPFs) with symmetrical inductor loads. The LO amplitude/phase should be very accurate covering around 2.5–3 GHz (i.e., 2LO frequency of 5–6 GHz). A firstorder RC phase shifter has perfect 45 phase shift only at a single frequency and a tunable version of the phase shifter using varactors is needed. However, it is difficult to tune both RF and LO parts of the narrowband structures in a precise way for practical use. Thus, a second-order RC phase shifter is employed to cover a given bandwidth without tuning. The schematic of the phase shifter is shown in Fig. 9(a) and the RC relations are summarized as follows:

(13)

The output voltage of the phase shifter can be expressed as (14)

where

,

or .

560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 10. Cross-coupled differential voltage buffer applied in the LO generator.

capacitance of the PPF should be small because , the voltage loss due to the output capacitance loadings is unacceptable [11]. As a result, an inter-stage buffer amplifier is inserted to provide a high load impedance for the phase shifter and a low source impedance for the following PPF. Parallel inductors resonate the capacitance including the loading mixer capacitances and PPF capacitance at to obtain a higher output impedance and reduce the PPF loss. Fig. 10 shows the schematic of the cross-coupled differential buffer amplifier [12]. The voltage gain is described as Fig. 9. (a) Schematic of a differential-type wideband 45 phase shifter. (b) Phase difference of the phase shifter with respect to frequency.

To obtain balanced amplitudes of all nodes at all frequencies, should be chosen and the voltage loss can also be expressed as

(15) where As a result,

,

or .

(16)

According to (16), the voltage loss only depends on the coefficient , and is independent of frequency. A smaller results in a lower loss; thus, is chosen in this study to achieve a voltage loss of 6 dB. Besides, the phase error varies as the two center frequencies and . Thus, are set at 1.566/4.85 GHz, respectively, for the perfect 45 LO phase difference at 2.55 and 2.95 GHz, as shown in Fig. 9(b). Thus, ideal phase error below 0.1 is achieved covering the LO frequency from 2.5 to 3 GHz. The amplitude/phase relationships derived above hold true when the load impedance is infinity. When a PPF is cascaded after the phase shifter for quadrature signal generation, the low input impedance of the PPF results in a significant phase error. Conversely, if the resistance is set high (e.g., over k ), i.e., the

(17) where is the transconductance of the , is the transconductance of , and is the load impedance of the following stage. Ideally, the voltage gain is if . When compared with a single common-source amplifier with a diode-connected load or a common-drain amplifier, the cross-coupled amplifier has twice the voltage gain and can reduce the amplitude/phase error of the input signals. If input differential signals have amplitude imbalance and phase error, i.e., , where is a complex value near to unity. The ratio of the differential outputs is expressed as

if

(18)

That is, the output voltages are perfectly differential if even though the input signals have certain amplitude/phase mismatches. As mentioned, the capacitance loadings (mixers) of the PPF result in an incredible loss. The peaking inductors are in parallel with the SHM cores. The 3-D inductor of 11 turns with 8- m linewidth, 2- m line spacing, and an outer diameter of only 100 m is used at the LO port. The differential inductance is 12 nH and at around 2.5–3 GHz. By simulation, the placement of the 3-D inductor reduces 3-dB LO power loss when compared with a pure capacitive mixer load, although the inductor is not high.

SYU AND MENG: LOW-POWER SH-DCR

561

Fig. 11. VGA with a modified - attenuation method of both load and emitter attenuators while V-NPN BJTs are employed at the transconductance stage.

C. IF Variable-Gain Amplifier V-NPN BJTs are also used at the input stage of the IF amplifier to eliminate the noise problem. Besides, the of a BJT transistor is much larger than that of a MOS transistor for the same bias current. Thus, the voltage gain of the VGA is improved using V-NPN BJTs. Conventionally, the quasi-exponential function was realized by an - attenuation load with a combination of the constant resistance of the rigid resistance and the variable resistance implemented by a MOS transistor in the triode region, as shown in Fig. 11 [13], [14]. The impedance of the - attenuator can be expressed as , and has an approximate exponential characteristic in a certain region [13]. It is well known that is proportional to the gate overdrive voltage in the triode region. Thus, we can control the impedance of the attenuation load with the exponential function. The - attenuation load is typically implemented at the load of the VGA. However, the output 1-dB compression point degrades in low-gain mode due to the limited input linear range, especially for a BJT input cell. Therefore, both loading and emitter - attenuators are applied to maintain the of the VGA, especially in low-gain mode. The equivalent two-section - attenuation results in a wider linear-in-decibel tuning range 20 dB while typically a one-section - attenuator has a linear-in-decibel tuning region of approximately 10 dB. The schematic of the VGA with both loading/emitter attenuators is shown in Fig. 11. A pMOS is employed at the drain node and an nMOS is chosen for the emitter degeneration. The drain–source resistance of the nMOS/ pMOS transistor in the triode region decreases/increases as the IF tuning voltage increases. Thus, the voltage gain has a positive gain slope with respect to the . The differential voltage gain of the VGA can be easily formulated as (19)

and while assuming , . is the rigid resistor and is the nMOS/pMOS drain–source resistance in the triode region. Fig. 12(a) shows the calculated numerator and the inverse of the denominator, , as a function

where

Fig. 12. (a) Numerator and inverse of the denominator of the voltage gain with different locations of transitions. as a function of . (b) Corresponding

of the IF tuning voltage . On the curve of , the transition occurs when becomes larger than . The curve of has two transitions, and . transition occurs as becomes smaller than , while occurs as becomes less than 1 (i.e., ). Typically, two tuning voltages should be used to control the two tuning operations. However, after proper design of bias points by transistor sizes, one tuning voltage can be adopted by properly overlapping the two constituent tuning curves. Since is the multiplication of both curves, different sequences of transitions result in different composite tuning curves. Fig. 12(b) shows the calculated for two sequences: (1) and (2) , respectively. For the former sequence, there is a certain region with a constant voltage gain, which is not permissible for real applications, as shown in Fig. 12(b). On the contrary, a smooth tuning curve can be obtained by the latter sequence of . IV. MEASUREMENT RESULTS A die photograph of the low-power low-noise tunable-band SH-DCR for U-NII bands is shown in Fig. 13, and the die size is 1.4 1.05 mm . On-wafer measurement facilitates the RF performance. The current consumption of the first/second-stage LNA is 2.5/0.8 mA, while the mixer and VGA consume 1

562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 15. CG with respect to LO power of the proposed SH-DCR.

Fig. 13. Die photograph of the proposed SH-DCR with tunable narrowband LNA and wideband LO generator in a standard 0.18- m CMOS process.

Fig. 16. NF of the proposed SH-DCR.

Fig. 14. CG and NF with respect to RF frequency of the proposed SH-DCR.

and 0.4 mA for each I/Q path, respectively. Besides, each LO buffer placed between 45 phase shifter and the PPF consumes 1.2 mA. Thus, the total current consumption is 8.5 mA at a 1.8-V supply. Fig. 14 shows the conversion gain (CG) and the NF as a function of RF frequency, while kHz. Due to the tunable RF band, two situations with maximum CG at 5.2/5.8 GHz are reported. The 5.2-GHz mode is tested for U-NII-1 band and U-NII-2 band, while the 5.8-GHz mode is tested for the U-NII-3 band. The peak CG at 5.2/5.8 GHz is 48/50 at its corresponding maximum condition, while the minimum NF is 4.5/4.8 dB. Fig. 15 shows the CG with respect to the LO power when GHz and GHz, respectively. 7/8-dBm LO power is applied for all the following measurements. Note that the effective LO voltage at the switching core is around 0.3 V by simulation. Fig. 16 shows the NF with respect to IF frequency when GHz, respectively, and the corner is around 70 kHz while the measured IF gain bandwidth is 50 MHz. Fig. 17 shows the gain difference and I/Q phase error with respect to RF frequency. The phase/amplitude imbalance are extracted from the IF output waveforms measured by an oscilloscope. The phase error has a bowl shape and is less than 1 within 4.5–6.4 GHz, while the amplitude imbalance is less

than 0.6 dB. Fig. 18(a) shows the CG as a function of the LNA RF tuning voltage at GHz, respectively, while Fig. 18(b) indicates the CG with respect to the VGA IF tuning voltage . A tuning range exceeding 20 dB is achieved by each RF/IF tuning scheme. Fig. 19 shows the of the SH-DCR of ten samples. The minimum is 26/23 dBm at 5.2/5.8 GHz band, respectively. Note that using a differential LNA can further decrease the differential imbalance of the mixer inputs and thus increase the overall at the cost of extra dc current consumption. The LO-to-RF isolation is over 75 dB, while the LO frequency ranging from 2.5 to 3.2 GHz, as shown in Fig. 20(a). As shown in Fig. 20(b), the dc offset due to self-mixing is strongly reduced because 63/70-dB LO rejection is obtained at GHz, respectively. The worst case dc offset due to LO self-mixing can be calculated as [15] (20) represents the observed LO leakage at the RF where port and stands for the CG of a mixer when the input signal is applied at LO frequency. Thus, the dc offset due to LO self-mixing is around 93 dBm (i.e., 5 V) at LO near both 2.6/2.9-GHz bands. In addition, the input return loss is greater than 10 dB covering 5–6 GHz, as shown in Fig. 21. Finally, the circuit performance is summarized and compared with the state-of-the-art DCRs in Table I. A DCR with fundamental

SYU AND MENG: LOW-POWER SH-DCR

563

Fig. 17. Gain difference and phase error of the IF I/Q outputs of the proposed SH-DCR.

Fig. 18. CG with respect to: (a) RF tuning voltage of the proposed SH-DCR. voltage

Fig. 19.

and (b) IF tuning

Fig. 20. (a) LO/2LO-to-RF isolation. (b) CG when of the proposed SH-DCR.

and

of the proposed SH-DCR.

mixers [16]–[18] has simpler downconversion circuit structures than that with SHMs [15], [19], [20], but has a worse output dc offset due to LO self-mixing. Both passive mixers [16], [17] and BJT active mixers (including using SiGe HBT technology [18]–[20] or V-NPN BJT in standard CMOS process in this study) have excellent noise corner when compared with the CMOS active mixers [15]. The proposed tunable-band LNA structure can save power consumption when compared to the wideband structure since the IF channel bandwidth is very narrow, as discussed in Section II. The BJT used as the IF stage also helps save

Fig. 21. Input matching of the proposed SH-DCR.

current consumption because of its higher when compared with that of the nMOS device for the same dc current without degrading the noise property, as indicated in Section III-C. In addition, although the 45 phase shifter and the PPF are well-known building blocks, direct cascading two blocks for octet-phase generation may cause incredible loss due to the loading effect. After detailed analyses in Section III-B, the insertion of the inter-stage buffer amplifier not only keeps the phase accuracy of the phase shifter, but also solves the voltage

564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE I PERFORMANCE COMPARISONS OF SUB-HARMONIC DIRECT-CONVERSION RECEIVERS

loss of the PPF while the peaking inductors are placed after the PPF to further reduce the LO power loss. Through design optimizations above, the proposed tunable-band SH-DCR has less power consumption than these at similar bands in the references listed in Table I, but maintains excellent gain/noise performance.

As a result,

V. CONCLUSION A 0.18- m CMOS low-power SH-DCR has been demonstrated using a tunable narrowband RF LNA and wideband LO generator. A tunable narrowband structure has better performance than a wideband design at a given power consumption. In addition, V-NPN BJTs have been applied to the LO switching core for noise improvement. With the resonance inductors and sub-harmonic mixing operation, the proposed downconversion mixer operates at three times the of the V-NPN BJTs. As a result, the demonstrated receiver achieves 48/50-dB voltage gain, 4.5/4.8-dB NF, and the noise corner is around 70 kHz when the RF band is tuned at 5.2/5.8 GHz, respectively. Besides, is 26/23 dBm, while the calculated dc offset is only around 5 V.

(A3) Dividing (A2) by (A3),

APPENDIX A DERIVATION OF THE PEAK GAIN AND THE CORRESPONDING CRITERION OF THE LC TANK WITH LOSSY INDUCTOR

can be obtained as

(A4)

As mentioned in Section II, the impedance of the LC tank with can be expressed as (A1) Thus,

Further, substitute for a highas

for simplicity and assume condition, can be rewritten

(A2) (A5) Take the differentiation by .

,

occurs at

SYU AND MENG: LOW-POWER SH-DCR

More generally, the in cascade can be expressed as

565

for the

stages of LC tanks

where

, ,

, and

, .

That is, (B3)

(A6) where The

-dB bandwidth is calculated by letting . As a result, (A7)

where

. Thus,

Here,

(A8) , where and are the two solutions of (A8). Besides, if and are the target bandwidth boundaries, the center frequency is because . The value for a target bandwidth from to can thus be obtained by (A9)

and should be determined to find the at a certain operation frequency . For the equation above, is a constant at the target frequency . occurs when , i.e., (B4) where

. Thus,

can be rewritten as (B5)

where

and

APPENDIX B DERIVATION OF THE TRANSIMPEDANCE GAIN OF A TRANSFORMER The input impedance seen from the primary coil of the transformer , shown in Fig. 5(a), can be expressed as

To determine the optimal for , (or ) should be also achieved after complete calculation of

(B1)

The

of the transformer can thus be calculated as follows:

(B2)

where is the sole “positive” solution. Thus, the optimal turn ratio of a transformer by the definition of . Further, substituting into (B4) and (B5),

(B6) However, for a high-coupling condition , and . As a result, is not an applicable solution and thus If is large enough, i.e.,

,

is typically chosen. , and

566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

. Thus, the maximum

can be rewritten

as (B7)

APPENDIX C DERIVATION OF THE TUNING CAPABILITY OF A TRANSFORMER WITH ONLY ONE VARACTOR IN EITHER SIDE A transformer with input/output capacitor loadings is shown in Fig. 5(a). If only can be tuned, assume and design , , where . Since , (B4) can be rewritten as (C1) where because

is nearly a constant is large. As a result, (C2)

and

(C3) That is, (C4)

REFERENCES [1] L. Sheng, J. C. Jensen, and L. E. Larson, “A wide-bandwidth Si/SiGe HBT direct conversion sub-harmonic mixer/downconverter,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1329–1337, Sep. 2000. [2] W. Kluge, F. Poegel, H. Roller, M. Lange, T. Ferchland, L. Dathe, and D. Eggert, “A fully integrated 2.4-GHz IEEE 802.15.4-compliant transceiver for ZigBee™ applications,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2767–2775, Dec. 2006. [3] I. Nam, K. Choi, J. Lee, H.-K. Cha, B.-I. Seo, K. Kwon, and K. Lee, “A 2.4 GHz low-power low-IF receiver and direct-conversion transmitter in 0.18- m CMOS for IEEE 802.15.4 WPAN applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 682–689, Apr. 2007. dBm [4] M. Brandolini, P. Rossi, D. Sanzogni, and F. Svelto, “ CMOS direct downconversion mixer for fully integrated UMTS receivers,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 552–559, Mar. 2006. [5] M. Zargari, M. Terrovitis, S. H.-M. Jen, B. J. Kaczynski, M. Lee, M. P. Mack, S. S. Mehta, S. Mendis, K. Onodera, H. Samavati, W. W. Si, K. Singh, A. Tabatabaei, D. Weber, D. K. Su, and B. A. Wooley, “A single-chip dual-band tri-mode CMOS transceiver for IEEE 802. 11a/b/g wireless LAN,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2239–2249, Dec. 2004.

[6] M. Goldfarb, E. Balboni, and J. Cavey, “Even harmonic double-balanced active mixer for use in direct conversion receivers,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1762–1766, Oct. 2003. [7] K.-J. Koh, M.-Y. Park, C.-S. Kim, and H.-K. Yu, “Subharmonically pumped CMOS frequency conversion (up and down) circuits for 2-GHz WCDMA direct-conversion transceiver,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 871–884, Jun. 2004. [8] D. Manstretta, M. Brandolini, and F. Svelto, “Second-order inter-modulation mechanisms in CMOS downconverters,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 394–406, Mar. 2003. [9] W.-Z. Chen and W.-H. Chen, “Symmetric 3-D passive components for RF ICs application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 599–602. [10] R. S. Carson, Radio Communications Concepts: Analog. New York: Wiley, 1990. [11] J.-S. Syu, C. C. Meng, Y.-H. Teng, and H.-Y. Liao, “Large improvement in image rejection of double-quadrature dual-conversion low-IF architectures,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1703–1712, Jul. 2010. [12] B. G. Perumana, R. Mukhopadhyay, S. Chakraborty, C.-H. Lee, and J. Laskar, “A low-power fully monolithic subthreshold CMOS receiver with integrated LO generation for 2.4 GHz wireless PAN applications,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2229–2238, Oct. 2008. [13] Y.-S. Youn, J.-H. Choi, M.-H. Cho, S.-H. Han, and M.-Y. Park, “A CMOS IF transceiver with 90 dB linear control VGA for IMT-2000 application,” in VLSI Circuits Tech. Symp. Dig., Jun. 2003, pp. 131–134. [14] Y.-S. Youn, C.-S. Kim, N.-S. Kim, and H.-K. Yu, “A 1 GHz-band low distortion up-converter with a linear in dB control VGA for digital TV tuner,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., May 2001, pp. 257–260. [15] H.-C. Chen, T. Wang, and S.-S. Lu, “A 5–6 GHz 1-V CMOS directconversion receiver with an integrated quadrature coupler,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1963–1975, Sep. 2007. [16] S. Zhou and M.-C. F. Chang, “A CMOS passive mixer with low flicker noise for low-power direct-conversion receiver,” IEEE J. Solid-State Circuits, vol. 40, no. 5, pp. 1084–1093, May 2005. [17] M. Valla, G. Montagna, R. Castello, R. Tonietto, and I. Bietti, “A 72-mW CMOS 802.11a direct conversion front-end with 3.5-dB NF noise corner,” IEEE J. Solid-State Circuits, vol. 40, and 200-kHz no. 4, pp. 970–977, Apr. 2005. [18] S. Chakraborty, S. K. Reynolds, H. Ainspan, and J. Laskar, “Development of 5.8GHz SiGe BiCMOS direct conversion receivers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1551–1553. [19] B. G. Choi and C. S. Park, “A 5.8 GHz SiGe HBT direct-conversion I/Q-channel sub-harmonic mixer for low power and simplified receiver architecture,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 177–180. [20] R. Svitek and S. Raman, “5–6 GHz SiGe active I/Q subharmonic mixers with power supply noise effect characterization,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 7, pp. 319–321, Jul. 2004. Jin-Siang Syu (S’09) was born in Taoyuan, Taiwan, in 1984. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2006, and is currently working toward the Ph.D. degree in electrical engineering at National Chiao Tung University. His current research interests are in the areas of RF integrated circuits (RFICs). Mr. Syu is a member of Phi Tau Phi.

Chinchun Meng (M’02) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1985, and the Ph.D. degree in electrical engineering from the University of California, Los Angeles (UCLA), in 1992. He is currently a Full Professor with the Department of Electrical Engineering, National Chiao Tung University, Hsinchu, Taiwan. His current research interests are in the areas of RFICs, microwave and millimeter-wave integrated circuits (ICs).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

567

2–6-GHz BiCMOS Polar-Based Vector Modulator for - and -Band Diversity Receivers Uwe Mayer, Student Member, IEEE, Michael Wickert, Ralf Eickhoff, Member, IEEE, and Frank Ellinger, Senior Member, IEEE

Abstract—This paper presents and analyzes an active vector modulator based on the polar coordinate system and composed of circulator-based phase shifters and a variable gain amplifier. The design provides full phase control range of 360 and gain control range of 36 dB for a wide frequency range of 2–6 GHz. Phase and gain can be controlled with total root mean square (rms) linearity errors of 6.3 and 0.15 dB and rms correlation errors between gain and phase of 0.6 and 1.1 dB, respectively. Therefore, the design is suitable for RF diversity receivers in the - and -bands. The integrated circuit includes all necessary peripheral circuits, such as a digital control block and internal references and covers 1.06 mm on a 0.25- m BiCMOS technology. Index Terms—BiCMOS integrated circuits, circulators, diversity reception, gain control, phase shifters.

I. INTRODUCTION

T

HE CONTROL of gain and phase of an RF signal is an important part of modern communication systems that make use of spatial diversity, phased arrays, or direct modulation [1]–[3]. Along with the increasing numbers of features and communication standards that are crammed into a single device or integrated circuit (IC), the development of wideband solutions for vector modulation is needed. Generally, two types of vector modulation approaches can be distinguished based on their representation of the complex plane. The first group of Cartesian vector modulators (VMs) employs a vector generation network using active circuits [4], passive LC networks [5], or RC polyphase filters [6], [7] and variable gain amplifiers (VGAs) in each particular vector branch in order to apply an appropriate weight to the real and imaginary part of the signal. Their vector control bandwidth is usually limited by the vector generation network. The second group of polar-based VMs can be built of a combination of a phase shifter (PS) and a VGA, each being presented in a variety of different topologies [8]. In these designs, the vector control bandwidth is usually not limited by the VGA, but may depend on the choice of the PS topology. A further approach using vector superposition was presented in [9], but it is also limited to the bandwidth of its phase-generation circuits. In contrast to the usually narrowband designs, this study focuses on a polar-based VM covering both the - and -bands. Manuscript received October 04, 2011; revised November 09, 2011; accepted November 18, 2011. Date of publication February 06, 2012; date of current version March 02, 2012. This work was supported by the European Community’s Seventh Framework Programme (FP7/2007–2013) under Grant 213952. The authors are with the Chair for Circuit Design and Network Theory, Dresden University of Technology, 01062 Dresden, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2184135

Fig. 1. Simplified small-signal equivalent circuit of the circulator-based PS.

In order to reduce the calibration efforts, a high control linearity, as well as low correlation errors between gain and phase in the individual components were considered in the selection of the circuit topologies. Last, but not least, a very compact design was targeted. In Section II, the key components and the architecture of the IC are introduced. Section III covers the discussion of gain and phase errors followed by the presentation of results in Section IV. Finally, the achievements of this study are summarized in a conclusion in Section V. II. IMPLEMENTATION The major building blocks used in this design are the PS and a VGA. Placed in series, they allow a direct manipulation of gain and phase. Due to the additional sign switch functionality of the VGA, the PS only needs to cover a relative phase shift of 180 . A. PS As presented in [8], monolithic PS topologies can be divided into switched phase shifters, distributed transmission-line phase shifters, and reflective-type phase shifters. The first two groups usually employ inductors or transmission lines that tend to cover a huge area if designed for - and -band. Furthermore, the correlation between gain and phase can only be compensated by additional circuit elements, thus being strongly subject to process variations. In contrast, the latter group generally offers a theoretical independence of gain and phase due to its all-pass filter characteristic and was therefore selected for this design. Recent papers [10], [11] showed that the active circulatorbased topology has a very high bandwidth and compact size. Since this satisfies the demands of the design, it was chosen for the reflective-type PS. A general small-signal equivalent circuit is shown in Fig. 1. The small-signal gain can be derived from this model [11], leading to equations for the signal phase and magnitude

0018-9480/$31.00 © 2012 IEEE

(1)

568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 3. Block diagram of the active circulator PS.

Fig. 2. Phase tuning curves of the circulator model from 2 to 6 GHz.

(2) The circuit always delivers unity gain regardless of its phase control, which satisfies the condition of uncorrelated gain and phase. Furthermore, its phase is easily tunable by means of the transconductance of the second stage . This implies a very important advancement in contrast to the common approach to steer , e.g., with high loss varactors. The resulting function of the signal phase contains the maximum transconductance and the static capacitor as design parameters. Equation (2) also reveals that a single circulator-based PS will only deliver a maximum relative phase shift of less than 180 . Therefore, a series connection of two units is mandatory and will be assumed in the following equations. Due to the shape of the arctangent function, it is favorable to tune toward 0. This leads to the equation for the relative phase shift with the tuning factor ranging from 0 to 1

(3)

Using this relationship, an estimation of the parameters and can be made to obtain a low-frequency dependency and to ensure at least 180 of phase shift for the targeted frequency range. Refer to Fig. 2 showing an example of the tuning curves for a frequency range from 2 to 6 GHz. The circulator model of Fig. 1 is implemented in its fully differential representation, as shown in Fig. 3. The voltage differences at each transconductance stage are calculated by means of dual-input operational transconductance amplifiers (OTAs). As proposed above, the transconductance of the second OTA can be tuned down to 0. The transconductances of the other OTAs are designed to match the reference impedance .

Fig. 4. Transconductance stage topology.

Fig. 4 illustrates the structure of the transconductance stages. Each OTA contains two differential pairs sharing one common emitter node. The resistive loads k are traded off between high resistance and the available voltage headroom. The tail current is provided by field-effect transistor (FET) current mirrors. The steerable OTA contains a second pair of differential inputs that are connected in parallel, but are cross-coupled at the shared loads. By adjusting their particular tail currents, while preserving a constant tail current sum, the signal cancels out partially. This reduces the effective transconductance of the stage. Due to the nonideal output resistance of these current sources, the current sum has a small quadratic dependency to the tuning variable , leading to an opposing variation of the common mode of and of the other two OTAs. The shared emitter node does not suppress these common mode excitations, thus changing the operating point of these OTAs with . This leads to additional terms in the circulator transfer characteristic that compensate for the nonlinear function. The effect can be controlled by the gate length of the FET mirrors. An analysis of the transistor models showed that the capacitances and of the transistors add to the capacitor . In this design, no additional capacitance was needed for the targeted frequency range from 2 to 6 GHz. Fig. 5 shows the simulated tuning curves. Compared to the model, the frequency dependency, especially at high values of , was reduced. This is related in particular to and that are not included in the circulator model. B. VGA Gain control can be achieved through various ways. The approaches can be divided into passive attenuator based and active designs. Attenuators offer a flat amplitude response over a high bandwidth, but already introduce high correlation errors between gain and phase before reaching the corner frequency.

MAYER et al.: 2–6-GHz BiCMOS POLAR-BASED VM

569

Fig. 7. Topology of the VM. Fig. 5. Simulated phase tuning curves of the circuit from 2 to 6 GHz.

C. IC Architecture

Fig. 6. VGA topology.

Additionally, the minimum loss of these passive devices has to be compensated by an amplifier. To reduce the correlation errors, active approaches either employ compensation techniques or try to impede their creation in the active devices. As stated above, the usage of compensation networks relies on the particular device and process properties and may therefore be affected by mismatch. The latter method was already widely used in VGA topologies that are based on the Gilbert cell. Therefore, this topology was selected and adopted to the needs of the system. Refer to Fig. 6 for a simplified schematic. The differential signal is routed through a pair of cross coupled common base stages – and partially cancels out at the output nodes. As for the PS, the resistive loads were selected to ensure enough voltage headroom while offering a high resistance at the outputs. The bias voltages and are steered with constant current sum current mirrors allowing for a linearly controllable gain. Further advantages of this VGA topology are as follows. 1) The common base stages can be easily matched to the output impedance of the circulator using an appropriate tail current . 2) As shown in [12], the signal phase is not affected by the gain control. This complies with the condition of uncorrelated gain and phase. 3) In contrast to the single-ended structure of [12], the crosscoupled outputs also allow for inverting the signal phase, thus doubling the achievable phase control range of the system. This can be achieved by exchanging the bias voltages and .

The circuit was intended for use in a diversity receiver that complies to the 802.11a/b standard. Refer to [3] for a description of the RF multiple-input/multiple-output (MIMO) operating principle and its successful implementation in the MIMAX project. The IC bundles the components needed for one of the multiple receive paths before signal combination and down-conversion in a single monolithic IC, as shown in Fig. 7. The antenna signal is first fed to a differential low-noise amplifier (LNA). Its input matching is determined by off-chip components such as bond wires and printed circuit board (PCB) transmission lines. Furthermore, it can be set to a low gain state for high input power scenarios. The LNA is followed by a buffer that provides a specific output impedance and matches to the circulator stages. The signal travels through the circulator phase shifters (CPSs) changing phase according to the digital control word applied to the attached digital to analog converters (DACs). After that, the signal magnitude is changed by a VGA that is controlled by a DAC. As explained before, this stage provides an optional sign inversion. Before leaving the IC, the signal is finally buffered again to match the reference impedance of the PCB transmission lines. The digital control words are received via a serial peripheral interface (SPI) controller and stored in registers. All bias currents are derived on chip from a current reference. The VM was fabricated on a low-cost 0.25- m BiCMOS process from IHP (SG25V). It has an area of 1.25 0.85 mm including pads and a received signal strength indicator (RSSI) circuit reported in [13]. Fig. 8 shows a chip photograph of the circuit with all key components highlighted. The area of the RF signal path amounts less than 0.2 mm . III. ERROR ANALYSIS A polar VM represents the mathematical operation of a multiplication with complex numbers. The input signal is multiplied with a complex weight yielding the output voltage . Unfortunately, real RF circuits are not capable of manipulating gain without changing phase and vice versa. This leads to correlation errors in both terms, thus changing the effective weight

(4)

570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 9. Simulated and measured on-wafer -parameters over frequency at full (default values after reset). gain and phase state Fig. 8. Chip photograph of the VM.

To evaluate the performance of the VM, the root mean square (rms) linearity and correlation errors are calculated for all phase and gain tuning curves. Assuming a negligible dependency between both circuits, the properties of the PS can be examined at a fixed gain and vice versa. For each phase sweep, the following equation holds for the linearity error with a linear factor :

(5) The correlation error results from the deviation of gain from the mean value and can be expressed in decibels as follows:

Fig. 10. Simulated and measured on-board -parameters over frequency at full (default values after reset). gain and phase state

(6) Similar expressions are derived for each gain sweep at a fixed phase. The linearity error with a linear factor amounts in decibels to

(7) The deviation of the phase from its mean value leads to the correlation error (8) The results of these errors will be presented as functions of frequency in Section IV. IV. RESULTS The circuit is supplied from a 2.5-V supply and consumes 15.6 mA. To verify the chip, an on-wafer four-port measurement

Fig. 11. Measured signal phase for 16 equally distributed phase states 0 to 1 at full gain and gain control range versus frequency.

from

of the -parameters up to 10 GHz was performed using an R&S ZVA67. Refer to Fig. 9 for a plot of the simulated and measured data. Due to the lack of suitable digital probes, the chip was bonded to an FR-PCB including off-chip baluns (5515BP15C1020) at input and output and SMA connectors. A further microprocessor board translates the PC control words to the on-chip SPI. The single-ended PCB was measured in the targeted operating region from 2 to 6 GHz using an R&S ZVL-6 and FSU67. The

MAYER et al.: 2–6-GHz BiCMOS POLAR-BASED VM

Fig. 12. Measured phase tuning curves from 2 to 6 GHz at full gain. The total phase control range is doubled by the sign switch VGA.

571

Fig. 15. Measured noise figure versus relative gain for various frequencies.

Fig. 13. Measured gain and phase rms errors versus frequency using a linear phase control approximation at full VGA gain.

Fig. 16. Measured noise figure versus relative phase for various frequencies.

Fig. 14. Measured gain and phase rms errors versus frequency using a linear . gain control approximation at phase state

Fig. 17. Measured IIP3 and P1dB versus gain and phase control at 5.5 GHz.

measured and simulated -parameters of the system are compared in Fig. 10. The simulated data includes estimated models for the bond wires, PCB transmission lines, and off-chip baluns, thus causing the slight differences between the curves. The narrowband behavior is caused by the selected off-chip baluns that are specified for the 802.11a frequency band and the PCB input matching structures. Without them, the lower frequency corner would be settled at around 870 MHz. The phase and gain control capabilities of the circuit were evaluated for the whole frequency range. For all frequencies, a total available gain control range of approximately 36 dB was

measured, as shown in Fig. 11. Additionally, the frequency response of equally distributed phase states from 0 to 1 is plotted in Fig. 11. For reasons of visibility, only 16 of them are shown. The plot demonstrates that the phase states are uniformly distributed over a broad frequency range resembling the response of a delay line. Fig. 12 shows the relative phase shift over phase control for various frequencies. Compared to the model and simulation curves, the frequency dependency was reduced and the linearity increased. This is caused by additional parasitic elements that are not included in the transistor models. The maximum required relative phase shift of 180 is achieved for all frequencies.

572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE I COMPARISON OF STATE-OF-THE-ART VECTOR MODULATORS WITH 360 PHASE CONTROL RANGE

In Fig. 13, the rms phase linearity error of less than 12 and the induced rms gain correlation error of less than 1.6 dB are plotted over frequency for a fixed VGA gain. An equivalent investigation was performed on a gain control sweep with a fixed phase state. The result is plotted in Fig. 14 and reveals an rms gain linearity error of less than 0.2 dB and an rms phase correlation error of less than 0.8 . Both figures demonstrate a very linear and uncorrelated control of gain and phase, which is mandatory for RF weighting units. The noise-figure measurement results are shown in Fig. 15 for four different frequencies. The curves are plotted over the relative gain of the VGA. The lowest noise figure amounts 5.7 dB. All curves show a low sensitivity of the noise figure to gain control due to the input buffer. The noise figure changes less than 1.5 dB for a phase control range of at least 180 and all frequencies. The corresponding graph is plotted in Fig. 16. Linearity parameters such as the third-order input intercept point (IIP3) and the input referred 1-dB compression point (P1dB) were measured at 5.5 GHz. The results are shown in Fig. 17. Both parameters are insensitive to gain control and they show a small sensitivity to phase control. Both IIP3 and P1dB drop by around 6 dB toward higher phase shift states. This is related to the second transconductance stage, as its bias current is nearly cut by half at maximum phase shift. The obtained on-board measurement results are compared to other VM implementations with full 360 phase control range including polar and Cartesian types in Table I. Compared to the active topologies, this IC shows similar performance in terms of gain, noise, and linearity. It has one of the highest relative bandwidths and the smallest core footprint. The high control linearity and low correlation between gain and phase without the need for calibration are remarkable. V. CONCLUSION A polar-based VM using the novel combination of a circulator-based PS and a VGA with low phase deviation was de-

signed for an application from 2 to 6 GHz. The very low errors of control linearity and correlation predicted by the circuit theory were proven by measurements. The VM is capable of changing phase by 360 and adjusting gain in the range of 36 dB. The monolithic IC also features an LNA, as well as peripheral circuits such as an SPI, DACs, and a current reference. The design does not require bulky inductors and is therefore also suitable for integration into an RF front-end IC. The on-board results prove the suitability of this design to 802.11a compliant diversity receivers such as the system designed in the MIMAX project. ACKNOWLEDGMENT The authors thank Altium Limited, Sydney, Australia, for their support on PCB design software. REFERENCES [1] A. Hajimiri, A. Komijani, A. Natarajan, R. Chunarci, X. Guan, and H. Hashemi, “Phased array systems in silicon,” IEEE Commun. Mag., vol. 42, pp. 122–130, 2004. [2] J. Paramesh, R. Bishop, K. Soumyanath, and D. J. Allstot, “A four-antenna receiver in 90-nm CMOS for beamforming and spatial diversity,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2515–2524, Dec. 2005. [3] R. Eickhoff, R. Kraemer, I. Santamaria, and L. Gonzalez, “Developing energy-efficient MIMO radios,” IEEE Veh. Technol. Mag., vol. 4, pp. 34–41, 2009. [4] T. Yu and G. M. Rebeiz, “A 24 GHz 4-channel phased-array receiver in 0.13 m CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2008, pp. 361–364. [5] K.-J. Koh and G. M. Rebeiz, “0.13 m CMOS phase shifters for -, -, and -band phased arrays,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2535–2546, Nov. 2007. [6] H. Erkens, R. Wunderlich, and S. Heinen, “A low-cost, high resolution, 360 phase/gain shifter in SiGe BiCMOS,” in IEEE Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Jan. 2009, pp. 1–4. [7] U. Mayer, M. Wickert, R. Eickhoff, and F. Ellinger, “Multiband mixed-signal vector modulator IC,” in IEEE Radio Freq. Integr. Circuits Symp., Baltimore, MA, Jun. 2011, pp. 1–4. [8] F. Ellinger et al., “Integrated adjustable phase shifters,” IEEE Microw. Mag., vol. 11, pp. 97–108, 2010. [9] R. Tseng, H. Li, D. H. Kwon, A. S. Y. Poon, and Y. Chiu, “An inherently linear phase-oversampling vector modulator in 90-nm CMOS,” in IEEE Asian Solid-State Circuits Conf., Nov. 2009, pp. 257–260.

MAYER et al.: 2–6-GHz BiCMOS POLAR-BASED VM

[10] Y. Zheng and C. E. Saavedra, “An ultra-compact cmos variable phase shifter for 2.4-GHz ISM applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1349–1354, Jun. 2008. [11] U. Mayer, R. Eickhoff, and F. Ellinger, “Compact circulator based phase shifter at -band in BiCMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Boston, MA, Jun. 2009, pp. 499–502. [12] U. Mayer, F. Ellinger, and R. Eickhoff, “Analysis and reduction of phase variations of variable gain amplifiers verified by CMOS implementation at -band,” IET Circuits, Devices, Syst., vol. 4, pp. 433–439, 2010. [13] U. Mayer, M. Wickert, and F. Ellinger, “Design of received signal strength indicators for RF-MIMO systems,” in Ph.D. Res. Microelectron. Electron. Conf., Jul. 18–21, 2010, pp. 1–4.

Uwe Mayer (S’09) was born in Dresden, Germany, in 1981. He received the Diploma degree in electrical engineering fromthe Technische UniversitätDresden (TUD), Dresden, Germany, in 2007, and is currently working toward the Ph.D. degree at TUD. His main research interests include the design of RFICs for diversity transceivers.

Michael Wickert (S’11) was born in Wurzen, Germany, in 1982. He received the Masters degree in electrical engineering from the Technische Universität Dresden (TUD), Dresden, Germany, in 2007, and is currently working toward the Ph.D. degree in integrated frontends for wireless local area network (WLAN) radios at TUD.

573

Ralf Eickhoff (S’04–M’06) received the Diploma degree in electrical engineering and Ph.D. degree from the University of Paderborn, Paderborn, Germany, in 2003 and 2007, respectively. From 2003 to 2006, he held a scholarship in the Graduate College “Automatic Configuration in Open Systems,” during which time, he was a Research Assistant involved with adaptive ICs and systems. Since 2006, he has been with the Technische Universität Dresden, Dresden, Germany, where he is currently a Postdoctoral Researcher involved with local positioning and wireless communication systems. His main research interests are low-power circuits and adaptive antenna combining in wireless communication systems.

Frank Ellinger (S’97–M’01–SM’06) was born in Friedrichshafen, Germany, in 1972. He received the Electrical Engineering degree from the University of Ulm, Ulm, Germany, in 1996, and the MBA degree and Ph.D. degree in electrical engineering and Habilitation degree in high-frequency circuit design from ETH Zürich (ETHZ), Zürich, Switzerland, in 2001 and 2004, respectively. Since August 2006, he has been a Full Professor and Head of the Chair for Circuit Design and Network Theory, Technische Universität Dresden (TUD), Dresden, Germany. He is currently a member of the Management Board and Coordinator of the communications area in the German excellence cluster project Cool Silicon. From 2001 to 2006, he was Head of the RFIC Design Group, Electronics Laboratory, ETHZ, and a Project Leader with the IBM/ETHZ Competence Center for Advanced Silicon Electronics, hosted by IBM Research, Rüschlikon, Switzerland. He has been coordinator of the European Union (EU) funded projects RESOLUTION and MIMAX. He has authored or coauthored over 170 refereed scientific papers, most of them IEEE journal contributions. He holds three patents. He authored Radio Frequency Integrated Circuits and Technologies (Springer, 2008). Prof. Ellinger was an IEEEMicrowave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2009–2011). He was the recipient of several awards including the IEEE MTT-S Outstanding Young Engineer Award, the ETHZ Medal, the Denzler Award, the Rohde&Schwarz/Agilent/Gerotron EEEfCOM Innovation Award (two-time recipient), and the Young Ph.D. Award of the ETHZ.

574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Formulation for Complete and Accurate Calibration of Six-Port Reflectometer Kamel Haddadi and Tuami Lasri

Abstract—An accurate technique for six-port reflectometers calibration is presented in this paper. The method based on a spatial Fourier analysis incorporates nonlinearity and mismatching effects as a part of the calibration procedure. The technique makes use of impedance data distributed on the whole Smith chart to increase the measurement accuracy. A straightforward least square algorithm is used to fully calibrate the six-port reflectometer. Experimental data in the millimeter-wave frequency range is provided to validate the technique. Index Terms—Calibration technique, planar technology, reflection coefficient, six-port.

I. INTRODUCTION

D

URING the 1970s, Engen and Hoer began to investigate the six-port technique as the heart of a simpler and less expensive network analyzer [1]–[6]. Since then, there has been considerable work in the analysis, design, and testing of six-port systems [7]–[13]. Generally speaking, the six-port reflectometer (SPR) is a passive linear circuit with one port connected to the signal source, one port to a device under test (DUT), and the remaining four ports connected to power detectors. The four power readings together with a mathematical treatment permit the measurement of the complex reflection coefficient of the DUT [3]. Thus, the performance of SPRs depends both on the hardware and calibration software resources. Among the calibration methods proposed, the Engen’s sixport to four-port reduction is the most popular [6]. In this twostep procedure, the SPR, defined by 11 real calibration parameters, is partitioned into a perfect four-port reflectometer associated to a two-port box-error model. In the first step, with respect to the so-called -plane calibration [6], the resolution of the calibration model that describes the dependencies between the different measured power readings yields to five of the 11 calibration parameters. Then, a traditional one-port vector network analyzer technique is used to determine the three remaining complex parameters (i.e., the last six real calibration parameters). However, the iterations required by the -plane calibration algorithm tend to be lengthy and may fail [6]. To achieve an accurate calibration task, many ingenious techniques based on Engen’s method have been proposed in the literature [14]–[22]. Manuscript received August 18, 2011; revised November 29, 2011; accepted December 13, 2011. Date of publication February 10, 2012; date of current version March 02, 2012. The authors are with the Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN-DHS), Université Lille 1, UMR CNRS 8520, 59652 Villeneuve d’Ascq Cedex, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2181861

It has to be mentioned that most of these works result often in a drastic complication of the calibration algorithms. Furthermore, all the previous methods assume a linear response at the four arms of the SPR, while most of the power detection circuits are built with nonlinear diodes that require an additional calibration step to linearize the diodes over the dynamic range of interest. To resume, three calibration procedures (namely -plane calibration, linearization of the power detectors, and one-port vector calibration) are necessary to fully calibrate the SPR. Nowadays, the six-port calibration still remains a difficult task. One reason for this is that the previous works have been mainly focused on reducing the number of calibration standards at the expense of the computational cost to compete with the traditional automatic network analyzer (ANA) that makes use of only three calibration standards for the measurement of reflection coefficients of DUTs. Despite more than 30 years of development, it is sure now that six-port systems will never compete with the ANA in a laboratory environment. In fact, the six-port technique should rather be seen as a low-cost solution for both academic and industrial purposes where ANAs become oversized in terms of cost, size, and measurement possibilities [23]–[25]. In these kinds of applications, in comparison with the pioneering SPRs dedicated to metrology applications and built with highly matched waveguide components and precise thermistors [4], [5], six-port architectures are now commonly realized in monolithic hybrid microwave integrated circuit or in monolithic microwave integrated circuit technologies [11]–[13]. Therefore, nonlinear effects and input impedance changes of the detectors as well as matching properties of the microwave components included inside the six-port junction have a major impact on the overall performance of the SPR. Consequently, there is a real need to develop calibration tasks that should be accurate, versatile, and ideally performed during the manufacturing process of the six-port systems in these technologies. In this paper, a simple calibration methodology based on the direct formulation of the measured powers as a function of the values of interest, e.g., the real part and the imaginary part of the reflection coefficient , is proposed. The proposed technique avoids intermediate calibration tasks commonly found in modified-Engen’s methods and adds several new elements to improve the measurement accuracy. In Section II, we first highlight some limitations of Engen’s calibration model. Then, we present the calibration model and the algorithms used for the minimization and the resolution of the error function. In Section III, experiments on a developed SPR are provided to demonstrate the performance of the technique proposed. The six-port junction is proposed in planar technology, and the detection circuits are built from Schottky diodes. Likewise, the system designed around 60

0018-9480/$31.00 © 2012 IEEE

HADDADI AND LASRI: FORMULATION FOR COMPLETE AND ACCURATE CALIBRATION OF SIX-PORT REFLECTOMETER

575

Fig. 2. Graphical solution of the (6) for

.

the emerging waves on the detectors as a linear superposition of the incident wave and the reflected wave (3)

Fig. 1. (a) Six-port reflectometer suggested by Engen for the measurement of the complex reflection coefficient of a device under test [3]. (b) Simplified signal flow diagram associated to the six-port reflectometer.

and are complex constants depending only on the where -parameters of the six-port network and the reflection coefficients of the detectors. Introducing the reflection coefficient , another form of (3) is given by (4)

GHz is an interesting study scenario because it requires microstrip-to-coaxial and coaxial-to-waveguide transitions at both the source and the measurement ports that may degrade significantly the matching properties. II. SIX-PORT CALIBRATION TECHNIQUE A. Review of the Prior Art and Limitations In this section, to be consistent with the previous notations adopted in the earlier works [1]–[6], we review very briefly the mathematical foundations of the six-port technique. A typical SPR is illustrated in Fig. 1(a) [3]. The architecture comprises a source , a passive six-port network and four power detectors at ( ). Incident and emergent waves have been identified at the six ports and labeled ( ) and ( ), respectively. is the complex reflection, and ( ) is the reading of the th power meter. The simplified flowchart associated to the SPR is depicted in Fig. 1(b). The incident and emergent waves are coupled through the -parameters of the six-port network by the following forms: (1) Moreover, since the ports 3, 4, 5, and 6 are terminated by detectors, four additional equations of the following form are added: and

(2)

where is the reflection coefficient of th detector. Hence, there are ten constraints on the 12 waves ( ) and ( ). Consequently, there are only two degrees of freedom between these waves. Then, it is convenient to relate

One of the four detectors is usually taken as reference to normalize the other detected powers and should ideally depend only on the source power. In practice, six-port junctions are built with imperfect hybrid couplers, leading us to consider the general forms given in (4) for all the detectors. The powers to are given by the following expressions: (5) The equations in (5) make the link between the detected powers and the reflection coefficient . These equations, commonly called “working equations” of the six-port reflectometer, can also be expressed as (6) where

and

(7)

Graphically, (6) represents circles in the -plane with centers and radii . Before doing any measurement, the SPR has to be calibrated to obtain the 12 real calibration constants (four real terms ( ) and four complex terms ( )). All of the calibration methodologies begin with the relations (6) [6], [14]–[22]. If a reference detector is used, then the number of calibration parameters is reduced to 11 [6]. In this case, the solution is the common intersection of three circles, as illustrated in Fig. 2. In the following, some limitations of Engen’s based calibration methods are discussed. First, (6) assumes linear detection, but most of the diode detectors exhibit inherent nonlinear response characteristics.

576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Thus, calibration of the diode detectors is needed when accurate power measurements are required. To that end, the linearization methods of diode detectors have to be considered. One way is to calibrate the diodes individually by comparing the diodes to a reference power meter or against a calibrated attenuator [26]. Interesting works have been proposed to calibrate the diodes in situ without disconnecting them from the six-port junction [27], [28]. In 1998, Judah and Holmes have discussed the possibility to incorporate diode nonlinearity as part of the six-port calibration procedure [29]. Second, (2) assumes that the reflection coefficients ( ) of the detectors are constant. In fact, the outcoming signals levels ( ) vary as a function of the reflection coefficient of the DUT; consequently, the reflection coefficients ( ) of the diode circuits will also vary. This variation can be easily understood when looking to the junction resistance and the saturation current of the diode. The junction resistance is inversely proportional to the diode’s own saturation current. Within the detection circuit, there will also be a second current, the circulating current, produced by the rectification in the diode. As the input power level increases, the circulating current also increases and causes a change in the junction resistance and hence modifies the input impedance of the circuit. Incidentally, the equations of (6) are also affected because they do not take into account these effects. Finally, it has been shown in the literature that the circles never intersect in a single point in the practical case. It was imputed to measurement errors and noise [6]. In his review of the six-port measurement technique, Engen attributes this “intersection failure” to power meter errors [7]. In reality, a major role is due to the fact that the equations of circles (6) do not take into account the matching properties of the measurement port. Indeed, the (4) suggests that the outcoming wave on the DUT is constant. Let us consider a simple case where the four power detectors are matched ( for ). From (1), we derive the outcoming wave , (8) By introducing

tions are often designed with couplers, power dividers, and/or phase shifters. Therefore, the matching properties of each device included in the six-port architecture will also introduce spatial nonlinearities. Based on these considerations, two different views can be highlighted. On one hand, we find the metrology community regarding the six-port technique as an independent measurement method to verify the performance of the commercially available ANAs. In particular, laboratories such as the National Institute of Standards and Technology (NIST) in the United States and the National Physical Laboratory (NPL) in the United Kingdom have developed quasi-ideal six-port and dual six-port reflectometers. In this case, the calibration model proposed by Engen has found wide acceptance and has played a major role in microwave metrology. On the other hand, the six-port concept takes advantage of the capability of easily and precisely retrieving the magnitude and phase of a complex microwave signal in a variety of applications. For example, the six-port has been applied to dielectric characterization of materials [30], microwave microscopy [24], automotive radar sensors [31], [32] telecommunications [33], or angle-of-arrival detection of a received wave [34]. The six-port benefits from its robustness and low hardware complexity. Indeed, SPRs can be realized on low-priced printed circuit boards with few lumped components. However, the hardware imperfections (i.e., mismatching and nonlinear effects) can severely limit the accuracy of measurement. Consequently, there is a real need to develop calibration tasks suited for nonideal SPRs. The calibration software should be fast, reliable, accurate, versatile, and simple. For this purpose, a six-port calibration model that expresses directly the detected powers as a function of the real and imaginary parts of the reflection coefficient is proposed in the following part. B. Direct Problem and Calibration Procedure A six-port calibration model that takes into account nonlinear and mismatching effects (detectors and six-port junction) is proposed in this part. The model (6) is first developed as follows:

in (8), we can write (9)

From (9), one notes that is a function of the reflection coefficient . Practically, it means that (6) can be considered only if the measurement port is well matched ( ) or if the reflection coefficient to be measured is relatively small. Otherwise, the expression (9) that takes into account the standing wave ratio at the measurement port must be considered in the modeling. Equation (9) is expanded to the following form: (10) This expression is the contribution of the overall reflections at the measurement port. Thus, (10) is a sum of spatial nonlinearities of the form . The expressions in (6) are not circles and must be corrected by an appropriate model that encompasses the deformation of the circles. In addition, mismatching effects inside the six-port junction will also contribute to the deformation of the circles. Indeed, six-port junc-

(11) This expression contains a sum of three terms. The first two terms are rectified signals, whereas the last one denotes, through a cosinusoidal form, a mathematical correlation between the reflected wave (magnitude and phase shift ) and the source signal. A spatial (related to the spatial phase shift ) Fourier analysis is performed to correct the general forms given in (11) into the model (12) that encompasses the mismatching and nonlinear effects.

(12) where denotes the order of expansion of the spatial Fourier analysis and are related to real calibration coefficients determined by a calibration procedure.

HADDADI AND LASRI: FORMULATION FOR COMPLETE AND ACCURATE CALIBRATION OF SIX-PORT REFLECTOMETER

In the next part of this paper, as an example, we consider for simplification purposes a third-order model ( ). Obviously, the model can be extended at any order. We focus on the development of numerical algorithms for the determination of the calibration constants. We begin by considering the real part and imaginary part of the reflection coefficient instead of the magnitude and phase shift. Doing so, we affect the same weights to the unknowns and to be determined by the numerical calculation. The resulting model can be written in a matrix form, as follows: (13) where

with

matrix of the measured powers matrix of the calibration constants matrix of the reflection coefficient null matrix

Each line of the matrix system represents an error function that relies a measured power ( ) to polynomial combinations of and through eight real calibration constants ( and ). The terms are real coefficients related to the previous coefficients and can be calculated using general trigonometric formulas:

Since these equations are independent, eight calibration standards are required for the simultaneous resolution of the matrix system. Nevertheless, the question arises on the number of calibration standards used to solve the problem. Increasing the number of calibration standards leads obviously to a robust and accurate calibration task. During the 1970s, the lack of adequate computational power was a problem for the six-port technique. Now, heavy mathematical operations can be solved by standard digital equipment. Furthermore, increasing the number of calibration loads is not penalizing as these loads can be characterized preliminarily with a traditional ANA. It is to be noted that Agilent Technologies has recently developed and commercialized a technique called electronic calibration (ECAL) that provides more accurate one-port calibrations [35], [36]. In the present work, a least-square method using redundant measured

577

powers is used to solve the set of equations. This yields an increasing of the measurement accuracy and a reduction of the random noise. A calibrated impedance tuner is ideally used to synthesize loads that are well distributed over the entire Smith chart. Our calibration procedure is detailed as follows: Step 1: Connect the impedance tuner and acquire the corresponding power readings. The order of the model and the number of calibration standards are chosen with respect to both the dynamic range and the required accuracy expected. Step 2: Determine the calibration constants by minimizing the sum of squared residuals of the error functions of the matrix system (13) by fitting the models to the measured data. The implementation of the calibration task is very simple and straightforward. After the calibration process description, we discuss in the following the resolution of the inverse problem to retrieve the reflection coefficient from the detected powers. The main constraint that occurs in the resolution of the inverse problem is the nonlinear character of the matrix system (13). An efficient two-dimensional Newton–Raphson algorithm is developed for the retrieval of the reflection coefficient according to the flowchart depicted in Fig. 3. Step 1: Find an initial guess . This latter is calculated analytically from the four power measurements values ( ) by using a first-order model derived from (13) as follows: (14) Step 2: Resolve the matrix system (13) for and as unknowns. This task is achieved by using the numerical bidimensional Newton–Raphson procedure that considers as an initial guess. In the following, we derive the algorithm developed for the resolution of the inverse problem. We consider the expressions of the detected powers as a function of and : (15) In the neighborhood of , the (15) can be expanded in Taylor series as a function of their analytical partial derivatives

(16) with

(17)

(18) The expressions (17) and (18) indicate that analytical forms for the derivatives are easily obtained resulting in a faster algorithm. By neglecting the term of order and higher order terms and by setting , we obtain a set of linear equations for both and .

578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 3. Flowchart of the reflection coefficient retrieval process.

One notes that the matrix system (13) is overdetermined; only two measured powers are needed to calculate the reflection coefficient. This redundancy inherent to the six-port technique adds to measurement accuracy. Let us consider in the following two of the four power equations, and . The determinant of the matrix of the partial derivatives of and is written as follows: (19) Then, we derive (20) (21) The result of the inversion process is called with and . Step 3: Verify the convergence. Like all the iterative procedures, the Newton–Raphson method requires a criterion to determine the convergence of the solution . For this purpose, we measure the difference between the two last computed values putting an end to the iteration when this difference is lower than is inthe criterion. If the criteria precision is not satisfied, jected at the entry of the inversion process (Step 2) for a new iteration of the algorithm. To appreciate the relevance of the proposed calibration procedure, measurements are given in the next part of this paper. III. EXPERIMENTAL VALIDATION To validate the method proposed, a monolithic microwave hybrid SPR has been designed and realized for a frequency operation around 60 GHz. In the topology chosen, no reference detector is used so that the ideal -points ( ) are

well distributed around the unit circle (Fig. 4). The phase shifts of the -points differ by 90 , and their magnitude is set to 2. The millimeter-wave circuit has been realized on a commercially available thin ceramic substrate. Table I has the substrate characteristics. The six-port has been implemented in microstrip technology with six hybrid couplers, a 90 phase shifter, and 50 resistors [Fig. 4(b)]. The detection circuit is based on an Agilent Technology HSCH-9161 zero-bias Schottky diode [37]. The circuit of detection comprises a resistive matching network that offers low sensitivity to both temperature and positioning variations of the diode [Fig. 4(c)]. At the output of the diode, the millimeter-wave signal is filtered by means of a quarter wavelength butterfly stub. High impedance quarter wavelength microstrip lines terminated by butterfly stubs are used to sense the rectified dc voltage ( ) between the input and the output of the diode. For laboratory tests, an Agilent Technologies frequency synthesizer E8257D is used to provide the millimeter-wave input signal . The system is completed by a signal conditioning block (SCB) consisting of an amplification dc stage, a 12-b analog-to-digital converter peripheral component interconnect (PCI) card for the sampling of the detected voltages to and a PC with dedicated calibration software implemented in C++. For comparison purposes, the calibration constants are determined for the first order ( and ), the second ((13) where ), and the third order (13) models according to the calibration procedure described in Section II-B. We report on Table II the calibration parameters obtained in the case of the voltage detected by the diode detector . The 3-D fitting according to the third-order model is given in Fig. 5. This plot indicates that the detected voltage varies from 500 to 2000 mV. In this voltage range, the proposed model (13) can easily take into account nonlinear effects by adjusting the

HADDADI AND LASRI: FORMULATION FOR COMPLETE AND ACCURATE CALIBRATION OF SIX-PORT REFLECTOMETER

Fig. 4. Design and fabrication of the millimeter-wave six-port reflectometer. (a) Distribution of the -points in the tometer. (c) On-substrate photograph of the detection circuit. (d) Photograph of the six-port reflectometer.

TABLE I SUBSTRATE CHARACTERISTICS

Fig. 5. Three-dimensional fitting of the detected voltage as a function of the 60 GHz. ( Measured voltages .) reflection coefficient

calibration parameters. To quantify the errors brought by the different orders, we represent in Table II the calibration coefficients, the determination coefficient , and the relative error on

579

plane. (b) Layout of the six-port reflec-

TABLE II COMPARISON BETWEEN THE THREE CALIBRATION MODELS –

60 GHz

for the three calibration models considered. From the calibration data, a relative mean error is calculated for each model. (first-order model) is equal to 1.58%. We notice that the error is reduced by a factor of five when considering the third-order model (0.29%). Thus, the accuracy of the third-order mode ensures an efficiency of fit. Equivalent results have been found for the three remaining voltages. From the detected voltages, the reflection coefficient is calculated by the developed Newton–Raphson algorithm. We present a comparison between the reflection coefficients retrieved by means of our procedure

580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE III ERROR VECTOR MAGNITUDE – 59–62 GHZ

Fig. 6. Comparison of reflection coefficients measured by the SPR and by a 60 GHz. ( ANA HP85106D automatic network analyzer (ANA) – SPR.)

We report in Table III the EVM calculated for 80 loads at four different frequencies and for the second- and third-order calibration models. Once again, we can note very low errors for all the cases considered in this table. This confirms the performance of the calibration algorithms on the frequency band of interest. IV. CONCLUSION

Fig. 7. Comparison of low reflection coefficients ( ) measured by the 60 GHz. SPR and by a HP85106D automatic network analyzer (ANA) – ( ANA SPR.)

and those obtained by using a HP85106D ANA [38] for 21 loads. The two sets of measured data are very similar. The little discrepancies can be partially imputed to the mechanical reproducibility of the impedance tuner. Consequently, the third-order model is sufficient to describe accurately the relation between the detected voltages and the reflection coefficient . Traditional calibration techniques require intensive operator action, which is prone to error, especially when measuring the phase shift of very low reflection coefficients. In our experiments, the tuner-measurement port connection is done only once for the calibration process. The accuracy and time operation of the calibration procedure can be further improved as electronic tuners are commercially available [36]. In Fig. 7, we present results obtained for low-reflection coefficients ( ). One can note very low differences that imply that the technique proposed can be used to reach wide dynamic range. The error vector magnitude (EVM) is a common figure of merit for assessing the quality of calibration. The EVM that expresses the distance between the retrieved reflection coefficients and the reference ones is given by EVM

(22)

A calibration formulation for six-port reflectometers has been presented in this work. The calibration model proposed treats directly and accurately the power measurements as a function of the real and imaginary parts of the reflection coefficient, including nonlinearities and mismatching effects. The calibration methodology based on a spatial Fourier analysis has been applied successfully for the calibration of a SPR developed in microstrip technology around 60 GHz. The calibration techniques developed in this work presents substantial advantages: • It can be applied to extend the frequency bandwidth of planar SPRs. Indeed, mismatching effects that limit the frequency range of operation can be easily taken into account. • The versatility of the method makes it applicable to any -port. As the powers are treated independently, there is no constraint on the number of ports. • It is a one-step procedure. In comparison with previous reported works, no linearization of the power detectors is required. • It is operator friendly and does not require operator expertise in the field of microwave engineering. • The method is fast, robust, rugged, and reliable. • Wide dynamic range and relatively low errors are easily achieved. The proposed technique offers a great flexibility in the realization of planar multi-port systems by giving the possibility to relax the design constraints. Thus, a significant reduction in the development cost and also a high potential for a wide range of applications in both microwave and millimeter-wave frequency bands can be achieved. REFERENCES [1] G. Engen and C. Hoer, “Application of an arbitrary 6-port junction to power-measurement problems,” IEEE Trans. Instrum. Meas., vol. 21, no. 4, pp. 470–474, Nov. 1972. [2] C. A. Hoer, “The six-port coupler: A new approach to measuring voltage, current, power, impedance, and phase,” IEEE Trans. Instrum. Meas., vol. 21, no. 4, pp. 466–470, Nov. 1972. [3] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 25, pp. 1075–1080, Dec. 1977. [4] G. F. Engen, “An improved circuit for implementing the six-port technique of microwave measurements,” in Proc. IEEE MTT-S Int. Microw. Symp., Dec. 1977, pp. 53–55.

HADDADI AND LASRI: FORMULATION FOR COMPLETE AND ACCURATE CALIBRATION OF SIX-PORT REFLECTOMETER

[5] C. A. Hoer, “A network analyzer incorporating two six-port reflectometers,” IEEE Trans. Microw. Theory Tech., vol. 25, pp. 1070–1074, Dec. 1977. [6] G. F. Engen, “Calibrating the six-port reflectometer by means of sliding terminations,” IEEE Trans. Microw. Theory Tech., vol. 12, pp. 951–957, Dec. 1978. [7] G. F. Engen, “A (historical) review of the six-port measurement technique,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2414–2417, Dec. 1997. [8] H. M. Cronson and R. A. Fong-Tom, “A 94-GHz diode-based single six-port reflectometer,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 8, pp. 1260–1264, Aug. 1982. [9] F. M. Ghannouchi and R. G. Bosisio, “A comparative worst-case error analysis of some proposed six-port designs,” IEEE Trans. Instrum. Meas., vol. 37, no. 4, pp. 552–556, Dec. 1988. [10] S. P. Yeo and K. H. Lee, “Improvements in design of six-port reflectometer comprising symmetrical five-port waveguide junction and directional coupler,” IEEE Trans. Instrum. Meas., vol. 39, no. 1, pp. 184–188, Feb. 1990. [11] V. Bilik, V. Raffaj, and J. Bezek, “A new extremely wideband lumped six-port reflectometer,” in Proc. 20th Eur. Microw. Conf., Sep. 1990, vol. 2, pp. 1473–1478. [12] F. Wiedmann, B. Huyart, E. Bergeault, and L. Jallet, “New structure for a six-port reflectometer in monolithic microwave integrated-circuit technology,” IEEE Trans. Instrum. Meas., vol. 46, no. 2, pp. 527–530, Apr. 1997. [13] K. Haddadi, M. M. Wang, D. Glay, and T. Lasri, “Performance of a compact dual six-port millimeter-wave network analyzer,” IEEE Trans. Instrum. Meas., vol. 60, no. 9, pp. 3207–3213, Sep. 2011. [14] S. Li and R. G. Bosisio, “Calibration of multiport reflectometers by means of four open/short circuits,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 7, pp. 1085–1090, Jul. 1982. [15] G. P. Riblet and E. R. B. Hansson, “Aspects of the calibration of a single six-port using a load and offset reflection standards,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 12, pp. 2120–2125, Dec. 1982. [16] P. I. Somlo and J. D. Hunter, “A six-port reflectometer and its complete characterization by convenient calibration procedures,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 2, pp. 186–192, Feb. 1982. [17] F. M. Ghannouchi and R. G. Bosisio, “A new six-port calibration method using four standards and avoiding singularities,” IEEE Trans. Instrum. Meas., vol. 36, no. 4, pp. 1022–1027, Dec. 1987. [18] U. Stumper, “Finding initial estimates needed for the Engen method of calibrating a single six-port reflectometer,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 946–949, Jul. 1990. [19] S. A. Chahine, B. Huyart, E. Bergeault, and L. Jallet, “A six-port reflectometer calibration using Schottky diodes operating in AC detection mode,” IEEE Trans. Instrum. Meas., vol. 42, no. 2, pp. 505–510, Apr. 1993. [20] F. Wiedmann, B. Huyart, E. Bergeault, and L. Jallet, “A new robust method for six-port reflectometer calibration,” IEEE Trans. Instrum. Meas., vol. 48, no. 5, pp. 927–931, Oct. 1999. [21] Y. Liu, “Calibrating an industrial microwave six-port instrument using the artificial neural network technique,” IEEE Trans. Instrum. Meas., vol. 45, no. 2, pp. 651–656, Apr. 1996. [22] A. D. Jimenez and C. A. B. Barragan, “On the calibration of a microwave network six-port reflection analyzer,” IEEE Trans. Instrum. Meas., vol. 56, no. 5, pp. 1763–1769, Oct. 2007. [23] K. Haddadi, M. M. Wang, K. Nouri, D. Glay, and T. Lasri, “Calibration and performance of two new ultra wide-band four-port based systems,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3137–3142, Dec. 2008. [24] M. M. Wang, K. Haddadi, O. Benzaim, D. Glay, and T. Lasri, “Sixport based near-field millimeter-wave microscope using a slit scanning probe,” in Proc. 4th IEEE Int. Conf. Electromagn. Near-Field Characterization Imag., Taipei, Taiwan, Jun. 2009, pp. 17–22. [25] A. Koelpin, G. Vinci, B. Laemmle, D. Kissinger, and R. Weigel, “The six-port in modern society,” IEEE Microw. Mag., vol. 11, no. 7, pp. 37–43, Dec. 2010. [26] C. Potter and A. Bullock, “Nonlinearity correction of microwave diode detectors using a repeatable attenuation step,” Microw. J., pp. 272–278, May 1993.

581

[27] G. Colef, P. R. Karmel, and M. Ettenberg, “New in situ calibration of diode detectors used in six-port network analyzers,” IEEE Trans. Instrum. Meas., vol. 39, pp. 201–204, Feb. 1990. [28] E. Bergeault, B. Huyart, G. Geneves, and L. Jallet, “Characterization of diode detectors used in six-port reflectometers,” IEEE Trans. Instrum. Meas., vol. 40, pp. 1041–1043, Dec. 1991. [29] S. R. Judah and W. Holmes, “A novel six-port calibration incorporating diode detector nonlinearity,” in Proc. IEEE Instrum. Meas. Technol. Conf., May 1998, vol. 1, pp. 592–595. [30] K. Haddadi, M. M. Wang, O. Benzaim, D. Glay, and T. Lasri, “Contactless microwave technique based on a spread-loss model for dielectric materials characterization,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 33–35, Jan. 2009. [31] J. Li, R. G. Bosisio, and K. Wu, “A collision avoidance radar using six-port phase/frequency discriminator,” in Proc. IEEE MTT-S Dig., May 1994, pp. 1553–1555. [32] E. Moldovan, S.-O. Tatu, T. Gaman, K. Wu, and R. G. Bosisio, “A new 94-GHz six-port collision-avoidance radar sensor,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 751–758, Mar. 2004. [33] K. Haddadi, M. M. Wang, D. Glay, and T. Lasri, “Four-port communication receiver with digital IQ-regeneration,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 58–60, Jan. 2010. [34] B. Huyart, J.-J. Laurin, R. Bosisio, and D. Roscoe, “A direction finding antenna system using an integrated six-port circuit,” IEEE Trans. Ant. Propag., vol. 43, no. 12, pp. 1508–1512, Dec. 1995. [35] K. Wong, “Uncertainty analysis of the weighted least squares VNA calibration,” in Proc. 64th ARFTG Microw. Meas. Conf., Dec. 2004, pp. 23–31. [36] Agilent Electronic Calibration (ECAL) Modules for Vector Network Analyzers, Agilent Technologies, Inc., 2009 [Online]. Available: http:// www.agilent.com [37] HSCH-9161 GaAs Detector Diode, Agilent Technologies, Inc., 2008 [Online]. Available: http://www.agilent.com [38] Agilent 85106D Millimeter-Wave Network Analyzer System, Agilent Technologies, Inc., 2006 [Online]. Available: http://www.agilent.com

Kamel Haddadi received the M.Sc. degree and the Ph.D. degree from the University of Lille 1, Villeneuve d’Ascq, France, in 2003 and 2007, respectively. He is currently an Associate Professor at the University of Lille 1 and the Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN). His research interests are in the areas of microwave and millimeter-wave instrumentation, characterization and modeling of devices and materials, design of multi-port RF systems for metrology and communications and high-frequency characterization of nanometer devices.

Tuami Lasri received the Ph.D. degree in electronics from the University of Lille 1, Villeneuve d’Ascq, France, in 1992. He is currently Professor of Electronics and Electrical Engineering in the University of Lille 1. His main research interests, in the Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), encompass the development of measurement techniques, and the conception and realization of systems for microwave and millimeter wave nondestructive evaluation (NDE) purposes, including the characterization of nano-devices. Another interest in the area of electromagnetic wave interactions with materials concerns the modeling of the electromagnetic signals in high-loss and low-loss materials, homogeneous, multilayered, and heterogeneous dielectric systems. Recently, he has been involved in studies in the area of energy with the development of microgenerators based on thermoelectric transduction.

582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication Joonsung Bae, Student Member, IEEE, Hyunwoo Cho, Student Member, IEEE, Kiseok Song, Student Member, IEEE, Hyungwoo Lee, Student Member, IEEE, and Hoi-Jun Yoo, Fellow, IEEE Abstract—The signal transmission mechanism on the surface of the human body is studied for the application to body channel communication (BCC). From Maxwell’s equations, the complete equation of electrical field on the human body is developed to obtain a general BCC model. The mechanism of BCC consists of three parts according to the operating frequencies and channel distances: the quasi-static near-field coupling part, the reactive induction-field radiation part, and the surface wave far-field propagation part. The general BCC model by means of the near-field and far-field approximation is developed to be valid in the frequency range from 100 kHz to 100 MHz and distance up to 1.3 m based on the measurements of the body channel characteristics. Finally, path loss characteristics of BCC are formulated for the design of BCC systems and many potential applications. Index Terms—Body channel communication, electric field communication, far-field propagation, general model, human body communication, intra-body communication, near-field coupling, on-body transmission, quasi-static, surface wave, transmission mechanism.

W

I. INTRODUCTION

IRELESS BODY AREA NETWORK (WBAN) is an emerging technology that can combine health care and consumer electronic applications around the human body. By continuously connecting and sharing the information of mobile devices around the human body, WBAN allows new convenient usages and application services. There are 3 physical layer(PHY) schemes discussed in the IEEE 802.15.6 Task Group for WBAN standardization [1]: ultra-wide-band (UWB) PHY, narrowband (NB) PHY, and body channel communication (BCC) PHY. The BCC, which uses the human body as the communication channel to transmit the electric signal, has advantages over UWB and NB due to the highconductivity ofthe human body compared to thatof air. In addition, not only is most of the signal from the transmitter confined to the body area without interference from external RF devices, but also the communication frequency can be lowered without enlarging the antenna size. These reduce the power consumption of the BCC transceiver compared to the conventional RF approaches [2]. Since the introduction of the first prototype system reported by T. G. Zimmerman in 1995 [3], there have been various studies to investigate the mechanism of sending and receiving data through the human body and to model the body channel. Manuscript received April 15, 2011; revised October 10, 2011; accepted November 28, 2011. Date of publication January 16, 2012; date of current version March 02, 2012. The authors are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2178857

These investigations are different from each other in terms of the operating frequency ranges and its body channel modeling approaches. Currently, two prevailing methods are used for explaining the mechanism of BCC as shown in Fig. 1. One is the capacitive coupling method [3]–[6], and the other is the wave propagation method [7]–[10]. At a frequency lower than tens of MHz whose wavelength is much larger than the size of the human body, the electric field around the human body is almost constant with time, which means its phase is nearly uniform everywhere on the body. In this condition, the time-varying electric field around the human body can be regarded as a quasi-static field. From the circuit model of [12], the complex unit impedance of the human body can be obtained by unit resistance of 70 and unit capacitance of 31 pF/m. Therefore, the quasi-static assumption simplifies the analysis by ignoring reactive contributions and only considering the resistance on the human body. Furthermore, at a low frequency, the human body has been approximated as a conducting wire, and a complete closed loop should be formed for the signal transmission [3]. Therefore, the return signal is transferred onto human body through capacitive near-field coupling mechanism. Since the closed loop signal path of the electric field is provided by electrostatic coupling to external conductive objects, such as earth ground, the body channel has been modeled with the capacitor and resistor circuits (Fig. 1(a)). However, the capacitive coupling model is not well matched with channel characteristics at the high frequency and long channel distance. The signal degradation along the human surface that is observed in the measurement cannot be explained in this model. On the other hand, the wave propagation method is used if the frequency is higher than tens of MHz. In this frequency range, the electrical signal attenuates as the signal propagates through the human body (Fig. 1(b)). The signal transmission mechanism has been indirectly modeled by numerical methods, such as finite-element-method (FEM) [8], finite-difference-time-domain (FDTD) method [9], and statistical method [10], to obtain the waveguide and surface wave characteristics. However, the geometric shape and the complex dielectric coefficient of the human body make it difficult to directly analyze the wave equation. Recently, phenomenological and empirical approaches have been used in the channel modeling, such as a circuit-coupled FEM model [11] and a distributed RC circuit model [12]. Such models can be conveniently applied to design the BCC transceiver and provide engineering insight into BCC mechanism, but due to their negligence in the physical mechanism behind the signal transmission on the human body, its application to optimize the BCC system is limited. In summary, previous studies covered only a limited frequency range by limited explanation method. Furthermore,

0018-9480/$31.00 © 2012 IEEE

BAE et al.: SIGNAL TRANSMISSION MECHANISM ON THE SURFACE OF HUMAN BODY

583

Fig. 1. Previous BCC Mechanism. (a) Capacitive coupling; (b) Wave propagation.

there was not a clear understanding of the on-body electrical signal transmission mechanism. It is because the only phenomenological behavior models were used for the body channel analysis. In this paper, we study the theoretical background to unify all mechanisms and also generally explain all of the mechanisms of electrical signal transmission through the human body. Based on the physical and electromagnetic theory, a general BCC model is developed to analyze the path loss according to frequency and distance for on-body transmission, and to get an engineering insight about BCC. The rest of this paper is organized as follows. Section II gives the general equation about the electrical field strength at any point above the human body, which has finite conductivity and permittivity. From the equation, the on-body transmission mechanism will be explained. Then, the measurement setup and results are shown in Section III. For the general BCC model, the near-field and far-field approximation are found to be valid in all of the frequency and distance range from the measurements in Section IV. The dominant transmission mechanism in terms of wavenumber and communication distance is also discussed. In addition, path-loss characteristics for on-body transmission will be formulated to obtain an engineering insight on the BCC. Finally, Section V concludes the paper. II. ELECTRICAL SIGNAL PROPAGATION MECHANISM ON THE HUMAN BODY As shown in Fig. 1, the concept of BCC is that the signal is applied onto the surface of the human body through signal electrode and GND electrode for sending the information, and then the potential difference, which is generated by the electric field from signal source, is sensed by electrodes contacted on the other side of the body for receiving the information. The potential difference from magnetic field can be ignored since there is no closed loop in the receiving electrodes that magnetic field passes through. The signal source is generalized by a vertical

Fig. 2. Electric field from dipole and its geometry. (a) in free space; (b) on the human body.

electrical dipole over the human body, and the detector measures the intensity of electrical signal at the remote location on the body. The on-body communication is based on the principle of electric field propagation from dipole source. A. Near-Field and Far-Field Fig. 2(a) shows the electric field from infinitesimal dipole in free space and its geometry. The received electric field is given as follows [13]: (1) where is the wire current in amps, is the wire length in meters, is the wave number, is the angular frequency in radians per second, and is the permittivity of free space. Equation (1) contains terms in 1/r, , and . In the near field, the term dominates the equation. As the distance increases, the and terms attenuate rapidly and, as a result, the 1/r term dominates in the far field. In general, 1/r, , and terms correspond to the dominant electric field in the far-field, induction-field, and near-field of the dipole, respectively. These basic concepts are useful for the understanding of the analysis in the electric signal propagation on the human body. B. Theoretical Analysis for BCC To investigate physics behind the BCC, Fig. 2(b) shows the simplified model of BCC and the electric field from infinites-

584

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

imal dipole for calculating electric field intensity at the point above the surface of the human body, which has finite conductivity and permittivity . The , , a, r, x, y, z are adequately defined in Fig. 2(b). , , , . For the generality and simplicity of the analysis, we assume the surface of the human body as an infinite half-plane with an imperfectly conducting property. To obtain a general solution of Maxwell’s equations in Fig. 2(b), the wave potential of a unit vertical dipole and its image dipole is derived by the continuity property of the tangential components from the electric and the normal components from the magnetic field with the boundary surface of the plane. By differentiating the wave potential, the vertical component of electric field intensity from a vertical dipole is given by Norton in [14] and [15] as follows:

The first term and the second term of (2) correspond to direct wave (path 1 in Fig. 2(b)) and reflected wave (path 2 in Fig. 2(b)) from dipole (TX) to RX. These two terms are a “space wave,” given by the inverse-distance terms. In addition, the wave from image dipole to RX (path 3 in Fig. 2(b)) is expressed as third term of (2), and it is a “surface wave” that contains the additional attenuation function . The last remaining terms correspond to the induction and electrostatic fields of the dipole and its image. The space wave predominates at large distances above the surface, whereas the surface wave is the larger near the surface. Since in the BCC application, we mainly consider the electric signal near the surface of the human body, we can assume , , and , therefore the direct wave and reflected wave cancel each other, which makes “space wave” to be zero. We can obtain the magnitude of electric field intensity near the human body as follows:

(10)

(2)

(3) (4) (5) (6) (7) (8) (9) where, is an attenuation function, is the coefficient of reflection for a wave with its electric vector in the plane of incidence, wavenumber is , relative conductivity is , and is the dielectric constant of the human body referred to air as unity while is the conductivity of the human body, is the operating frequency, and is the corresponding wavelength.

In regard to (1), the electric field intensity in (10) consists of terms of the first order in 1/r, second order in 1/r, and third order in 1/r. The first term of (10) correlates with far-field propagation in combination with attenuation factor of surface wave, , which is an inherent property of electric field at the surface of the half-plane with finite conductivity. On the other hand, second and third terms correspond to the induction-field radiation and near-field coupling of the dipole, respectively. Consequently, the mechanism of BCC can be divided into three parts: the surface wave far-field propagation of the first term, the reactive induction-field radiation of the second term, and the quasi-static near-field coupling of the third term. The intensity of the electric field is a function of communication distance and wavenumber. As the wavenumber or frequency , and the distance increase, the surface wave propagation term starts to have significant effect on the overall electric field intensity whereas the quasi-static coupling term is negligible, which agrees well with the previous studies in frequency range and operation mechanism (capacitive coupling at a low frequency in [3] and wave propagation at a high frequency in [9]). In addition, surface wave attenuation factor of varies not only with distance and frequency but also with complex permittivity of the human body. The numeric value of attenuation factor is given as a function of frequency in Table I by using conductivity and dielectric properties of human body’s dry skin [16] when is fixed to 1 m. As listed in Table I, from 100 kHz to 500 MHz, for the surface of the human body, if value of is far smaller than 1, the numeric value of is almost 1, but otherwise it starts to exponentially decreases, which will be discussed in Section IV.

BAE et al.: SIGNAL TRANSMISSION MECHANISM ON THE SURFACE OF HUMAN BODY

585

TABLE I NUMERIC VALUE OF ATTENUATION FACTOR OF SURFACE WAVE

C. Path Loss According to Frequency From (10), the electric field intensity is a function of the channel distance and wavenumber which is proportional to the frequency. The path loss of BCC can be expressed as the ratio of received signal to transmitted signal as follows:

(11) where, is the communication distance, is the wavenumber of operating frequency, and is the reference distance regarded as transmitting point which is determined by the physical size of the electrode. To represent the path loss characteristics according to the frequency, Fig. 3 shows the frequency response in the frequency range from 100 kHz to 1 GHz with respect to various channel distance and by plotting the value obtained from the numerical analysis in Table I. For the infinitesimal dipole, the channel distance is large enough to neglect the reference distance , and the graph in Fig. 3(a) describes the path loss characteristics with to model the infinitesimal dipole. The graph resembles high-pass filter response since the first surface wave term of (10) is proportional to the frequency, and the third quasi-static term of (10) is inversely proportional to the frequency. At a low frequency the third term of (10) is dominant over the first term of (10) and vice versa at a high frequency. Therefore, the path loss characteristics could be

approximated by means of the frequency range as the following equation: at a low frequency at a high frequency

(12)

is far smaller than 1, as frequency increases, freSince quency response looks like high-pass filter. In addition, the effect of channel distance is negligible in the low frequency. Meanwhile, as described in Section IV-B, the attenuation factor exponentially decreases with channel distance. Consequently, the frequency response begins to be affected by channel distance at a high frequency. To verify the effect of the attenuation factor at a high frequency and long channel distance, Fig. 3(b) plots the frequency response with various comparable to channel distance. In the case of Fig. 3(b), the graph resembles bandpass filter response due to the effect of the attenuation factor . It is noted that the frequency response of the body channel goes gradually downward with the large and the small and its cutoff frequency is lowered with the large . In brief, for the high frequency and low frequency, surface wave propagation and quasi-static coupling mechanism is dominant, respectively, as (10) shows. In order to represent the amount of contribution of each term to overall terms in (10) as frequency increases, the ratios of near-field part, induction-field part, and far-field part to overall response are shown in Fig. 4 with the channel distance of 1 m. The far-field surface wave term becomes equal to the sum of other two terms

586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 5. Path loss according to distance using theoretical analysis.

D. Path Loss According to Distance To describe the path loss according to the channel distance, Fig. 5 shows the path loss graph as channel distance increases from 0.5 m to 2.5 m in regard to frequencies of 30 MHz and 300 MHz whose wavelength is 10 m and 100 m in the free space, respectively, by using numerical value in Table I. The path loss falls off as a function of channel distance as the following equation: at a short distance Fig. 3. Path loss according to frequency using theoretical analysis. (a) with ; (b) with fixed . fixed

Fig. 4. Contribution ratio of each mechanism in terms of frequency.

when frequency is about 70 MHz, and corresponding value of is about 1.5. Furthermore, induction-field term contributes maximum 30% in the frequency of 50 MHz or value of 1.

at a long distance. (13) In terms of (13), for the short channel distance and long channel distance, quasi-static coupling and surface wave propagation mechanism is prevailing, respectively. The graph plotted by shows that in the long distance, the decrement at the high frequency is more remarkable than at the low frequency since as becomes larger than the magnitude of attenuation factor significantly decreases. The signal loss at the low frequency decreases with , and it is found that the ratio of to has significant effect on the path loss at a low frequency. In addition, the path loss with logarithmic scale is superimposed on Fig. 5. It shows that first, the slope for signal attenuation is steeper in short channel distance than long channel distance, and second, the slope in decibel scale is linear, which means that the signal attenuates exponentially, at a long channel distance. The contribution of each mechanism in terms of channel distance is plotted in Fig. 6, which shows the ratio of quasi-static coupling part, reactive radiation part, and surface wave propagation part to overall electric field intensity of (10) by using the frequency of 50 MHz. When is 1.3 m or is about 1.4, the surface wave part makes the same contribution as other two parts. This agrees well with the result of frequency response in the previous section. The induction field term is inversely proportional to square of whereas the quasi-static coupling term is inversely proportional to cube of . Therefore, it prevails against quasi-static coupling term at the long channel distance as shown in Fig. 6.

BAE et al.: SIGNAL TRANSMISSION MECHANISM ON THE SURFACE OF HUMAN BODY

Fig. 6. Contribution ratio of each mechanism in terms of distance.

III. ELECTRICAL SIGNAL PROPAGATION MEASUREMENT ON THE HUMAN BODY A. Measurement Setup The measurement is carried out to characterize the BCC channel and validate the theoretical BCC analysis in the previous section. To measure the channel characteristics, TX should be able to sweep the frequency band of interest, 100 kHz to 100 MHz, with accurate and tunable frequency source. RX needs to quantitatively detect the received power and store the data according to the transmitted signals. Meanwhile, a careful setup is required to measure the path loss according to the frequency and distance of BCC because both of the TX and RX should be isolated from each other and the earth ground or any devices connected or heavily coupled to the earth ground. There exist two possible methods to isolate the TX and RX. One method is to utilize battery-powered TX and RX, which have an independent power source of its own [6]; however, it is hard to sweep the precise frequencies in TX and detect the received signal in RX by using battery sources without measurement equipment, heavily coupled to the earth ground. The other method is to employ a balun with measurement equipment, such as signal generator, spectrum analyzer, and network analyzer. The balun transforms differential signals into single-ended signal for the sake of de-coupling the DC component [11]. Though a balun can conveniently separate TX and RX from earth ground and each other, it can be coupled to the earth ground with capacitance of approximately 2 pF, which is more heavily coupled than real environment. From these observations, to simulate an actual BCC application, a measurement setup in Fig. 7 is used. It consists of battery-powered signal generator with replaceable crystal oscillator as a TX, and a spectrum analyzer with a balun as a RX. Fig. 7(a) shows the overall experimental configuration and Fig. 7(b) represents the configuration of the TX and RX board. The TX board provides frequencies from 100 kHz to 100 MHz with 50% duty cycle sine wave by discrete crystal oscillators. A rectangular metal electrode is used to interface the electric signal to the human body in the TX and RX boards, and the ground electrode is

587

connected to the battery ground. The signal and ground electrodes constitute the vertical dipole. In the RX board, signal electrode and ground electrode are linked to differential inputs of balun for connecting the signal electrode to the spectrum analyzer and for isolating the ground electrode from the earth ground, respectively. The height of the human subject is 1.8 m. The RX board is attached to the left hand of the human body, and it is apart from the external ground by more than 0.9 m. The spectrum analyzer is connected with a balun at the RX electrode through 50 -matched coaxial cable to measure the signal. The distances between the TX and RX are varied from 10 cm to 130 cm by changing the TX locations from the left arm to the right arm across the chest with 5 cm interval. The length of the coaxial cable is kept short to reduce the antenna effect of the cable. During the measurement, various poses of the subject—standing with two arms outstretched, folded at his side, sitting down, etc.—are considered, and multiple data are collected repeatedly for the same frequency and distance. B. Path Loss According to Frequency To obtain the frequency response of BCC, the ratio of the received signal to the transmitted signal through the body channel is measured by sweeping the frequency of the crystal oscillator with the spectrum analyzer connected to a balun. The graph in Fig. 8 shows the measured path loss in decibel with respect to the frequency. The effects of the channel distance of 10 cm, 40 cm, and 120 cm are considered together. As explained in Section II-C, the graph shows that below 10 MHz, the body channel is relatively deterministic with a slope of 20 dB/dec regardless of the distance since in the low frequency the electric field is relatively constant across the entire human body. In this region, the body channel looks like a high-pass filter caused by near-field quasi-static coupling mechanism. However, beyond 50 MHz, the channel distance has a great effect on the overall path loss because far-field surface wave propagation mechanism starts to influence the channel characteristics. As the channel length increases, the signal attenuation of the surface wave becomes larger and induces larger signal loss. This attenuation becomes more evident at the high frequency. As a result, the path loss of the body channel goes gradually downward and its cutoff frequency is lowered with the distance. The difference between measurement results in Fig. 8 and theoretical analysis in Fig. 3 comes from various reasons because the dielectric parameter (conductivity and permittivity) of the human body and the reference distance could shift the frequency at which the path loss is the maximum. In addition, another reason of discrepancy between measurement and analysis is that we derive the intensity of the electric field through infinitesimal dipole, but the real dipole source in the measurement has a finite dimension. In Section II-B, we derived the electric field on the surface of the human body by neglecting the space wave since the space wave is much smaller than the surface wave near the human body. We also assumed that the surface of the human body is a plane, and therefore surface signal path is the same as spatial signal path between TX and RX. However, as shown in Fig. 7, two signal paths are independent from each other in the real BCC situation. The spatial path can be shorter than the surface

588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 7. Measurement setup. (a) Experimental configuration; (b) TX & RX board.

and especially at the channel distance of 120 cm, the wide fluctuation of the measurement data is observed. It comes from the different poses of the human body, which leads to variation of the surface and spatial channel distances between TX and RX. The measurement result in Fig. 9 shows the effect of spatial distance between TX and RX, in the static surface distance of 150 cm, with frequency of 16 MHz, 50 MHz, and 80 MHz. If the frequency is higher than 50 MHz, as spatial distance decreases from distance of 150 cm, it is found that at least 20 dB channel variation occurs. However, it should be noticed that surface wave component is more predominant than spatial wave component when two channel distances are same. On the other hand, at the frequency of 16 MHz, spatial distance has negligible effect on the path loss.

Fig. 8. Measured path loss according to frequecy.

path. In that case, we are not able to ignore the space wave anymore if the operating frequency and surface distance increases. For example, when the surface and spatial distance are the same as 1 m, we can neglect the space wave, however when the spatial distance decreases to 10 cm with the same surface distance at the high frequency, the space wave becomes larger. It can be scattered on the surface wave and may arrive at the RX with shifted phases. The space wave can be superimposed on the surface wave through the body constructively or destructively, and it causes path loss variation at the high frequency and long surface distance. In Fig. 8, at the frequency higher than 50 MHz,

C. Path Loss According to Distance The path loss according to the distance of BCC is measured by increasing the surface channel distance from 10 cm to 130 cm with 5 cm interval. Fig. 10 shows the measured path loss in decibel in terms of channel distance. The effects of the operating frequencies, 1 MHz, 33 MHz, and 80 MHz, are depicted together. As mentioned in Section II-D, at the frequency of 33 MHz and 80 MHz, the graph shows two features of attenuation in the near-field and far-field region. It agrees fairly well with (13), which shows the signal attenuation depends on the third order of 1/r in the near-field and the first order of in the far-field. Especially, in the far-field region, the attenuation slope is almost linear, which means the signal attenuates exponentially with channel distance. The graph also shows that the

BAE et al.: SIGNAL TRANSMISSION MECHANISM ON THE SURFACE OF HUMAN BODY

589

than 1, but in a low frequency, the communication distance is comparable to the dimension of TX and RX board in Fig. 7. IV. GENERAL BODY CHANNEL COMMUNICATION MODEL A. Near-Field Quasi-Static Coupling Approximation

Fig. 9. Effect of spatial distance between TX and RX.

Even though we performed theoretical analysis under the assumption that human body is an infinite half-plane, other factors, such as finite curved surface of human body, electrode configuration and structure, and external environment, should be also considered in real BCC situation. They could have influence on coefficient of (10) in the path loss. To verify the applicability of the theoretical analysis to real BCC environment, the data fitting based on the least square method was done to the measurement data. The fitting equation is derived from theoretical equation of (10) by approximating the each mechanism. In the case of low value of , the third term of (10) is dominant over other terms because the value of is almost 1. Therefore, if is much smaller than 1, we could approximate electric intensity as the following equation:

(14) In the near-field quasi-static approximation, the intensity of electric field is inversely proportional to wavenumber and third order of distance. B. Far-Field Surface Wave Propagation Approximation If is far larger than 1, the first term of (10) becomes dominant. In that case, the far-field surface wave propagation approximation is possible as follows:

(15)

Fig. 10. Measured path loss according to distance.

decrement at the high frequency is dominant over at the low frequency. As explained in the previous section, more fluctuations are observed in the measurement data at the high frequency and long distance. On the other hand, according to theoretical analysis in (12) and (13), at a short channel distance and a low frequency, the path loss is inversely proportional to the third order of distance, however, at the frequency of 1 MHz, channel distance has a negligible effect on the path loss in the short channel distance ( 0.8 m). It is thought that at a low frequency the impedance between signal electrode and the human body is not well matched to spectrum analyzer, therefore more signal attenuation might be measured regardless of its physical principle. Another reason seems that we derive the intensity of electric field via infinitesimal dipole, however the real dipole source used in the measurement in Fig. 7 has a finite dimension. In addition, the path loss derivation of (11) assumes the ratio of to is much smaller

Then, the intensity of electric field is now proportional to can be wavenumber and 1/r, and the attenuation factor approximated to more simple form [14] (16) where (17) (18) (19) (20) For the complex permittivity of human body and frequency of the interest, the value of is less than 4.5. In that case,

590

is almost 1, and empirical formula:

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

can be approximated as the following (21)

As is proportional to , attenuates exponentially with a function of . Consequently, intensity of electric field can be approximated as the following expression: (22) is the attenuation constant of the surface wave dewhere termined by value of in (21). In the far-field surface wave approximation, the intensity of electric field is proportional to wavenumber and inversely proportional to the first order of distance, in combination with exponential decay. C. General BCC Model From the near-field and far-field approximation, the general equation is derived as a function of distance for the sake of fitting the measurement data in terms of weighted sum of each approximation as follows:

(23) By means of (23), we can get the path loss characteristics as following simplified form: (24) where (25) (26) (27) is constant with the It should be noted that because distance, A is inversely proportional to wavenumber whereas B and C is proportional to wavenumber. To fit (24) into measurement results, first, the measurement data are plotted in neper scale, which is a logarithmic unit, based on Euler’s number , and then linear fitting is done only with data in the far-field region by means of the least square approximation as shown in Fig. 11(a). For example, for the frequency of 30 MHz data set, the slope of linear fit is 1.74 Np/m, and this value can be the coefficient value of C in (27). Fig. 11(b) shows the graph of the path loss in linear scale. By substituting the coefficient of C for the value obtained from Fig. 11(a), data are fitted into (24) for extracting the coefficient of A and B by the least square approximation as well. Fig. 12 shows the coefficient of (24) as a function of frequency from 100 kHz to 100 MHz. It is found that coefficients of C and B increase, and coefficient of A decreases as frequency increases, which have a fair agreement with (25), (26), and (27). In addition, in order to compare with the theoretical analysis in Fig. 4 and Fig. 6, the ratios of the first term and the second term of (24) to the overall value with respect to the product of

Fig. 11. Data fitting with general equation. (a) Coefficient of C. (b) Coefficient of A & B.

wavenumber and channel distance are shown in Fig. 13. The coefficient values in Fig. 12 are adopted to calculate the ratios. The surface wave component becomes equal to quasi-static component at the value of 1.2. This agrees well with the theoretical value in Fig. 4 and Fig. 6. In summary, from the physical analysis of BCC, the near-field and far-field approximation are found to derive general BCC model. The derived model is well matched with real measurement results and leads to the same tendency with theoretical analysis. D. Path-Loss Characteristics To provide engineering insight into the BCC, the path-loss characteristics for on-body transmission is formulated, based on (24) with the coefficient values in Fig. 12. The path-loss versus distance can be represented by

(28) where is the path loss in unit of dB, and are the loss per unit distance in unit of dB/m in the near-field and far-field region, respectively, is the channel distance, is the boundary

BAE et al.: SIGNAL TRANSMISSION MECHANISM ON THE SURFACE OF HUMAN BODY

591

TABLE II PARAMETERS OF PATH LOSS

Fig. 14. Path-loss characteristics. Fig. 12. Coefficient as a function of frequency. (a) Coefficient of C. (b) Coefficient of A & B.

time, the boundary distance between near-field and far-field region decreases. The path-loss characteristics are well matched with the measurement results as shown in Fig. 14. The difference between measurement and path-loss characteristic line is within 10 dB. The path-loss formulation in (28) with the parameters in Table II is useful for the BCC system design. At last, it should be noticed that the proposed BCC model, which can be expressed as (24), is general form of the previous BCC models since the general BCC model can be replaced to the previous models if a specific boundary conditions are applied. For example, the electromagnetic equation in [9] is a specific case of the general BCC model in (24) when the value of is substituted for 0, which introduces the following equation: (29)

Fig. 13. Contribution ratio of each mechanism in terms of

.

of the two regions, and and is the path loss at the channel distance of 0.1 m (minimum channel distance) and , respectively. The boundary distance of can be determined by making the quasi-static and surface wave term of (24) equal. The is given by initial condition. Then and can be obtained from substituting the value into (24), and value is simply calculated from the product of and C in (24). Table II summarizes the list of , , , , and at the frequency of 10 MHz, 30 MHz, 50 MHz, and 80 MHz. It is noticed that as frequency increases, the path loss per unit distance in the near-field and far-field region increases, while at the same

Likewise, empirical equation in [12] is also a specific case of (24) with the value of 0 as the following expression: (30) In order to examine the validation of the general BCC model, and to compare with previous models [9] and [12], the data fitting based on the least square method is conducted to the measurement data with (24), (29), and (30). Fig. 15 shows the fitting results with the adjacent R-square value which indicates the compatibility of fitting equation. It can be seen that the general BCC model has fair agreement with the measurement data, and is accurate, compared with other two models. In addition, the

592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 15. Comparison with previous Works.

general BCC model covers all of frequency and channel distance ranges, and unifies all mechanisms, regardless of explanation methods. V. CONCLUSION The signal transmission mechanism on the surface of the human body is studied for the application to body channel communication, which is one of the most promising energy-efficient candidates to WBAN PHY. We investigate the physics behind the BCC with the theoretical background to unify and generally explain all of the previous mechanisms. We also develop the complete equation of electric field on the human body, which is composed of the quasi-static near-field coupling, induction-field radiation, and the surface wave far-field propagation terms. Based on the equation, a general BCC model is proposed to analyze the path loss according to frequency and distance for on-body transmission. To validate the theoretical analysis, the BCC characteristics are measured up to frequency of 100 MHz and channel distance of 1.3 m. In addition, the near-field and far-field approximation are found to verify the usefulness for all of the frequency and distance. The dominant transmission mechanism in terms of wavenumber and channel distance is discussed as well. Finally, path-loss characteristics for on-body transmission are formulated to give an engineering insight into BCC. ACKNOWLEDGMENT The authors would like to thank to Dr. N. Cho, Prof. J.W. Ra, and Prof. S.Y. Shin of KAIST for their helpful advices and comments. REFERENCES [1] Body Area Networks (BAN), IEEE 802.15, WPAN Task Group 6 Nov. 2007 [Online]. Available: http://www.ieee802.org/15/pub/TG6.html [2] J. Bae, K. Song, H. Lee, H. Cho, and H.-J. Yoo, “A 0.24 nJ/b wireless body-area-network transceiver with scalable double-FSK modulation,” in Proc. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2011, pp. 34–35.

[3] T. Zimmerman, “Personal Area Networks (PAN): Near-Field Intrabody Communication,” Master’s thesis, MIT, Cambridge, MA, 1995. [4] K. Partridge, B. Dahlquist, A. Veiseh, A. Cain, A. Foreman, J. Goldberg, and G. Borriello, “Empirical measurements of intrabody communication performance under varied physical configurations,” in Proc. User Interface Softw. Technol. Symp., Nov. 2001, pp. 183–190. [5] M. Fukumoto, M. Shinagawa, K. Ochiai, and H. Kyuragi, “A near-field-sensing transceiver for intrabody communication based on the electrooptic effect,” IEEE Trans. Instrum. Meas., vol. 53, pp. 1533–1538, Dec. 2004. [6] T. W. Schenk, N. S. Mazloum, L. Tan, and P. Rutten, “Experimental characterization of the body-coupled communications channel,” in Proc. IEEE Int. Symp. Wearable Comput., Oct. 2008, pp. 234–239. [7] K. Fuji, M. Takahashi, K. Ito, K. Hachisuka, Y. Terauchi, Y. Kishi, K. Sasaki, and K. Itao, “Study on the transmission mechanism for wearable device using the human body as a transmission channel,” IEICE Trans. Commun., vol. E88-B, pp. 2401–2410, Jun. 2005. [8] A. Nakata, K. Hachisuka, T. Takeda, Y. Terauchi, K. Shiba, K. Sasaki, H. Hosaka, and K. Itao, “Development and performance analysis of an intra-body communication device,” in Proc. 12th Int. Conf. TRANSDUCER, Solid-State Sensors, Actuators Microsyst. 2003, Jun. 2003, vol. 2, pp. 1722–1725. [9] J. Wang, Y. Nishikawa, and T. Shibata, “Analysis of on-body transmission mechanism and characteristic based on an electromagnetic field approach,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 10, pp. 2464–2470, Oct. 2009. [10] J. Ruiz and S. Shimamoto, “Statistical modeling of intra-body propagation channel,” in Proc. IEEE Wireless Commun. Netw. Conf., Mar. 2007, pp. 2063–2068. [11] R. Xu, H. Zhu, and J. Yuan, “Circuit-coupled FEM analysis of the electric-field type intra-body communication channel,” in Proc. IEEE Biomed. Circuits Syst. Conf., Nov. 2009, pp. 221–224. [12] N. Cho, J. Yoo, S. Song, J. Lee, S. Jeon, and H.-J. Yoo, “The human body characteristics as a signal transmission medium for intrabody communication,” IEEE Trans. Microw. Theory Tech., vol. 55, pp. 1080–1086, May 2007. [13] C. Capps, “Near field or far field?,” EDN (Electronic Design News: http://www.edn.com) Aug. 2001, pp. 95–120. [14] K. A. Norton, “The propagation of radio waves over the surface of the earth and in the upper atmosphere—Part I,” in Proc. Inst. Radio Eng., Oct. 1936, pp. 1367–1387. [15] K. A. Norton, “The propagation of radio waves over the surface of the earth and in the upper atmosphere—Part 2,” in Proc. Inst. Radio Eng., Sep. 1937, pp. 1203–1236. [16] IFAC Dielectric Properties of Body Tissues. [Online]. Available: http:// niremf.ifac.cnr.it/tissprop

Joonsung Bae (S’07) received the B.S. and M.S. degrees from the Department of Electrical Engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2007 and 2009, respectively, where he is currently working toward the Ph.D. degree. He has worked on developing a transceiver for high speed and low power on-chip global interconnects. He also engaged in developing low energy wireless CMOS transceivers for communicating among wearable and implantable devices. His current research interests include low energy transceiver design for wireless body area networks and body coupled electric field communications.

Hyunwoo Cho (S’10) received the B.S. degree from the Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2010, where he is currently working toward the M.S. degree. He has worked on developing a low power transceiver for body channel communication. His current research interests include low energy transceiver design for wireless body area networks and analysis of body channel characteristics.

BAE et al.: SIGNAL TRANSMISSION MECHANISM ON THE SURFACE OF HUMAN BODY

Kiseok Song (S’09) received the B.S. and M.S. degrees from the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2009 and 2011, respectively, where he is currently working toward the Ph.D. degree. His current research interests include developing a wirelessly powered stimulator and body channel analysis for body coupled electric field communications.

Hyungwoo Lee (S’10) received the B.S. degree from the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2010, where he is currently working toward the M.S. degree. His current research interests include wirelessly powered stimulator and body coupled electric field communications.

Hoi-Jun Yoo (M’95–SM’04–F’08) graduated from the Electronic Department, Seoul National University, Seoul, Korea, in 1983 and received the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1985 and 1988, respectively. His Ph.D. work concerned the fabrication process for GaAs vertical optoelectronic integrated circuits. From 1988 to 1990, he was with Bell Communications Research, Red Bank, NJ, where he invented the

593

two-dimensional phase-locked VCSEL array, the front-surface-emitting laser, and the high-speed lateral HBT. In 1991, he became a manager of the DRAM design group at Hyundai Electronics and designed a family of fast-1M DRAMs to 256 M synchronous DRAMs. In 1998, he joined the faculty of the Department of Electrical Engineering at KAIST and now is a full professor. From 2001 to 2005, he was the Director of System Integration and IP Authoring Research Center (SIPAC), funded by Korean Government to promote worldwide IP authoring and its SOC application. From 2003 to 2005, he was the full-time Advisor to Minister of Korea Ministry of Information and Communication and National Project Manager for SoC and Computer. In 2007, he founded System Design Innovation & Application Research Center (SDIA) at KAIST to research and to develop SoCs for intelligent robots, wearable computers and bio systems. His current interests are high-speed and low-power Network on Chips, 3-D graphics, Body Area Networks, biomedical devices and circuits, and memory circuits and systems. He is the author of the books DRAM Design (Hongleung, 1996; in Korean), High Performance DRAM (Sigma, 1999; in Korean), Low-Power NoC for High-Performance SoC Design (CRC Press, 2008), and chapters of Networks on Chips (Morgan Kaufmann, 2006). Dr. Yoo received the Electronic Industrial Association of Korea Award for his contribution to DRAM technology in 1994, the Hynix Development Award in 1995, the Design Award of ASP-DAC in 2001, the Korea Semiconductor Industry Association Award in 2002, the KAIST Best Research Award in 2007, and the Asian Solid-State Circuits Conference (A-SSCC) Outstanding Design Awards in 2005, 2006 and 2007. He is an IEEE fellow and serving as an Executive Committee Member and the Far East Secretary for IEEE ISSCC, and a Steering Committee Member of IEEE A-SSCC. He was the Technical Program Committee Chair of A-SSCC 2008.

594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Optimized Low-Complexity Implementation of Least Squares Based Model Extraction for Digital Predistortion of RF Power Amplifiers Lei Guan, Student Member, IEEE, and Anding Zhu, Member, IEEE

Abstract—Least squares (LS) estimation is widely used in model extraction of digital predistortion for RF power amplifiers. In order to reduce computational complexity and implementation cost, it is desirable to use a small number of training samples in the model parameter estimation. However, due to strong correlations between data samples in a real transmit signal, the ill-conditioning problem becomes severe in standard LS, which often leads to large errors occurring in model extraction. Using a short training sequence can also cause mismatch between the statistical properties of the training data and the actual signal that the amplifier transmits, which could degrade the linearization performance of the digital predistorter. In this paper, we propose first to use a 1-bit ridge regression algorithm to eliminate the ill-conditioning problem in the LS estimation and then use root-mean-squares based coefficients weighting and averaging approach to reduce the errors caused by the statistical mismatch. Experimental results show that the proposed approach can produce excellent model extraction accuracy with only a very small number of training samples, which dramatically reduces the computational complexity and the system implementation cost. Index Terms—Digital predistortion (DPD), least squares (LS), model extraction, power amplifier (PA).

I. INTRODUCTION

T

HE increasing demands of higher data rates and wider bandwidths impose severe challenges in designing high efficiency and high linearity RF power amplifiers (PAs) in wireless transmitters. Digital predistortion (DPD) is proposed to use digital signal processing (DSP) techniques to compensate for the nonlinear distortion in the RF PA, thereby allowing it to be operated at higher drive levels for higher efficiency [1]. The attraction of this approach is that the nonlinear PA can be linearized by an add-on digital block, freeing vendors from the burden and complexity of manufacturing complex analog/RF circuits. DPD has become one of the most popular linearization

Manuscript received July 03, 2011; revised December 15, 2011; accepted December 19, 2011. Date of publication January 23, 2012; date of current version March 02, 2012. This work was supported by the Science Foundation Ireland under the Principal Investigator Award scheme. The authors are with the School of Electrical, Electronic and Communications Engineering, University College Dublin, Dublin 4, Ireland (e-mail: lei. [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2182656

techniques in modern wireless communication systems, especially in high-power base stations. As price pressures become more intense, in order to make the system economically competitive, low-complexity and low-cost solutions are always desirable. The implementation of a DPD mainly includes two parts, which are: 1) the predistortion unit, which nonlinearly processes the input signal before it enters the PA in the transmit chain and 2) the model parameter extraction unit, which is used to initialize and update the DPD coefficients. In [2], we proposed an efficient hardware implementation methodology for the predistortion unit of a simplified Volterra-series-based DPD. In this paper, we focus on the implementation of the model extraction part. Most DPD models employed today are derived from either polynomials or the Volterra series [3]–[13]. One important observation is that the output of these models is linear with respect to their coefficients. This means that it is possible to extract the nonlinear DPD models in a direct way by using linear system identification algorithms. Due to its fast convergence and high accuracy, the least squares (LS) estimation is widely used for model extraction in DPD systems [12]–[15]. The LS algorithm is a statistical regression-based data-fitting method that finds a solution by minimizing the sum of the squares of deviation between the expected and observed data. The best solution can be obtained when the errors among each set of input/output are uncorrelated. In practice, the training samples, which are used for extracting parameters of the DPD, are not independent from each other, and the observation errors are thus not uncorrelated. To reduce the influence of the errors in the observations, the LS estimator often needs a large number of training sequences to derive the best approximation of the DPD coefficients. In other words, the number of samples used to build the LS matrices is much larger than that of unknown parameters. For example, a couple of thousand data samples are normally used [12]–[15]. Since matrix–matrix multiplication and inversion are very complex to operate, LS with large-size matrices are very expensive to implement. Furthermore, large matrix operations are also time consuming [16], which may cause problems in some applications such as a system that requires real-time or fast coefficients adaptation. To avoid large matrices in order to reduce the computational complexity, it is desirable to use only a small number of data samples in DPD model extraction. Unfortunately, using short sequences leads to two consequences. First, the ill-conditioning

0018-9480/$31.00 © 2012 IEEE

GUAN AND ZHU: OPTIMIZED LOW-COMPLEXITY IMPLEMENTATION OF LS BASED MODEL EXTRACTION FOR DPD OF RF PAs

595

models [8]–[13]. In this paper, we use the simplified secondorder dynamic deviation reduction-based Volterra-series model proposed in [12], which can be expressed as follows:

Fig. 1. Block diagram of a DPD system.

problem becomes more severe in the LS estimation because a reduced number of training samples can result in rank deficiency of LS matrices that consequently increases model extraction errors. Secondly, model extraction from a short training sequence also suffers from a statistical mismatch problem because the short training sequence often cannot fully represent the statistical property of the real signal that the PA transmits. This mismatch can significantly affect the accuracy of the DPD, and thus degrade its linearization performance. In order to deal with these challenges, in this study we first introduce a 1-bit ridge regression (1-bit RR) approach to solve the ill-conditioning problem in the LS-based model extraction using short training sequences, and then propose a root mean square (RMS) based coefficients weighting and an averaging method to reduce the model extraction errors caused by unmatched statistics between the training data and the real transmit signal. Combining these two solutions, we can use very short training sequences to accurately extract the DPD coefficients, which will maintain high linearization performance of the DPD while the computational complexity and implementation cost of the DPD are dramatically reduced. This paper is organized as follows. After briefly reviewing the typical LS-based model extraction of DPD in Section II, the 1-bit RR algorithm is proposed in Section III. The RMSweighted coefficients averaging (RMS-WA) solution is introduced in Section IV and the detailed model extraction procedures and system complexity analysis are given in Section V. The experimental results are presented in Section VI, followed by a conclusion in Section VII. II. DPD REVIEW The principle of DPD is that a nonlinear distortion function is built up within the digital domain that is the inverse of the distortion function exhibited by the PA. Most DPDs to date are implemented in the digital baseband domain. In these systems, the baseband in-phase/quadrature (I/Q) signal is first preprocessed by the DPD unit, then modulated and up-converted to the RF frequency, and finally sent to the PA. In order to extract and update the coefficients of the DPD, a small fraction of the transmit signal is fed back and transferred back to baseband. The model extraction unit compares the captured input and output data to extract the coefficients for the DPD. The block diagram of a DPD system is illustrated in Fig. 1. Over the past decade, extensive research has been carried out in DPD and many behavioral models have been developed, such as memory polynomial [3], generalized memory polynomial [4], and various simplified formats of Volterra-series-based

(1) where and are the input and the output complex I/Q envelopes, respectively. ( ) are the complex Volterra kernels of the DPD, represents the complex conjugate operation, and returns the magnitude. is the order of nonlinearity ( is an odd number) and represents the memory length. In a compact form, (1) can be rewritten in a matrix format as (2) where the matrix

contains all of the linear and product terms , appearing in the input of the model, for , and represents the parameter vector containing all of the unknown coefficients . The vector represents the DPD output vector. Subscripts indicate the size of the matrix. represents the number of input/ output data samples used and is the number of coefficients involved. To extract the coefficients, the th-order post-inverse [13] or the in-direct learning [17] can be employed, where the feedback signal, i.e., the output of the PA, , is used as the input of the model, while the predistorted output signal, , is used as the expected output. By employing the standard LS algorithm, the coefficients vector can be estimated from (3) where is the PA output matrix in a similar form to the matrix , and represents the Hermitian transpose. In (3), there are three matrix–matrix multiplications and one matrix inversion. The computational complexity of each such matrix operation depends on the sizes of the matrices involved. For instance, multiplying a matrix by a matrix requires complex multiplications and complex additions. The inversion of a matrix is approximately equivalent to number of multiplication operations. The total number of complex multiplication operations to be conducted in (3) is (4)

596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

and the total number of complex addition operations is (5) In DSP, the multiplication operation is much more complex and resource consuming than that of addition. For example, in the Xilinx Virtex IV field-programmable gate array (FPGA), a single 16-bit complex multiplier requires 1168 four-input lookup tables (LUTs), 594 unit slices, and 598 flip-flops, while an adder only needs 32 LUTs, 18 slices, and 34 flip-flops. Therefore, consumes much less hardware resources than , and the overall computational complexity of (3) thus mainly depends on , the total number of complex multiplications involved, namely,

cause the estimated coefficients to deviate considerably from their true values. Moreover, the ill-conditioning problem also often causes large variations among the extracted coefficient values, namely, some coefficients can be very small and the others may reach very large values. A consequence is that higher resolution digital circuits must be utilized to process and store the coefficients. This is because, in digital hardware, e.g., FPGAs, the DPD coefficients are normally stored in binary form. The number of binary bits required for representing the values of the coefficients is decided by the dynamic range of the coefficients, or the ratio of the maximum value to the smallest resolution of the system. For example, the number of binary bits, , is normally calculated from integer

(6) Furthermore, in LS estimation, in order to reduce the influence of errors in the observations, the number of data samples used is normally much greater than that of unknown parameis normally much greater ters in the model. In other words, than . This leads to the conclusion that the computational complexity of model extraction actually mainly depends on the number of the training samples used. III. 1-bit RIDGE REGRESSION Since the complex multiplier is one of the most complex and expensive components in digital circuits, e.g., FPGA hardware,1 in order to reduce the implementation cost in a real system, it is always desirable to use a smaller number of data samples, i.e., a shorter training sequence, to extract model parameters. However, a reduced number of training samples can introduce severe ill conditioning in the matrix inversion of LS, which consequently increases the errors of model extraction and thus degrades the DPD performance. The numerical condition of a matrix is normally measured by its condition number (7) to which is defined as the ratio of the largest eigenvalue the smallest eigenvalue of the matrix. In LS estimation, the accuracy of the solution mainly depends on the numerical condition of the Hessian matrix . Ideally, if the data samples are uncorrelated from each other, each row of the matrix will be independent of the others. The condition number will then be small and the system is well conditioned so that the best fit can be obtained. However, in a real system, the training samples are normally directly acquired from the transmit signal in the transmitter. It is very difficult to satisfy the statistically independent condition. This situation becomes worse when a smaller number of training sequences are used. The result is that the rank of often becomes deficient, which causes to tend toward zero and becomes infinite. The poor numerical condition will result in large errors in matrix inversion and thus 1[Online].

Available: http://www.xilinx.com

(8)

is the largest amplitude of the real part (or the where imaginary part) of the coefficients, and is the smallest resolution. We can see that, for a given resolution, larger variations in coefficients, a larger number of binary bits are required in the storage. Although there are many algorithms being developed to resolve the ill-conditioning problem, such as QR factorization and singular value decomposition (SVD) [18], these algorithms often involve complicated signal processing, which is not feasible in a low-cost DPD system. One simple way to ease the ill-conditioning problem is to inject a diagonal matrix ( is the identity matrix) into the Hessian matrix in (3), which is called ridge regression [19]. The model extraction (3) can be rewritten as

(9) If represented as follows:

, the new condition number can be (10)

Due to the injection of , the smallest eigenvalue will no longer approach zero, and thus will not be infinite anymore; therefore the ill-conditioning problem can be eased. In conventional ridge regression, it is often difficult to find an optimum value for to maintain high computational stability without losing accuracy. However, in digital hardware implementation, the baseband I/Q and DPD signals are normally represented in finite-bit binary format, e.g., as 16-bit binary numbers. This introduces some “quantization” errors in the signal processing. The smallest error equals the 1-bit binary resolution in the hardware process. For example, if the maximum amplitude of the signal is normalized to 1, the 1-bit residue will be if 16-bit binary numbers are used. Since this residue error occurs in the system anyway, introducing this small value into the model extraction matrix does not significantly increase the system error. Therefore, in practice, instead of conducting a complicated optimization process to find the proper value for , in this study, we propose simply to assign

GUAN AND ZHU: OPTIMIZED LOW-COMPLEXITY IMPLEMENTATION OF LS BASED MODEL EXTRACTION FOR DPD OF RF PAs

Fig. 2. Condition numbers with standard LS and with 1-bit RR.

597

Fig. 4. Model extraction error evaluation in the time domain.

samples. We calculated the output from the input by multiplying with the coefficients extracted with 1-bit RR and standard LS, respectively, and compared with the actual output. The normalized mean square error (NMSE) [9] results are shown in Fig. 4, where we can find that large errors occurred with the standard LS while the modeling errors were dramatically reduced by employing the proposed approach. The conclusion is that, although a small bias is introduced in the model extraction equation, the model accuracy is not degraded, but actually significantly improved because the ill-conditioning problem is eliminated. IV. RMS-WEIGHTED COEFFICIENTS AVERAGING

Fig. 3. Required number of binary bits with standard LS and with 1-bit RR .

the value of this 1-bit least residue error to in the ridge regression in (9). This approach is 1-bit RR. One may argue that this 1-bit RR may not be the optimal choice, but considering the implementation complexity and system accuracy, this selection is actually indeed one of the best options. This is verified through the following tests. To evaluate the performance improvement by the proposed 1-bit RR based model extraction, 12 sets of baseband sampling data are captured from the input and output of a high-power Doherty amplifier excited with an eight-carrier WCDMA signal. Each data set includes 512 training samples. For comparison, standard LS in (3) and 1-bit RR in (9) are employed to extract the model coefficients, respectively, from each set of data separately. The condition numbers calculated from these data are shown in Fig. 2, where we can see that, in the standard LS, the condition number is normally very large, at the 10 to 10 level, while when the 1-bit RR is employed, it is dramatically reduced, to below 10 . The required numbers of binary bits for representing the coefficients are shown in Fig. 3. Without using 1-bit RR, 19–24 bits are required to represent the coefficients, while with 1-bit RR, only 14 bits are needed. This indicates that the variations among the coefficients are dramatically “smoothed” when the condition number of the matrix is reduced. To evaluate the model extraction accuracy, we captured another set of an independent data sequence, which includes 8000

In a manner that is different from a linear system, the transfer function of a nonlinear system, such as an RF PA, not only depends on its internal features, but also strongly depends on the characteristics of its input signal. This requires that the statistical distribution of the selected training samples for the DPD model extraction must be very close to that of the real signal that the PA transmits; otherwise the extracted parameters will not be accurate enough for describing the nonlinear behavior of the DPD. Due to limited storage resource in a real system, only a limited number of sampling data points can be captured. More importantly, in order to reduce computational complexity, only a very small number of samples are used to form the LS matrix in the model extraction. It is very difficult to ensure that the statistical distribution requirements are satisfied. For instance, in a real system, the magnitude distribution of an eight-carrier WCDMA signal should be close to a Rayleigh distribution. This statistical property can only be fully represented by using a very long sequence, e.g., 16 000 samples, as shown in Fig. 5(a). If a shorter sequence is used, the statistical distribution will vary significantly. For example, the histograms of three randomly selected sets of 512-sample record data from the same WCDMA signal are shown in Fig. 5(b)–(d), respectively, where we can clearly see that there are significant variations among these data and none of them is particularly close to that of the long sequence. Furthermore, as discussed in [15], the peak, RMS, and peak-to-average power ratio (PAPR) values of the input/output signals significantly affect DPD model extraction and the overall system performance. Fig. 6 gives peak, RMS, and PAPR values for 12 sets of sampled input data captured from an eight-carrier WCDMA signal. We can see there are large variances among these values. These statistical variations mean

598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

from different sets of data to that at the same level of RMS value. The scaling weights are calculated as follows:

(11) where represents the RMS value of the th set of input data used for the model extraction, and is that of the actual input signal that the PA transmits, which can be estimated from the long sequence composed of all sets of data. The represents the th set of coefficients , and corresponds to the th order coefficients, e.g., in (1). After scaling, the coefficients vector of each set becomes .. . Fig. 5. Magnitude histograms of different data sets.

.. .

(12)

.. . Finally, to smooth the variations among the coefficients, the sets of coefficients are summed and then averaged to obtain the final set of coefficients as follows: (13)

Fig. 6. Peak, RMS, and PAPR values of different data sets.

that the coefficients extracted using these data will different from each other and it is difficult to know which set of the coefficients is the best approximation that produces optimal performance. To eliminate the statistical effects caused by using short sequences in model extraction, in this study, we propose an approach based on RMS-WA. This method can be conducted in three steps. First, we record multiple short data sets, e.g., sets of 512 samples from input and output of the PA. These short sequences can be obtained by being acquired at different time spans or selected from a recorded long sequence. We then extract the coefficients using each set of data, respectively employing 1-bit RR, as described in Section III. Since superposition is not applicable in a nonlinear system, nonlinear scaling must be conducted on the DPD coefficients, if the RMS values are different; otherwise they cannot be used for linearizing the PA operated at the different average power levels. In the second step, we scale the coefficients extracted

where represents the optimized coefficients vector. Similar to the approach in Section II, we used another set of independent data for cross validation. The error power spectral density (EPSD) [5] performance is shown in Fig. 7, where we see that the model errors are very small, e.g., below 50- and 55-dBc in-band and out-of-band, respectively, when the coefficients are optimized. The time-domain NMSE is 45.6 dB. However, if we do not process the coefficients, namely, directly use the coefficients extracted from short sequences, large errors often occur. For example, Fig. 7 also shows the EPSD results obtained by using randomly selected coefficients, e.g., the coefficients extracted from the third and fifth sets of 512 samples. As expected, due to statistical mismatch, larger errors occur in both cases, e.g., 5–10 dB worse in EPSD, and the NMSE only reaches 38.5 and 42.1 dB, respectively.

V. PROPOSED LOW COMPLEXITY MODEL EXTRACTION After introducing two solutions, 1-bit RR and RMS-WA, to tackle the problems of ill conditioning and statistical mismatch that arise from using short training sequences in DPD model extraction, in Section III and IV respectively, we now combine them together to outline the operational procedures for conducting the proposed low-complexity model extraction, and give a detailed implementation complexity reduction analysis.

GUAN AND ZHU: OPTIMIZED LOW-COMPLEXITY IMPLEMENTATION OF LS BASED MODEL EXTRACTION FOR DPD OF RF PAs

Fig. 7. EPSD performance with and without coefficients optimization.

599

Fig. 9. Computational complexity ratio.

B. Implementation Complexity Analysis

Fig. 8. Proposed model extraction.

A. Model Extraction Procedures The block diagram of the model extraction is illustrated in Fig. 8, and the detailed procedures are described as follows. 1) Data Acquisition: Multiple short training sequences are acquired from the input and output of the RF PA. These short data sequences can be obtained by acquiring at different time spans or selected from a recorded long sequence. 2) Time Alignment and Data Normalization: All data are properly time aligned between the input and the output. The magnitudes of the data are normalized by the maximum magnitude of the long sequence, which is composed of all the short sequences. RMS values are then calculated for the long sequence and for each short sequence, respectively. In other words, and are obtained. 3) 1-bit RR: The ridge regression factor is calculated from , where is the number of binary bits used for representing the I/Q signals. After selecting proper DPD parameters, e.g., nonlinear order and memory length , the DPD coefficients are then extracted using (9) for each set of short sequences. These 1-bit RR operations can be conducted in a time multiplexed manner, i.e., they are operated with the same hardware block during different time periods. 4) RMS-WA: Each set of coefficients is weighted according to (12). All sets of the coefficients are then summed and averaged in (13) to obtain the optimized set of coefficients . 5) The optimum coefficients are finally sent to the DPD unit for predistorting the input signal.

Employing 1-bit RR as in (9), we can use a much shorter sequence, e.g., with a data length of , to extract the DPD coefficients. Although extra complex addition operations are required in (9) compared to (3), the overall computational complexity can still be approximately estimated by using the number of complex multiplications operations involved as in (4) with replaced by . Let us define as the ratio of to , (14) the complexity ratio between two approaches can then be estimated using

(15) Fig. 9 illustrates the total complexity ratio verse for different numbers of coefficients, . Since , the second term of (15) approaches zero, the above equation can be approximately rewritten as (16) We thus conclude that the computational complexity of the proposed approach is reduced to roughly of that of the standard approach. Converting large matrices to multiple smaller ones in the signal processing not only reduces computational complexity, but also saves execution time. For example, Table I shows the execution time of the matrix operations in MATLAB R2008b using 3.0-GHz Intel (R) Core (TM) 2 Duo CPU with 4-GB RAM for and with different values. We can see that the total execution time for 12 512-sized operations is only a fraction of that involved in executing one 6144-sized operation. This indicates that, although multiple model extractions are required in the proposed approach, the total execution time for model extraction is actually much

600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE I EXECUTION TIME ESTIMATION

Fig. 10. DPD experimental test bench.

shorter than that using the conventional approach employing a single long sequence. This is crucial in some time-critical systems, such as wireless local area network (WLAN) data transmissions, where the data traffic can change dynamically, which requires the DPD to update its coefficients in a very short time period. VI. EXPERIMENTAL RESULTS In order to validate the performance of the proposed model extraction methodology for DPD of RF PAs, we tested a LDMOS Doherty amplifier operated at 2.14 GHz and excited with a 20-MHz signal-carrier long-term evolution (LTE) signal and a 40-MHz eight-carrier WCDMA signal. The average output power was 40 dBm. The test bench was set up as shown in Fig. 10. A baseband I/Q complex signal source was created in MATLAB in a PC, and fed to an FPGA baseband board for signal processing, e.g., DPD. The output of the FPGA was then sent to an RF board, where the signal was modulated and up-converted to the RF frequency, and finally sent to the PA. In the output, the RF signal was down-converted and demodulated to baseband again for model extraction. The baseband I/Q data sampling rate was 368.64 Msamples/s, and the linearization bandwidth in the RF chain was 180 MHz. Around 16 000 data samples were recorded each time. The DPD coefficients were extracted from the captured data records in MATLAB and then fed to the DPD unit implemented in FPGA. The system performance was then verified independently using separate test signals. The modeling accuracy is affected by various factors, such as statistical characteristics of training samples, selection of nonlinear order and memory length in the model, and so on. The main motivation of this study is to propose an alternative model extraction method to replace the standard LS in order to reduce

Fig. 11. AM/AM and AM/PM plots with and without DPD for a 20-MHz LTE signal.

the computational complexity and implementation cost. For performance evaluation, we shall compare the system complexity between the proposed method and the standard LS based on the same linearization performance. For this reason, in this study, we first conduct DPD for the PA using the standard approach to find out what is the best linearization performance the DPD can achieve. We then use this performance as the target to find out how many data samples are required and how many sets of coefficients need to be averaged to obtain the optimum coefficients in the proposed approach. Finally, we can compare the system complexity. A. 20-MHz Single-Carrier LTE Signal The first test was conducted with a 20-MHz single-carrier LTE signal. After various tests, we found that a DPD model with and (48 coefficients in total) produced the best performance. Also, the best model extraction accuracy was achieved by using 8192 training samples in the standard LS-based coefficients estimation. The AM/AM and AM/PM characteristics are shown in Fig. 11, where we can see that both static nonlinearities and memory effects are almost completely removed after DPD. The frequency spectrum of the PA output

GUAN AND ZHU: OPTIMIZED LOW-COMPLEXITY IMPLEMENTATION OF LS BASED MODEL EXTRACTION FOR DPD OF RF PAs

601

TABLE III NUMBER OF COMPLEX MULTIPLICATIONS INVOLVED

The number of parameters

Fig. 12. PA output spectra with and without DPD for a 20-MHz LTE signal.

TABLE II LINEARIZATION PERFORMANCE FOR 20-MHz LTE SIGNAL

.

adjacent channel. Also, the NRMSE only reaches 2.35%. However, when the 1-bit RR is applied, namely, a small diagonal matrix is inserted into the model extraction equation, the system performance can be significantly improved. The ACPRs are reduced by 16 dB in both the first and second adjacent channels and the NRMSE reaches 0.70%. Finally, with the coefficients weighting and averaging, the ACPRs can be further improved by 4–5 dB and the NRMSE reaches 0.65%, which is almost the same performance as the best achieved by the standard LS using a long sequence. Although the same linearization performance is achieved, the computational complexity is dramatically reduced in the proposed approach, because only 1024 samples, one-eighth of that in the standard approach, are used. The numbers of complex multiplications involved are given in Table III. For the same number of coefficients, i.e., 48, the standard approach must conduct much large-sized matrix–matrix multiplication, such as 48 8192 multiplied by 8192 48, while in the proposed approach, these sizes are dramatically reduced, e.g., to 48 1024 by 1024 48. The total number of complex multiplication operations is reduced to less than 15%, i.e., 1/7.8, of that in the standard approach. B. 40-MHz Multicarrier WCDMA Signal

after DPD is shown in Fig. 12, marked with “Long Sequence LS.” In addition, the normalized RMS error (NRMSE) [13] and adjacent channel power ratio (ACPR) values are listed in Table II. After employing DPD, the NRMSE is reduced from 13.17% to 0.61% and the first and second adjacent ACPRs are reduced from 28 and 48 dBc to 61 and 65 dBc, respectively. In the proposed new approach, we only used 1024 samples in the 1-bit RR, and conducted the model extraction six times. The extracted six sets of coefficients were then weighted and averaged to obtain the optimum set of coefficients. In order to verify the individual improvements made by the two solutions in the proposed approach, we conducted the validation test in three steps, which were: 1) directly use the standard LS with the short sequence; 2) employ the 1-bit RR instead of the standard LS; and 3) employ both the 1-bit RR and the RMS-WA. The results are shown in Fig. 12 and Table II. Due to the ill-conditioning problem, the DPD extracted from a short sequence in the standard LS only achieves 11-dB improvements in the first adjacent channel and almost no improvement can be made in the second

The second test used a 40-MHz eight-carrier WCDMA signal as the excitation to the PA. In this case, nonlinear order and memory length , the total number of coefficients was 73. Again, we compare the complexity under the same linearization performance. In this test, we found that using 6144 samples in the standard LS can produce excellent linearization results. For example, the AM/AM and AM/PM characteristics are shown in Fig. 13, which indicates that the distortion induced by the nonlinearity of the PA was almost completely removed after employing the DPD. The frequency spectra are given in Fig. 14 and the NRMSE and ACPR performance are presented in Table IV. One may notice that the memory effects in this case are much stronger than that in the LTE case because of the wider bandwidth of the signal. To achieve the same performance, in the proposed approach, we only used eight sets of 512 samples to extract the optimum set of coefficients for the DPD. The output spectrum plot is shown in Fig. 13 and NRMSE and ACPR figures are given in Table IV. It shows that, without using 1-bit RR, the ACPR only can be reduced to 38 dBc, while with 1-bit RR, 11 dB more

602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE IV LINEARIZATION PERFORMANCE FOR 40-MHz WCDMA SIGNAL

reduced from 3.47% to 1.02% and finally reaches 0.64%. Similarly, for the same linearization performance, the total number of complex multiplication operations in this test is reduced to less than 9%, i.e., 1/12 of that in the conventional approach. This further indicates that by employing the proposed approach, we could use multiple much shorter sequences, instead of a long sequence, as the training data, to accurately extract the DPD coefficients, which leads to a significant reduction in system complexity and implementation cost. VII. CONCLUSION

Fig. 13. AM/AM and AM/PM plots with and without DPD for an eight-carrier WCDMA signal.

Fig. 14. PA output spectra with and without DPD for an eight-carrier WCDMA signal.

can be achieved, and with the coefficients weighting and averaging, a further 7-dB reduction can be obtained. The NRMSE is

In this paper, we have proposed a 1-bit RR algorithm to eliminate the ill-conditioning problem in LS-based DPD coefficients estimation and also an RMS-based coefficients weighting and averaging technique to reduce model extraction errors caused by statistical mismatch between the training data and the real signal that the PA transmits. Experimental results show that, by employing these two algorithms, we are able to use a small number of training samples to accurately extract the DPD coefficients to achieve excellent linearization performance for RF PAs. Compared to the conventional approach, the computational complexity and implementation cost of the model extraction employing these new approaches is dramatically reduced because the sizes of the matrices involved in the parameter estimation are significantly reduced. The execution time of the algorithm is also much shorter than that using the standard LS employing a long sequence. This is crucial in some real-time systems. We demonstrated how the proposed approach can be applied to a simplified Volterra DPD model and presented the linearization performance for a high-power Doherty amplifier in this paper. The proposed technique is not solely limited to that particular model or PA. The same solution can also be employed for any other DPD models (whose output is linear in relation to their coefficients) to linearize other type of PAs. Since only standard matrix operations are required, the proposed approach can be easily implemented in standard digital circuits, such as FPGAs or standard DSP chips. REFERENCES [1] P. B. Kennington, High Linearity RF Amplifier Design. MA: Artech House, 2000.

Norwood,

GUAN AND ZHU: OPTIMIZED LOW-COMPLEXITY IMPLEMENTATION OF LS BASED MODEL EXTRACTION FOR DPD OF RF PAs

[2] L. Guan and A. Zhu, “Low-cost FPGA implementation of Volterra series-based digital predistorter for RF power amplifiers,” IEEE Trans. Microw. Theory Tech, vol. 58, no. 4, pp. 866–872, Apr. 2010. [3] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [4] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [5] S. Hong, Y. Y. Woo, J. Kim, J. Cha, I. Kim, J. Moon, J. Yi, and B. Kim, “Weighted polynomial digital predistortion for low memory effect Doherty power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 925–931, May 2007. [6] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Augmented Hammerstein predistorter for linearization of broadband wireless transmitters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1340–1349, Apr. 2006. [7] J. Kim, Y. Y. Woo, J. Moon, and B. Kim, “A new wideband adaptive digital predistortion technique employing feedback linearization,” IEEE Trans. Microw. Theory Tech, vol. 56, no. 2, pp. 385–392, Feb. 2008. [8] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [9] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reduction based Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [10] N. Safari, T. Røste, P. Fedorenko, and J. S. Kenny, “An approximation of Volterra series using delay envelopes, applied to digital predistortion of RF power amplifiers with memory effects,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 115–117, Feb. 2008. [11] D. Mirri, G. Iuculano, F. Filicori, G. Pasini, G. Vannini, and G. P. Gualtieri, “A modified Volterra series approach for nonlinear dynamic systems modeling,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 8, pp. 1118–1128, Aug. 2002. [12] L. Guan and A. Zhu, “Simplified dynamic deviation reduction-based volterra model for Doherty power amplifiers,” presented at the IEEE Int. Integr. Nonlinear Microw. Millimeter-Wave Circuits Workshop, Vienna, Austria, Apr. 2011. [13] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kinball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based Volterra series,” IEEE Trans. Microw. Theory Tech, vol. 56, no. 7, pp. 1524–1534, Jul. 2008. [14] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004.

603

[15] O. Hammi, S. Carichner, B. Vassilakis, and F. M. Ghannouchi, “Synergetic crest factor reduction and baseband digital predistortion for adaptive 3G Doherty power amplifier linearizer design,” IEEE Trans. Microw. Theory Tech, vol. 56, no. 11, pp. 2602–2608, Nov. 2008. [16] L. Zhuo and V. K. Prasanna, “High-performance designs for linear algebra operations on reconfigurable hardware,” IEEE Trans. Comput., vol. 57, no. 8, pp. 1057–1071, Aug. 2008. [17] L. Ding, R. Raich, and G. T. Zhou, “A Hammerstein predistortion linearization design based on the indirect learning architecture,” in Int. Acoust., Speech, Signal Process. Conf., May 2002, vol. 3, pp. 2689–2692. [18] O. Nelles, Nonlinear System Identification. Berlin, Germany: Springer-Verlag, 2001. [19] A. Hoerl and R. Kennard, “Ridge regression biased estimation for nonorthogonal problems,” Technometrics, vol. 12, no. 3, pp. 55–67, Aug. 1970.

Lei Guan (S’09) received the B.E. and M.E. degrees in electronic engineering from the Harbin Institute of Technology, Harbin, China, in 2006 and 2008, respectively, and is currently working toward the Ph.D. degree at University College Dublin, Dublin, Ireland. He is currently with the RF and Microwave Research Group, University College Dublin. His research interests include linearization and system-level modeling of RF/microwave Pas with emphasis on DPD and FPGA hardware implementation based on Volterra series. He also has interests in wireless communication system design and DSP.

Anding Zhu (S’00–M’04) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Lecturer with the School of Electrical, Electronic and Communications Engineering, UCD. His research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on Volterra-series-based behavioral modeling and linearization for RF PAs. He is also interested in wireless and RF system design, DSP, and nonlinear system identification algorithms.

604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Closed-Loop Digital Predistortion System With Fast Real-Time Adaptation Applied to a Handset WCDMA PA Module Calogero D. Presti, Member, IEEE, Donald F. Kimball, Member, IEEE, and Peter M. Asbeck, Fellow, IEEE

Abstract—A real-time adaptive digital predistortion system (RT-ADPD) for power amplifier linearization is described in this paper, featuring fast closed-loop adaptation to provide robust linearity across quickly shifting power amplifier (PA) operating conditions. The RT-ADPD system requirements, architecture, and its design methodology are analyzed in detail, with particular emphasis on the optimization of the feedback loop convergence speed and stability. A novel, compact algorithm to achieve rapid adaptation of the predistortion lookup tables, without any prior knowledge of the PA distortion characteristics, is introduced. A prototype of the RT-ADPD system is implemented using a field-programmable gate array (FPGA), and it is experimentally exploited to linearize a handset WCDMA PA module. Due to the linearization action, the PA maximum modulated output power is increased by 1.9 dB, to 30.9 dBm, and its power-added efficiency by 9%, to 48.5%, still maintaining a 40-dB ACPR at a 5-MHz offset. In addition, a true closed-loop adaptation ensures excellent PA linearity under load mismatch and other environmental variations. Indeed, ACPR is improved by up to 15 dB, below 47 dB, under 2:1 VSWR at 28 dBm. Remarkably fast adaptation speed is also demonstrated, as adequate signal fidelity is achieved within a 50- s time frame. Index Terms—ACLR, ACPR, adaptive digital predistortion, digital predistortion, DPD, efficiency, GaAs HBT, linearization, lookup table, PAE, power amplifier, time alignment, WCDMA.

I. INTRODUCTION

T

HE design of radio-frequency (RF) power amplifiers (PAs) generally forces tradeoffs between linearity and efficiency. The exploitation of some linearization technique (such as predistortion, feedforward, Cartesian, or polar feedback) facilitates the PA design to a great extent, by basically removing linearity from the design goals. Because of the cost reduction in digital signal processing (DSP), baseband digital predistortion (DPD) has found growing acceptance in the field of base station (BTS) PA linearization, so that it is often preferred to the feedforward linearization scheme, for cost and power reasons [1]. A generalized adaptive DPD system is illustrated in the block diagram of Fig. 1. The signal to be transmitted, represented by its complex envelope , is suitably modified by a predistortion function in order to produce a predistorted signal Manuscript received August 17, 2011; revised December 21, 2011; accepted December 22, 2011. Date of publication February 06, 2012; date of current version March 02, 2012. The authors are with the University of California at San Diego, ECE, La Jolla, California, United States. Digital Object Identifier 10.1109/TMTT.2011.2181860

Fig. 1. Adaptive digital predistortion in a radio transmitter.

. The predistortion function must be chosen in such a way that, when is processed through the nonlinearity of the PA, linearity is recovered at the output . While predistortion can be applied in an open-loop fashion, by a priori modeling the PA nonlinearity and implementing a static predistortion function, closed-loop (adaptive) DPD achieves optimal modulation accuracy, since the predistortion function is estimated through direct and repeated measurements of the PA output waveform under actual operating conditions. Indeed, the PA nonlinearity is time-varying, as a consequence of environmental and circuit variations (mainly temperature, voltage, load mismatch, and average output power). These variations are particularly severe in handset PAs, as discussed further on in this section. To obtain such feedback adaptation, an additional receiver is used to downconvert and sample to , while an algorithm compares the desired envelope and adjusts the predistortion action the feedback envelope accordingly. Being a closed-loop method, adaptive DPD improves PA linearity in a stable, reliable, and predictive manner. Furthermore, DPD in general does not suffer the intrinsic bandwidth limitations of other forms of feedback, such as the Cartesian [2]–[4] or polar loop [5], [6] linearization schemes. Due to these attractive features, the exploitation of DPD for handset PAs has recently received considerable attention [7]–[12]. Power savings of the order of 350 mW, corresponding to a 10% PAE improvement, have been enabled by applying DPD to a suitably redesigned handset WCDMA PA [13]. Even though commercial BTS DPD systems exist on the market, porting these architectures to lower power PAs is not straightforward nor convenient. These systems have to compensate for the nonlinearity of high-power amplifiers (whose memory effects are significant) and have to meet the extremely

0018-9480/$31.00 © 2012 IEEE

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

stringent BTS modulation accuracy specifications. As a consequence, these high-end DPD systems usually include multiple chips, such as DSP microprocessors, with power consumption exceeding 2 W [14], [15]. This is not acceptable for handsets, in terms of cost, complexity, and energy budget. Conversely, handset PA linearization entails a few unique challenges, related to the fact that DPD has to track more severe environmental variations than those found in BTS transmitters [10]. In particular, a handset PA is often directly connected to the battery, whose voltage changes widely during discharge. In addition, handset PAs are subject to relatively larger load mismatch, due to the possible presence of reflecting objects close to the antenna as the handset is moved around. Voltage and load mismatch can severely modify the PA distortion characteristics. The saturated output power of a typical handset PA can vary by several decibels, when the handset experiences battery voltage variations and antenna mismatch conditions in everyday use. Finally, a handset average output power is required to change over a much wider range than BTS transmitters. This is especially true if CDMA is used, since has to be varied across 70 dB to allow simultaneous functioning of multiple terminals on the same network [16]. As the power is reduced, PA linearity is usually improved, and a milder predistortion action could be applied. However, more elaborate handset PAs are reconfigured for low-power operation: the bias current can be reduced, or an amplifying stage can be bypassed, or related circuit techniques can be applied to save battery current. Therefore, the PA distortion characteristics can severely fluctuate across an unexpectedly wide power range. Besides being able to correct the PA nonlinearity under so many different operating conditions, the DPD adaptation has to deal with the speed at which these conditions actually change in time. In particular, voltage and load variations occur on a relatively long time scale (of the order of seconds, or even more), since they are related to the dynamics of battery discharge, and to the speed a mobile terminal can be physically moved close to reflecting surfaces by a user, respectively. On the contrary, transients occur much more rapidly. As a representative case, the WCDMA standard specifies that power has to change as much as 3 dB within 50 s [16]. Clearly, if DPD can track average power transitions quickly enough, then voltage, temperature, and load mismatch variations can be corrected effectively, as well. Regarding the need for on-the-fly adaptation, it should be noted that the use of training signals is not compatible with most wireless standard: DPD adaptation has to occur in real-time, while the signal is being transmitted, but still without violating the spectral emissions specifications. From this discussion, it should be clear why adaptive DPD has the potential to outperform any open-loop predistortion approach, where the PA distortion is characterized in a factory calibration. Indeed, it is not practical to characterize the PA under all the possible combinations of voltage, load, temperature, carrier frequency, output power. It might be actually impossible to do so, because the antenna mismatch conditions are not usually monitored in a handset terminal. The drawback is that adaptation requires a dedicated receiver and additional signal processing, which increase hardware complexity and

605

power consumption. However, modern multimode multi-and cellular transceivers already contain several RF chains and elaborate DSP functions, whose impact on cost decreases as technology advances. Moreover, it should be realized that a DPD methodology with fast adaptation does not need to be engaged at all times. Strictly speaking, adaptation should be triggered only when a transmit power or frequency variation occurs, and just occasionally (every 100 ms to 1 s or so) to make sure that load/battery variations are properly tracked. Since several hundred milliwatts can be saved at the PA level when DPD is applied, and given the very low duty cycle at which the feedback path is required, the additional power necessary for adaptive DPD can be easily offset in the overall system energy budget. DPD has been the subject of extensive research in the past. Most of the experimental works in the literature are mainly concerned with the steady-state performance of the proposed DPD technique, i.e. how accurately the nonlinearity is corrected after adaptation has occurred. In one instance, adaptation of the predistortion function in 500 ms has been reported [17]. Numerous algorithms have been proposed to accelerate the adaptation speed [18]–[21]. Recently, an adaptation system capable of converging in 2 ms has been described [22]. In this paper, we propose a lookup table (LUT)-based adaptive DPD system, featuring fast adaptation in real time. A novel approach to improve convergence speed is described in detail. This real-time adaptive DPD (RT-ADPD) system is then experimentally applied to a WCDMA GaAs PA module. Besides improving the PA linearity under various voltage and load conditions, the RT-ADPD system is able to converge with unprecedented speed, on a time scale of the order of 50 s, which is fully compatible with the WCDMA TX power control specifications. The paper is organized as follows. Section II describes the adaptive DPD system theory of operation and design methodology. Section III discusses the measured results, both in terms of achieved linearization and adaptation dynamics. Conclusions are drawn in Section IV. II. REAL-TIME ADAPTIVE DPD SYSTEM DESIGN In most DPD systems, the predistorted complex envelope is calculated by multiplying the input envelope by a complex nonlinear gain , which is itself a function of . Most often, is solely a function of the input signal magnitude , since the PA distortion (which is assumed to dominate the overall TX nonlinearity) is solely a function of the magnitude . Basically, the various DPD methods differ because of the way is calculated and adaptively updated. In a polynomial predistorter [23], digital processing is utilized to calculate through a complex polynomial function of the input signal magnitude. Alternately, instead of trying to fit the ideal predistortion function by a high-degree polynomial (which is computationally intense and cumbersome to adjust), an LUT can be used to store the values the predistortion gain [18]. If the discretization of the input signal is not too coarse (i.e., if the LUT is large enough), such an approach can represent any conceivable predistortion function, even those required by strongly nonlinear PAs. Since an LUT predistorter allows quicker adjustment, this approach is adopted in this paper.

606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 2. Simplified block diagram of the implemented real-time adaptive DPD system.

A 4 mm 4 mm handset power amplifier module (PAM) is used to demonstrate DPD operation. This WCDMA PAM is an experimental variant of a two-stage GaAs HBT Skyworks part, optimized for operation at 1.95 GHz. This amplifier is a challenging test case for a DPD system, because it is already WCDMA-compliant. Nonetheless, it will be shown how DPD can improve its performance significantly. The simplified block diagram of the implemented LUT-based RT-ADPD system is shown in Fig. 2. The digital part of the system is implemented using a Xilinx Virtex-IV XC4VSX35 FPGA. The FPGA is embedded into a DSP prototyping circuit board, which provides two DACs, two ADCs, and an RAM used for storing the test signals. The RF sections are implemented using off-the-shelf components. The whole system is self-contained, and no external computer is used for DPD, except for initial programming the FPGA and uploading the test vectors to the memory. The digital section is clocked at 61.44 MHz, corresponding to 16 samples per WCDMA chip (a nominally 4.7-MHz signal bandwidth is used). A. Transmit Section In the TX section, the input signals to be transmitted are immediately converted to polar coordinates (using a CORDIC algorithm). This considerably simplifies the subsequent computations. The input waveform is normalized in such a way that is between 0 and 1. Amplitude and phase predistortion is applied through the action of the quantities and , which are the magnitude and the phase of the complex predistortion gain , respectively. and are derived from two distinct one-dimensional LUTs. LUT addressing is performed on the basis of signal magnitude, which is computationally simple (it corresponds to bit truncation) and offers almost optimal performance [24]. The phase and magnitude LUTs contain 64 entries each. LUT length is empirically chosen as a tradeoff between adaptation speed and resolution.

The predistorted signal envelope is derived by multiplying the input envelope by the predistortion gain : (1) This complex multiplication is performed in the polar domain, where it translates into one real multiplication for the magnitude, and one addition (modulus ) for the phase: (2) is converted back into rectangular form Then, and sent through an upconversion chain, to finally drive the PA module. B. Feedback Section The linearity of the feedback section is of utmost importance, like in all closed-loop systems, since feedback inaccuracies directly become undesired output nonlinearity. The adaptation of the LUTs is performed by properly comparing the desired signal to a downconverted version of the waveform at the PA output (represented by the feedback complex quantity ). Error signals are derived by comparing magnitude and phases. and are then adaptively adjusted until these errors vanish. The downconversion chain comprises a first analog mixer, an analog-to-digital (AD) converter, a digital-IF quadrature downconverter, and a pair of identical 33-tap equi-ripple finite-impulse-response (FIR) filters. The passband of the FIR filters is from dc to 11.67 MHz, whereas the stopband begins at 15.36 MHz, to reject the unwanted mixing image and the upconverted AD conversion (ADC) dc offset (which appears as a tone at ). The FIR inband ripple is 0.3 dB, and the stopband rejection is 41.5 dB. The choice of using a digital IF has several advantages, since it ideally eliminates the problems of dc offset, impairments, and low-frequency noise that would affect an analog direct-conversion receiver. By contrast,

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

it has been demonstrated that a sufficiently narrow adaptation loop bandwidth can, in principle, compensate for such feedback nonidealities [25], but this comes at the cost of a slower convergence speed. The choice of is strategic. Under this condition, the numeric oscillator signals degenerate into , and . As a consequence, the downconverter is greatly simplified, since the required operations are just negation and multiplexing, i.e., no digital local oscillator is required. In order to perform a correct estimation of the distortion introduced by the PA, the input signal has to be delayed to exactly match the delay that occurs along the loop. Adaptation is very sensitive to time misalignment, and a subsample delay must be implemented [21]. This function is accomplished through an automatic loop delay matching module, described later in this section.

607

Fig. 3. Equivalent linearized (a) magnitude and (b) phase loops for the LUT adaptation iterative method in (3).

C. Transmit Power Control (TPC) In the present DPD implementation, the gain of the feedback path also defines the output power. Indeed, since the signal amplitude at the ADC input is fixed by the action of the loop (the error signals must vanish), an increase in the feedback gain will produce a decrease in the output power, and vice versa. A variable attenuator in the feedback path is used in our experimental setup to implement this function. When just the feedback gain is increased (decreased), the whole LUT will shift down (up), to minimize the error signal. The required 70-dB power control range cannot be achieved by solely changing the feedback gain, because the digital section of the TX chain will saturate if the desired power is too high, or the quantization noise will be unacceptable if the desired power is too low. For this reason, the gain of the TX section should be also varied in the analog domain (in this prototype, we simply use another attenuator), in order to roughly oppose the gain variation in the feedback section. In this way, the root mean square (RMS) values of and remain almost constant, and hence the LUT and the DACs are operated within their optimal range at any power level. The absolute accuracy of the output power only depends on the accuracy of the feedback gain, which can be easily calibrated. The TX gain does not need to be calibrated, as it is sufficient to just coarsely track the feedback gain, to maintain the digital section in its optimal operating window. D. LUT Adaptation Dynamics and Stability Achieving the fastest possible adaptation speed is very important to meet the design goals, without incurring excessive computational complexity. In this work, adaptation is performed according to the following iterative method [17]:

resulting in particularly fast DSP implementation (the action of and is more appropriately a fixed gain, not a two-input multiplication, and can be implemented using a lookup table). In order to analytically study the DPD adaptation dynamics, the overall DPD loop can be linearized around a certain equilibrium point. Actually, two loops coexist and will be described: one for the adaptation of the magnitude LUT, and one for the phase LUT. The resulting linearized loop diagrams are represented in Fig. 3, which shows the main elements of the RT-ADPD system. In each of these linearized diagrams, the LUT is represented by a single memory element , which corresponds to one particular entry in the actual lookup table, associated with one particular range of normalized amplitudes. Regarding the PA nonlinearity, if we neglect memory effects, the PA transfer characteristic can be represented by an amplitude-dependent complex gain : (4) where is the overall complex gain of the upconverter, which for simplicity is assumed to be perfectly linear. After separating magnitude and phase in (4), we get

(5) In the magnitude adaptation loop, the PA becomes a (real) nonlinear gain block, which represents the PA AM/AM distortion. In order to analyze the loop dynamics, the PA AM/AM distortion function has to be linearized around a certain envelope (i.e., the local slope of the PA gain has to be computed). By defining the magnitude of the PA input as (6)

(3) where and are two real (relatively small) constants. The iteration does not require any multiplication or division,

the local PA gain slope is

(7)

608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

On the other hand, in the phase adaptation loop the PA becomes a phase shift, which represents the PA AM/PM distortion. The phase and magnitude loops are not truly independent, since the phase shift introduced by the PA in Fig. 3(b) depends on the predistorted amplitude in Fig. 3(a). However, this interaction can be neglected in the loop stability analysis. The diagrams in Fig. 3 highlight another interesting feature of DPD. On one hand, the input signal is compared to the feedback signal, to perform the adaptation of the LUT entries. On the other hand, the input bypasses the LUT adaptation in a feedforward fashion, to directly drive the PA. Essentially, this feedforward action removes the bandwidth limitations that other feedback systems (polar and Cartesian loop) suffer from.1 A critical element in this stability analysis is the overall loop delay, which defines the tradeoff between the loop stability and speed. The delay arises from the time required for the signal to be predistorted, upconverted, amplified, downconverted, and digitized. In Fig. 3, this delay, expressed in the parameter , is all lumped along the feedback path, which is equivalent as far as the loop gain calculation is concerned. The actual loop delay associated to the signal flow can be considerably long, and it is dominated by the delay of the filters in the system, particularly by the narrow filters in the receive path. In the present system approximately corresponds to 99 samples, i.e., 1.6 s. However, quantitatively speaking, the delay to be used for loop stability analysis is not . The discrete-time systems in Fig. 3 represent the iterative adaptation of just one particular lookup table entry. In other words, the “clock” that drives the discrete-time system in Fig. 3 is not the 61.44-MHz FPGA clock, but rather, an effective clock rate that corresponds to the iteration superscript in (3). The rate at which a particular LUT entry is visited is substantially smaller than the FPGA clock rate. To determine the effective loop delay , we must determine how many adaptation iterations occur within the actual loop delay 1.6 s, i.e., how many times a particular LUT entry is visited within 1.6 s. There is not a single answer to this question, as the signal envelope is not uniformly distributed. We can, however, determine the worst case (largest) value for , that corresponds to the LUT entry with highest occurrence probability (and thus highest effective clock rate). As shown in Fig. 4, for the WCDMA signal used in this work, the forty-sixth LUT entry is visited at a rate of 5.3%, which, multiplied by 99 samples, reveals that 5.3 iterations are executed within , on average. A sample section of the WCDMA envelope is shown in Fig. 5. It can be verified that the forty-sixth LUT entry is visited six times within the 1.6- s loop delay. The loop gain functions and can now be derived for the magnitude and phase loops in Fig. 3(a) and (b), respectively (8) (9) 1To be accurate, another aspect of this feedforward action which is not apparent from Fig. 3 is the addressing of the LUT by .

Fig. 4. Estimated occurrence probability versus LUT address for a 64-entry LUT (3.3-dB PAPR WCDMA signal).

Fig. 5. Conceptual representation of effective loop delay. A sample section of the LUT address derived from the WCDMA test waveform is shown. The forty-sixth LUT entry is visited 6 times within the loop delay duration.

It is worth noting that the phase shift around the loop, caused by the arbitrary insertion phase of the up- and downconverters, does not appear in the loop gain expressions. This is because, in this system, the adaptation is completely performed in the polar domain, and phase shifts become irrelevant dc offset disturbances in the phase loop. If the error signals had been calculated in the Cartesian domain, the loop phase shift would have to be carefully estimated and removed; otherwise the loop stability would have been compromised [4], [10]. This a very convenient feature of this approach, as it completely removes the need for a phase estimation module. E. Gear-Shifting Magnitude LUT Adaptation The expressions in (8) and (9) can be used to design a proper value for the constants and , given the desired phase margin. The loop must be compensated for the highest value of , corresponding to the most probable LUT entry, since this represents the worst case. The adaptation will be slightly overdamped for all the other entries. While the expression for is rather simple, the compensation of the magnitude loop gain deserves more consideration. Since the feedback correction is multiplicative rather than additive, the magnitude loop gain is proportional to the input magnitude . Since stability must be guaranteed for any value, those LUT entries corresponding to larger inputs will exhibit a

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

609

As a final remark, the loop compensation methodology described here will work well at any power level. Indeed, according to the proposed power control scheme, the TX gain is supposed to be approximately equal to the inverse of the feedback gain. Therefore, the quantity in (8) is almost constant, and hence the loop gain expression does not change significantly across power, within the accuracy at which tracks the inverse of . F. Coarse Gain/Phase Estimation

Fig. 6. Simulated step response of magnitude predistortion gain , for various input step amplitudes, resulting from (a) a static loop gain and (b) a dynamic loop gain.

faster, yet stable, convergence, whereas entries associated with small inputs will receive smaller updates, and will converge with overdamped, unsatisfactory speed. Fig. 6(a) shows the simulated magnitude LUT response to input steps of increasing amplitude. The loop is optimally compensated for the largest LUT entries, but it is too slow for smaller values. LUT convergence could be improved by “normalizing” the LUT correction factor, i.e., by using instead of to update the magnitude table. This would effectively eliminate the term in (8). Unfortunately, division is expensive in a DSP environment, requiring a large number (even tens) of clock cycles, which would negatively affect loop delay. A simpler, yet equally effective, approach is used in this work. As shown in the simplified block diagram in Fig. 2, the gain block is controlled by the magnitude of the input signal, in a gear-shifting fashion. More specifically, the input signal domain is divided into five subdomains, which are associated to increasing values of , according to if if if

(10)

if if where is chosen to ensure proper phase margin for . The scaling of is obtained through simple bit shifting, as all the required values are in a ratio of powers of two. In the current design, to achieve a 60 phase margin, the loop gain constants are set to and . Smaller values will result in a larger phase margin and a narrower loop bandwidth. The effectiveness of this novel approach is shown in the simulation results of Fig. 6(b), where the convergence is achieved in approximately the same number of iterations for every value.2 2To properly compensate the loop in the case of a constant step input, we is simply equal to the total delay , exshould notice that effective delay pressed in samples, since the occurrence probability becomes 100% for a constant input.

By properly choosing the value for the loop gain constants, and by applying the proposed gear-shifting magnitude LUT adaptation, we can ensure that each LUT entry will converge approximately within the same number of iterations. Unfortunately, this is still not sufficient to achieve adequate PA linearization in a satisfactory amount of actual time. As can be observed from Fig. 4, the highest and lowest LUT entries are visited with very low probability, so they will take a proportionately longer time to converge, even if the number of iterations is the same. This is particularly troublesome for the high end of the LUT, since those bins are associated with large envelopes; hence, they will produce larger errors if convergence is not achieved. Quantitatively speaking, in this paper, the full adaptation of each LUT entry occurs in 60 iterations (using the choice and ). If an entry is visited with a 0.1% occurrence rate, it will take 60 000 FPGA clock cycles, or approximately 1 ms to converge, compared with the 20 s that the most visited entries will employ. This is a serious problem. Suppose that the LUT is all reset to zeroes and then the adaptation begins. After about 50 s, the entries in the middle section of the LUT would have converged, and a decent amount of power would appear at the PA output. Yet, some of the rarest LUT entries would have never been visited and would contain a zero. At the first visit, that zero would be used for predistortion; hence, a large glitch would be transmitted, causing significant corruption of the adjacent channels. A smaller LUT size will slightly mitigate this issue, at the cost of diminished ability to correct the PA nonlinearity. This tradeoff between adaptation speed and accuracy is well recognized in the literature, and some authors have proposed clever techniques to mitigate it, such as the use of a multilevel LUT [21]. In this paper, for the sake of maintaining the DPD algorithm as simple, fast, and compact as possible, we propose an alternative approach. If the adaptation of any particular LUT entry is started based on an initial estimate of the correct predistortion gain and phase, then the error resulting from an incomplete convergence would be much smaller. To obtain this initial estimate, we can transform the DPD system in a much simpler gain control loop, by momentarily reducing the LUT size from 64 to just one entry. In particular, we use that LUT entry with the largest occurrence rate (i.e., the forty-sixth). When we are confident that the convergence of the forty-sixth entry is complete (i.e. after 60 iterations), the other LUT entries are adapted starting from this initial estimate. This applies both to the magnitude and phase LUTs. In this configuration, the DPD resembles a much

610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 7. Simulated evolution of selected entries (0, 8, 16, , 56) in the amplitude and phase LUT after a full reset to zero. The AGC mode is initially engaged to provide an estimate of the overall gain and phase.

simpler automatic gain control (AGC) loop, with the addition of phase estimation. The simulation results in Fig. 7 show the evolution of selected entries (0, 8, 16, , 56) in the amplitude and phase LUT, right after a complete reset to zero has occurred. Similarly, Fig. 8 shows a snapshot of the LUT content at different points in time after the LUT reset. The AGC mode is initially engaged, allowing the forty-sixth LUT entry to converge. In the initial 23 s, the PA is basically not predistorted. After that, the free adaptation of all the LUT entry is enabled, and each entry converges to its final value. In this simulation set, the PA of Section III (low-bias mode) is modeled through static AM/AM and AM/PM distortion curves. The efficacy of this methodology in reducing unwanted spectral emissions will be better quantified while discussing the experimental results, later in this paper. G. Loop Delay Matching Module So far, we have assumed that the input and the feedback signals are correctly time aligned, to allow a proper calculation of the error terms. This is accomplished using the loop delay matching module, which is schematically represented in Fig. 9. The purpose of this module is to delay the input signal, in order to align it to the reference feedback signal. This is achieved by cascading a fine and a coarse time alignment module. The fine time alignment is achieved by using the diagram in Fig. 10. It is based on a second-order code-tracking loop [26], which is a standard correlation-based technique used in CDMA receivers. It computes the best fine delay control word, , by correlating the reference signal with two versions

Fig. 8. Content of the LUTs at different instants after a full reset to zero. The AGC mode is engaged for 23 s to provide and initial estimate of the overall gain and phase. Then, all the LUT entries are adapted independently.

of the delayed input, one that is further delayed by ten samples (late signal), and one that is anticipated by ten samples (early signal), and then by subtracting the two correlation values. In the fine time alignment module, only the magnitude of the signals is correlated. To achieve a well-behaved feedback action, the optimal delay has to be close to half of the CDMA chip time [26], hence the chosen value of ten samples. In practice, since an actual time anticipation is not possible, the reference, late, and early signals are actually delayed by 10, 20, and zero samples, respectively. Furthermore, to avoid overflow, integration, and subtraction are swapped in the implementation. To understand the code-tracking loop working principle, one should recognize that, when the is correct, the reference signal is aligned right in the middle, between the early and the late signals. Hence, the two correlation values are equal on average, and therefore the does not change. On the other hand, when the is too large (small), the reference signal will exhibit a larger correlation to the early (late) version of the delayed input; hence, the will properly shrink (grow), thus approaching the right value. To improve the rejection of high-frequency disturbances in the calculation of , another filter is cascaded with the integrator. The loop parameters (loop gain) and (related to the filter pole) are chosen to achieve a satisfactory balance between convergence speed and filtering of noise, while maintaining the desired phase margin. The integer variable delay in Fig. 10 is obtained by multiplexing a chain of 15 unitary delay elements. Fractional time alignment is also implemented, using linear interpolation between consecutive samples. On the other hand, a coarse time alignment is required because the code tracking loop achieves convergence only if the

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

611

Fig. 9. Block diagram of the loop delay matching module.

Fig. 10. Block diagram of the fine time alignment module.

input and reference signals lie within half CDMA chip, approximately. The coarse time alignment module determines the best coarse delay control word, , by performing an exhaustive search among all the 16 possible coarse delay steps, i.e., 0, 15, 30, , 225 samples. The reference signal is repeatedly correlated against all 16 possible delayed versions of the input signal, which are obtained by multiplexing a chain of 16 delay elements, each 15 samples long. When a correlation value higher than the current one is found, the corresponding is saved as the best known delay so far, and the process continues until the best is found. More specifically, each complex correlation block in Fig. 9 calculates the absolute value (squared) of the correlation between the two sampled signals and , according to

(11)

where the summation is carried out between two consecutive synchronization pulses, which are designed to be 256 samples apart, with arbitrary start sample . Fig. 11 shows the simulated response of the time alignment module, from blind start. While the best coarse delay is still being searched, the fine time alignment module is out of its convergence range, and the fine delay control word wanders, in absence of proper feedback. As soon as the coarse time alignment is achieved, the code-tracking loop feedback starts to function and rapidly converges to its final value. The whole process requires about 80 s. The rise-time of the fine alignment loop is just 20 s, and the residual noise amplitude is 0.1 samples, approximately. III. EXPERIMENTAL RESULTS The RT-ADPD system so far described has been implemented in a FPGA DSP development kit, based on a Xilinx Virtex-IV XC4VSX35 device. The overall FPGA utilization is summarized in Table I, where the resources consumed by each module are reported in detail. Signals amplitude/phase, and predistortion gain/phase are all represented by 16-bit fixed-point numbers. The whole design occupies less than one third of the FPGA. The feedback downconverter requires a large number of registers, logic cells, and DSP cores. The time alignment is also

612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 11. Simulated response of the loop delay matching module from blind start.

TABLE I FPGA USAGE

Fig. 12. Measured distortion of the PA module under test, in high-bias mode 1.2 V and low-bias mode 0.4 V , at 30 dBm. (a) AM/AM distortion. (b) AM/PM distortion.

Number of fully or partially utilized embedded 18-kb RAM blocks

a relatively large module. However, the coarse alignment can be eliminated in practical applications, as soon at the details of the RF sections are fixed, and therefore it is safe to use a static coarse delay for all operating conditions. A 4 mm 4 mm handset power amplifier module (PAM) has been used to test the operation of the proposed RT-ADPD system. This WCDMA PAM is an experimental variant of a two-stage GaAs HBT Skyworks part, optimized for operation at 1.95-GHz. The linearity of the PA is measured by means of the adjacent channel power ratio (ACPR), defined as the ratio between the interfering power in the adjacent channels and the transmitted channel power. ACPR is customarily measured at 5-MHz offset (ACPR1) and at 10-MHz offset (ACPR2). The PA under test features high linearity (better than 40-dB ACPR1) up to 29 dBm in WCDMA mode. The same WCDMA reference signal with a 3.3-dB peak-to-average ratio (PAPR),

which was used during the theoretical discussion, is used in all the experiments. This same PA had also been previously tested using a more sophisticated DPD system, based on polynomial fitting performed by more elaborate MATLAB floating-point routines [13]. By comparing the measurement results in this section to those in [13], the performance of the RT-ADPD system can be more directly assessed. Using this MATLAB-based reference DPD system, the AM/AM and AM/PM PA characteristics were also measured during the actual transmission of the WCDMA signal. Fig. 12 shows the distortion characteristics when the PA is operated at 30-dBm output power, both in high-bias mode, and low-bias mode. In the first case, the bias current is optimized to achieve optimal ACPR up to 29 dBm without predistortion. When operated at lower bias, on the other hand, the PA exhibits higher efficiency, but unsatisfactory linearity, as can be inferred by observing the considerable gain expansion and phase distortion in Fig. 12 (low-bias mode data). A. Steady-State Linearization Performance The measured ACPR at 5-MHz offset versus output power is reported in Fig. 13, both for high-bias mode and low-bias mode. It can be observed that, in high-bias mode, the amplifier

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

Fig. 13. Measured lower and upper ACPR at 5-MHz offset for the PAM in low-bias mode (circles), and in high-bias mode (triangles), without DPD (thin symbols) and using RT-ADPD (fat symbols). DPD loop compensated for 60 phase margin.

613

power. The maximum PAE that meets a 40-dB ACPR is increased by 9%, to a remarkably high value of 48.5%. If desired, the PA load-line could be retuned to reduce the peak power, while maintaining this high efficiency, as demonstrated in [13]. The PAE here reported does not include the power consumed by DPD. Indeed, our FPGA-based implementation is intended to be only a prototype, built to study the overall linearization performance. An accurate estimate of the power that this system would require, in a truly optimized IC implementation, is beyond the scope of this paper. The power consumption of a DPD system with similar complexity has been estimated to be of the order of 20 mW [10], but this figure is strongly dependent on the RF and digital technology used, and also on the feedback utilization duty cycle. In comparison, linearization of this PA can save 350 mW at peak power, and 180 mW when a typical power utilization profile is considered [13]. Certainly, at a properly chosen power level, the DPD can and should be turned off. In this paper, the PAM quiescent current is reduced from 100 to 40 mA (i.e., 200 mW at 3.4 V), which indicates that DPD could provide power savings even at low power. Below 10 dBm, the PA is sufficiently linear, even at low bias, and DPD can be disabled. B. Linearity—Power Tradeoff: Graceful ACPR Degradation

Fig. 14. Measured PAE for the PAM in low-bias mode (circles), and in highbias mode (triangles), without DPD (thin symbols) and using RT-ADPD (fat symbols).

satisfies the linearity requirement of 40-dBc ACPR without DPD. However, the PAM linearity is seriously compromised in the low-bias case. When DPD is engaged, however, linearity is recovered in both cases, with minor differences between high and low bias. In particular, the ACPR at low power is below 47 dB. Interestingly, DPD enables the PAM to achieve a remarkable 40-dB ACPR at 30.7 dBm in low-bias mode, and 30.9 dBm in high-bias mode. This represents 1.9-dB extra power for the same linearity. In these conditions, the ACPR at 10-MHz offset (not shown) is 47 dB up to 30.9 dBm. The measured power added efficiency (PAE) versus output power under all these settings is reported in Fig. 14. Efficiency is a few percent larger in low-bias mode, which is especially relevant at very low power. For the same output power, efficiency with or without DPD is unchanged. This is not surprising, because efficiency is mainly determined by device technology, power supply voltage, , PA load-line, harmonic termination, none of which is influenced by DPD. However, DPD allows linear operation at considerably higher output

The continuous-wave characterization of this PA module had previously shown that the output power saturates around 32.3 dBm [13]. Since DPD cannot increase the PA maximum power , and given that the PAPR of the WCDMA signal under test is 3.3 dB, there must be some signal distortion at any power level larger than 29 dBm, even when DPD is used. Consistently, the predistorted ACPR in Fig. 13 starts to climb out of its floor between 29 and 30 dBm. A careful examination of the working principle of the DPD adaptation loop reveals why this happens. When the desired power at the signal peaks is larger than , the amplitude error signal inside the DPD loop cannot vanish, simply because the PA cannot produce enough power. Therefore, does not converge to zero, and hence a few LUT entries, corresponding to the signal highest peaks, continue to grow, up to saturation (in this paper, the magnitude gain cannot grow beyond 1). Under these circumstances, the PA output is correctly predistorted only up to , and any signal peak larger than is effectively clipped, producing some spectral regrowth. If the feedback gain is further reduced to increase the output power even more, a larger fraction of the signal peaks will be clipped, and a larger spectral regrowth will arise. This is not an undesirable behavior, but rather a useful feature, because the linearity obtained through DPD without clipping is generally excessive, compared to typical handset specifications [13]. In other words, the proposed RT-ADPD system is able to gracefully trade some linearity for extra power, because it clips the transmitted signal just as much as needed to attain the desired average . This happens naturally, without any modification to the proposed algorithm. Finally, it can be demonstrated that the growing ACPR in Fig. 13 at 30 dBm can be fully explained by this clipping action, with great accuracy [13].

614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

C. Noise—Adaptation Speed Tradeoff At more moderate output power levels, the ACPR with and without predistortion exhibits a considerably different behavior. In high-bias mode, the unpredistorted PA has a typical welloptimized ACPR shape, with a hill and a sweet spot close to the rated output power. With DPD engaged, a flat ACPR floor around 47 to 49 dB is measured. The sources of residual distortion in a feedback DPD system have been extensively studied and classified as [25] i) quadrature modulator errors; ii) quadrature demodulator errors; iii) analog downconverter nonlinearity; iv) LUT resolution; and v) LUT jitter. The present system is, to all practical purposes, immune from quadrature modulator errors, because we use a high-end vector imbalance prior to signal generator, and we calibrated any the experiments. Demodulator errors are absent because of the digital-IF architecture. Moreover, the linearity of the downconverter used here is much better than the measured ACPR 60 dB while driving the with DPD, as it achieves an ACPR ADC input at full scale. The finite LUT resolution due to the limited number of entries can cause a white spectral regrowth and a flat ACPR versus characteristic, as a small LUT effectively reduces the resolution of the predistorted signal. Table jitter represents a stochastic, zero-mean, high-rate variation of the LUT entries, which is caused by several possible noise sources and imperfections in the loop, such as electrical noise in the feedback, residual time alignment inaccuracy, approximations in the CORDIC polar conversion, FIR filters in-band ripple, finite bandwidth, etc., in other words any nonideality that can corrupt the calculations of the error signals. LUT jitter causes white noise at the PA output as well. Clearly, LUT jitter can be reduced by using smaller loop constants, since this reduces the loop bandwidth, which improves the rejection of any zero-mean noise source. This comes at the price of reduced convergence speed [25]. Discerning which one of the several possible noise sources dominates the table jitter is outside the scope of this work, but at least we can try to distinguish the effect of table jitter from LUT finite resolution. This can be accomplished by reducing and , since a sufficiently small loop the loop constants constant will eliminate jitter. Fig. 15 shows the measured ACPR1 and ACPR2 versus , with and without DPD. The predistorted ACPR average is measured using loop compensation for optimal 60 phase margin (fast loop), and it is compared to the case when the loop constants have been arbitrarily reduced by a factor of 4 (slower loop). The corresponding spectra at 30 dBm are reproduced in Fig. 16, where wideband noise is found up to the frequency offset that corresponds to the upconverter overall bandwidth. When the loop gain constants are reduced by a factor of 4, the ACPR floor is approximately 4 dB lower, at both offsets. Further reduction of the loop constants by a factor of 16 (not shown) was found to reduce the ACPR by just 1 extra dB, indicating that the LUT jitter has started to become a negligible source of residual distortion. Using the smaller loop gain constants, both ACPR are limited by a 54-dB floor, with some

Fig. 15. Measured lower and upper ACPR at 5-MHz offset (a) and 10-MHz offset (b) for the PAM in high-bias mode, without DPD, and with DPD obtained and . using two distinct settings for the loop gain constants

Fig. 16. Measured wideband spectra for the PAM in high-bias mode, without and with DPD, at 30-dBm output power, obtained with two distinct settings of and . the loop gain constants

asymmetry observed at 5-MHz offset. This residual distortion can be attributed to the finite LUT resolution (corresponding to 64 entries for magnitude and phase in this work), and is not 55 dB was a limitation of the PA, since a better ACPR1 obtained when a high-resolution MATLAB-based polynomial

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

615

E. Adaptation Dynamics

Fig. 17. Measured lower and upper ACPR at 5-MHz offset for the PAM in high-bias mode, under 2:1 mismatch, at a fixed 28-dBm output power. Fast loop setting.

fitting was used to linearize the same PA module [13]. LUT resolution could be certainly increased, again at the cost of adaptation speed, but the results here obtained are deemed satisfactory, compared to the 33-dB 43-dB ACPR1/2 WCDMA specification, also to maintain the desired convergence speed. In a practical system, the fast loop setting is necessary only right after a major change in the PA environment is detected, while smaller and smaller loop gain constants can be used most of the times, to provide lower noise and to track slowly varying disturbances.

D. Linearization Under Mismatch As previously mentioned, the saturated power that a PA can deliver will change significantly if a mismatch condition is presented at the antenna. Also, AM/PM distortion can be degraded. Under these conditions, the PA linearity is corrupted. Antenna mismatch is simulated by using a sliding stub tuner as the PA load. The measured ACPR at 5-MHz offset is reported in Fig. 17, when the output power is regulated to 28 dBm, under a 2:1 VSWR mismatch.3 A fast loop setting is used in Fig. 17 when DPD is engaged. The PA natural ACPR is a strong function of load mismatch, with a worst case approaching 32 dB. By contrast, digital predistortion produces an ACPR1 47 dB, which is roughly independent of the load phase. The ACPR2 (not shown) is also independent of phase and approximately equal to 50 dB. The flat ACPR1/2 levels are very close to the 50- case in Fig. 15 (fast loop setting). This fact indicates that there is no need for signal clipping at 28 dBm under 2:1 VSWR at any phase; hence, the residual distortion is created solely by the table jitter. Had a higher power been set, or had a more severe mismatch been presented, the DPD would have introduced sufficient signal clipping to produce the desired , causing a controlled amount of spectral regrowth, as previously explained. 3Under mismatch conditions, output power, DPD feedback, and spectral analysis are measured using a directional coupler, i.e., the transmit signal is defined as the forward wave travelling between the PA and the tuner.

After characterizing the achievable linearization performance as described in the previous sections, the convergence speed of the RT-ADPD system was experimentally assessed. In one experiment (blind-start adaptation test), the LUTs were reset to all zeros, and the time required to achieve satisfactory linearization was estimated. This is truly an extreme situation, since there is no reason for resetting the LUTs to zero in any practical application, although it is a useful way to demonstrate the adaptation dynamics of the feedback loop. In another experiment (transmit power control, or TPC adaptation test), the PA linearity was measured while was being repeatedly increased and decreased by 4 dB, at a rate of 1500 kHz, to simulate a TX power control command sequence in a WCDMA network. Linearity is related to ACPR, therefore measuring the “dynamics of linearization” is equivalent to measuring the evolution of ACPR in time. However, what we define as “ACPR versus time” requires some further explanation. The ACPR is rigorously defined as the average ratio of power in the adjacent and transmit channels. It can be practically measured by using a spectrum analyzer. Following a widely accepted methodology, the TX signal power spectral density (PSD) is estimated by using a 100-kHz narrow resolution bandwidth (RBW) over a 25-MHz span, and several measurement traces are averaged. The power in the channels of interest is calculated by properly integrating portions of the PSD, and ACPR is eventually calculated. This whole process requires a long time ( 100 ms), since several traces have to be averaged, each trace derives from a few hundred measurements, and each measurement cannot be faster than the settling time of the 100-KHz RBW filter. Clearly, a radically different measurement approach is needed to characterize the sub-millisecond convergence time of this RT-ADPD feedback loop. Instead of estimating the signal PSD, we can directly measure the main channel power (MCP) and the adjacent channel power (ACP) by using a 3-MHz-wide RBW filter centered at 0, 5 MHz, and 10-MHz offset from the carrier, respectively. By setting the instrument in zero-span mode, we can measure the instantaneous power versus time, in any channel. To be meaningful, the measurement time sweep has to be synchronized to the trigger event that defines each particular experiment, i.e., the LUT reset signal in the blind-start adaptation test, or the TX power control command in the TPC adaptation test. The average channel power is obtained by simply averaging multiple traces. Averaging is required because it produces much smoother and easy to interpret traces, without all the peaks and troughs that derive from the waveform envelope excursions. Since the trigger event and the WCDMA test waveform have no phase relationship, each trace corresponds to an independent experiment. In this way, the generic concept of “ACPR versus time” is more rigorously defined in a statistical sense, as the expected value of the channel power ratio at a certain distance in time from the trigger event. The blind-start adaptation test has been performed by regulating the main channel power to 30 dBm, because, in these conditions, the gap between predistorted and intrinsic PA ACPR is

616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 19. Main and adjacent channel power versus time, measured during 4-dB power control steps. Fig. 18. Main and upper adjacent channel power versus time after a complete 30 dBm. The effectiveness of the proposed LUT reset, measured at adaptation methodology (AGC/DPD mode) is shown.

largest. In order to highlight the benefits of the coarse gain/phase estimation methodology described in Section II-F, the experiment has been repeated also in pure DPD mode (no coarse gain/phase estimation), and results are compared to the proposed AGC/DPD approach. A fast setting was used for the DPD loop constant. The measured MCP and the ACPs evolution from LUT blind start is shown in Fig. 18. When the LUTs are reset, the MCP obviously goes to zero, since . The MCP reaches the desired 30-dBm level within 30 s, both in pure DPD mode and in AGC/DPD mode, although the initial ACG phase makes MCP convergence slightly faster. On the other hand, the ACP is dramatically improved by the proposed AGC/DPD methodology. In DPD-only mode, the ACP at 5-MHz offset is never below 10 dBm (corresponding to a 40 dB ACPR), not even after 900 s from the LUT reset. As described in Section II-F, this can be explained by the long time needed to visit LUT entries corresponding to low probability signal levels. On the contrary, the ACP1 gets below 10 dBm after just 54 s, if the initial AGC estimation is enabled. Similar considerations apply to the ACP at 10-MHz offset. As already mentioned, the blind-start adaptation test is not significant from a practical point of view. Adaptation under TPC probably represent the most demanding practical case. The WCDMA standard regulates that TPC commands occur at a maximum rate of 1.5 kHz, with a 3-dB maximum step. To be conservative, a 4-dB TPC step was used in the TPC adaptation test, whose results are shown in Fig. 19. Power was toggled between 30 and 26 dBm 1500 times per second. Here, the improved AGC/DPD adaptation is always engaged, and the loop is set for fast convergence. After is increased, the ACPR1 reaches 40 dB after 53 s, similarly to what had been observed from blind start. Fig. 19 shows that there is an apparent ACPR violation right after the power is increased. It is important to note that this is not really significant, as it occurs during a very short amount

Fig. 20. Predistorted spectrum and average ACPR while is toggled between 30 dBm and 26 dBm at a rate of 1.5 kHz (absolute power not calibrated).

of time, relative to the TPC rate. Indeed, the WCDMA standard prescribes that ACPR has to be met on average, whereas the “ACP versus time” plots here shown are solely for illustrative purposes. Of course, ACPR can be measured using the traditional PSD-based method, even in the presence of the transients deriving from the TPC adaptation. The spectrum in Fig. 20 shows that the average ACPR1 (ACPR2) was better than 43.7 dB ( 51.1 dB), while the TPC adaptation test was running, thus meeting the 33-dB ( 43-dB) specification with large margin. F. Tracking of Environmental Variations Another way to measure the speed of adaptation is to determine the maximum disturbance frequency that the loop is able to reject. To this purpose, the bias control voltage to the PA was modulated between the low-bias value and the high-bias value, using a sine-wave signal with minimum at 0.4 V and maximum at 1.2 V. At very low frequency, the DPD can track the bias variation. As the frequency of the sine-wave is increased, the loop is unable

PRESTI et al.: CLOSED-LOOP DPD SYSTEM WITH FAST REAL-TIME ADAPTATION APPLIED TO A HANDSET WCDMA PA MODULE

Fig. 21. Predistorted ACPR when the PA bias is modulated at increasingly high frequency.

Fig. 22. Predistorted ACPR when a phase ramp is added in the feedback path, by offsetting the downconversion LO frequency.

to track, and ACPR is degraded. Fig. 21 shows that the adaptation is absolutely unaffected up to a 100-Hz bias perturbation. ACPR starts to degrade as bias is modulated more quickly. A 40-dB ACPR is obtained at 5 kHz, which is consistent with the previously measured 50- s adaptation time. The DPD loop can be perturbed in many other ways. A simple experiment was performed by offsetting the downconversion LO frequency. This is equivalent to adding a phase ramp signal in the feedback path. The phase LUT can entirely track this disturbance up to 8 deg/ms, as shown by the measured ACPR in Fig. 22. It should be noted that the maximum tolerable disturbance frequency is probably too high for any practical application. However, in order to save power, the results in Fig. 21 and 22 can be used to calculate how often and for how long the DPD adaptation has to be turned on, given an estimate of the largest perturbation rate in any real-world scenario. IV. SUMMARY A successful implementation of digital predistortion for handset PAs entails unique challenges, if compared to basestation PAs. The much more severe environmental variations that

617

a handset system experiences demand closed-loop adaptation. Additionally, adaptation has to be fast enough to react to the quickly changing PA operating conditions, especially changes in TX power level. Finally, in order to fit a more stringent cost and power budget, algorithm complexity has to be carefully reduced to a minimum. In this paper, a DPD system that features real-time closedloop fast adaptation has been described in detail, and its performance demonstrated through a series of experiments conducted on a handset WCDMA PA module. The DPD system has been analytically examined. The loop speed, and the tradeoffs between speed, stability and noise, have been described. Some limitations in previously known adaptation methods have been identified, and novel improvements have been implemented to overcome them. Also, a fully automatic time alignment module has been presented. A prototype of the proposed RT-ADPD system was implemented in a FPGA and linearization was experimentally applied to a spec-compliant WCDMA PA module. Power and efficiency were dramatically improved, by 1.9 dB and 9%, respectively, so that the PA could deliver 30.9 dBm with a 48.5% PAE and 40-dB ACPR1. Linearity robustness under mismatch, bias variation, phase shifts was also assessed. In particular, the DPD system maintained an excellent ACPR 47 dB at 28 dBm under 2:1 VSWR. Sufficient PA linearity was achieved just 50- s after a major system perturbation, such as a LUT reset or a TX power control step, removing the necessity of training sequences, and thus enabling the seamless integration of the proposed linearization approach into existing cellular networks. ACKNOWLEDGMENT The authors would like to thank A. G. Metzger, P. Zampardi, R. Ramanathan, J. Young, G. Tkachenko, and C. Mohan, all of Skyworks Solutions, Inc., for useful discussion and donation of the PA samples used in testing. REFERENCES [1] D. F. Kimball, J. Jeong, C. Hsia, P. Draxler, S. Lanfranco, W. Nagy, K. Linthicum, L. E. Larson, and P. M. Asbeck, “High-efficiency envelopetracking W-CDMA base-station amplifier using GaN HFETs,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3848–3856, Nov. 2006. [2] V. Petrovic, “Reduction of spurious emission from radio transmitters by means of modulation feedback,” in Proc. IEE Conf. Radio Spectrum Conservat. Tech., Sep. 1983, pp. 44–49. [3] F. Carrara, A. Scuderi, and G. Palmisano, “Wide-bandwidth fully integrated cartesian feedback transmitter,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2003, pp. 451–454. [4] J. L. Dawson and T. H. Lee, “Automatic phase alignment for a fully integrated Cartesian feedback power amplifier system,” IEEE J. SolidState Circuits, vol. 38, pp. 2269–2279, 2003. [5] V. Petrovic and W. Gosling, “Polar-loop transmitter,” IEE Electron. Lett., vol. 15, pp. 286–288, 1979. [6] T. Sowlati, D. Rozenblit, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, 2004. [7] R. B. Staszewski, J. L. Wallberg, S. Rezeq, C.-M. Hung, O. E. Eliezer, S. K. Vemulapalli, C. Fernando, K. Maggio, R. Staszewski, N. Barton, M.-C. Lee, P. Cruise, M. Entezari, K. Muhammad, and D. Leipold, “All-digital PLL and transmitter for mobile phones,” IEEE J. SolidState Circuits, vol. 40, no. 12, pp. 2469–2482, 2005.

618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

[8] N. Ceylan et al., “Optimization of EDGE terminal power amplifiers using memoryless digital predistortion,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 515–522, 2005. [9] A. W. Hietala, “A quad-band 8 PSK/GMSK polar transceiver,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1133–1141, 2006. [10] G. Norris et al., “Application of digital adaptive pre-distortion to mobile wireless devices,” in IEEE RFIC Symp., Dig. Papers, Jun. 2007, pp. 247–250. [11] A. Afsahi et al., “Fully integrated dual-band power amplifiers with on-chip Baluns in 65 nm CMOS for an 802.11 n MIMO WLAN SoC,” in IEEE RFIC Symp., Dig. Papers, Jun. 2009, pp. 368–365. [12] D. H. Kwon, H. Li, Y. Chang, R. Tseng, and Y. Chiu, “CMOS RF transmitter with integrated power amplifier utilizing digital equalization,” in Proc. IEEE Custom Integr. Circuits Conf., 2009, pp. 403–406. [13] C. D. Presti, A. G. Metzger, H. M. Banbrook, P. J. Zampardi, and P. M. Asbeck, “Efficiency improvement of a handset WCDMA PA module using adaptive digital predistortion,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 804–807. [14] Texas Instruments GC5322 Wideband Digital Predistortion Transmit Processor Datasheet [Online]. Available: http://focus.ti.com/lit/ds/ symlink/gc5322.pdf [15] Xilinx Digital Pre-Distortion (DPD) Reference Design [Online]. Available: http://www.xilinx.com/publications/prod_mktg/pn2061.pdf [16] ETSI TS 134 121: UMTS Terminal Conformance Specification, Radio Transmission and Reception (FDD), ETSI, 2005-10 (FDD), v6.2.0. [17] M. Faulkner and M. Johansson, “Adaptive linearization using predistortion-experimental results,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 323–332, 1994. [18] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, 1990. [19] W. G. Jeon, K. H. Chang, and Y. S. Cho, “An adaptive data predistorter for compensation of nonlinear distortion in OFDM system,” IEEE Trans. Commun., vol. 45, no. 10, pp. 1167–1171, Oct. 1997. [20] M. Jin, S. Kim, D. Ahn, D.-G. Oh, and J. M. Kim, “A fast LUT predistorter for power amplifier in OFDM systems,” in Proc. IEEE Personal, Indoor, Mobile Radio Commun. (PIMRC), Sep. 2003, vol. 2, pp. 1894–1897. [21] H. Li, D. H. Kwon, D. Chen, and Y. Chiu, “A fast digital predistortion algorithm for radio-frequency power amplifier linearization with loop delay compensation,” IEEE J. Sel. Topics Signal Process., vol. 3, pp. 374–383, Jun. 2009. [22] D. H. Kwon, H. Li, Y. Chang, R. Tseng, and Y. Chiu, “Digitally equalized CMOS transmitter front-end with integrated power amplifier,” IEEE J. Solid-State Circuits, vol. 45, no. 8, pp. 1602–1614, 2010. [23] S. P. Stapleton and F. C. Costescu, “An adaptive predistorter for a power amplifier based on adjacent channel emission,” IEEE Trans. Veh. Technol., vol. 41, no. 1, pp. 49–56, 1992. [24] J. K. Cavers, “Optimum table spacing in predistorting amplifier linearizers,” IEEE Trans. Veh. Technol., vol. 48, no. 5, pp. 1699–1705, 1999. [25] J. K. Cavers, “The effect of quadrature modulator and demodulator errors on adaptive digital predistorters for amplifier linearization,” IEEE Trans. Veh. Technol., vol. 46, no. 2, pp. 456–466, 1997. [26] R. E. Ziemer et al., Introduction to Spread Spectrum Communications. Englewood Cliffs, NJ: Prentice-Hall, 1995. Calogero D. Presti (S’05–M’08) was born in Caltanissetta, Italy, in 1981. He received the Laurea degree in electronics engineering (cum laude) and the Ph.D. degree in electronics and automation engineering from the University of Catania, Italy, in 2005 and 2008, respectively. He also received the Diploma degree (cum laude) from the Scuola Superiore di Catania, Catania, Italy, in 2005. From 2004 to 2005, he was with the Center for Materials and Technologies for Information and Communication Science (MATIS CNR INFM), Catania,

Italy, where he was involved in the modeling of nano-structured materials and the design of 2D photonic crystals for silicon based optoelectronics. In 2005, he joined the Radio Frequency Advanced Design Center (RF-ADC), Catania, Italy, a joint research group supported by the University of Catania and STMicroelectronics, where his Ph.D. research focused on CMOS PAs, RF switches, device reliability, and digital predistortion. During 2009, he was a Postdoctoral Researcher at the University of California, San Diego, where he mainly worked on PA digital predistortion, and co-designed RF PAs and analog circuits for PA envelope tracking. In 2010, he joined Qualcomm, Inc., San Diego, CA, where he is currently part of the RF/Analog IC design team.

Donald F. Kimball (S’82–M’83) was born in Cleveland, OH, in 1959. He received the B.S.E.E. (summa cum laude) with distinction and the M.S.E.E. degree from The Ohio State University, Columbus, in 1982 and 1983, respectively. From 1983 to 1986, he worked for Data General Corporation as a TEMPEST Engineer. From 1986 to 1994, he worked at Data Products New England as an Electromagnetic Compatibility Engineer/Manager. From 1994 to 1999, he worked at Qualcomm, Inc., as a Regulatory Product Approval Engineer/Manager. From 1999 to 2002, he worked at Ericsson, Inc., as a Research and Technology Engineer/Manager. Since 2003, he has been a Principal Development Engineer at Calit2 at the University of California San Diego. He holds seven U.S. patents associated with high-power RF amplifiers (HPA). He has also published numerous technical papers high-efficiency high-linearity HPAs. His research interests include HPA envelope elimination and restoration techniques, switching HPAs, adaptive digital predistortion, memory effect inversion, mobile and portable wireless device battery management, and small electric-powered radio-controlled autonomous aircraft.

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 1969 and 1975, respectively. He worked at the Sarnoff Research Center, Princeton, NJ, and at Philips Laboratory, Briarcliff Manor, NY, in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego, where he is the Skyworks Chair Professor in the Department of Electrical and Computer Engineering. His research interests are in development of high-performance transistor technologies and their circuit applications. His research has led to more than 350 publications. Dr. Asbeck is a member of the National Academy of Engineering and has been a Distinguished Lecturer of the IEEE Electron Device Society and of the Microwave Theory and Techniques Society. He received the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

619

24-GHz Integrated Radio and Radar System Capable of Time-Agile Wireless Communication and Sensing Liang Han, Student Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—This paper presents an integrated radio and radar system using a single transceiver platform towards millimeter-wave applications. In the proposed system, wireless communication and sensing functions are fully integrated and sequentially arranged in the time domain so that they can operate independently (functional reconfiguration) and also jointly (functional fusion). For this proof-of-principle study, a system prototype is developed in the 24-GHz ISM band using the emerging substrate integrated waveguide (SIW) technology, which has been demonstrated as an attractive low-cost and high-efficiency development scheme for microwave and millimeter-wave systems. Experimental results show a very promising system performance. In addition to high-precision range detection with its radar mode, the present system has proved to have a great capability of wireless radio communication at a data rate of up to 50 Mbps for both binary-phase-shift-keying (BPSK) and quadrature-phase-shift-keying (QPSK) signals. Index Terms—Multifunctional, radar, radio communication, software-defined, substrate integrated waveguide (SIW), time-agile.

I. INTRODUCTION

S

INCE the first experiment of radio transmission by H. R. Hertz in the 1880s, radio technology has been rapidly developed and various radio applications have been extensively exploited from primitive radiotelegraphy to the current mobile internet. In the past, those wireless applications were usually enabled individually and independently with reference to the operation of transceivers. Recent years have witnessed a trend of functional convergence of radio applications, meaning that different applications/functions are more and more integrated within the same device or circuit in order to provide multi-functionality and reduce size/cost. Popular smart phones like iPhone or Blackberry have assembled, for example, a number of independent radio devices for such applications as mobile communication (text, voice and video), wireless internet, and GPS navigation. Apparently, what becomes more attractive than this simple functional combination is the development of functional Manuscript received July 11, 2011; revised October 16, 2011; accepted November 21, 2011. Date of publication January 10, 2012; date of current version March 02, 2012. This work was supported in part by the Fonds Québécois de Recherche sur la Nature et les Technologies (FQRNT) and by the Natural Sciences and Engineering Research Council (NSERC) of Canada under a Discovery Grant. This paper is an expanded paper from the IEEE International Microwave Symposium held on June 5-10, 2011, Baltimore, MD. The authors are with the Poly-Grames Research Center, Département de Génie Électrique, Centre de Recherche en Électronique Radiofréquence (CREER), École Polytechnique de Montréal, Montréal, QC H3T 1J4, Canada (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2179552

Fig. 1. Two application scenarios of an integrated system with functional reconfiguration and fusion: (a) future intelligent transportation system; (b) wireless sensor network.

reconfiguration and fusion with mutual interaction or penetration based on a single transceiver. Without any doubt, the highest-profile wireless applications are radio communication and radar sensing, which seem to be generally irrelevant to each other in a traditional sense. Wireless communication systems are developed to convey information from one place to another while general-purpose radar systems are used to detect the range, speed and direction of objects. Nevertheless, it has been gradually recognized that both wireless communication and radar sensing functions should coexist and cooperate with each other in some application scenarios. As shown in Fig. 1(a), within the framework of future intelligent transportation systems, intelligent vehicles should, for instance, autonomously sense the driving environment and cooperatively exchange information data among vehicles and beacons such as: braking, speed, acceleration, vehicle orientation and entertainment, and also traffic, driver’s health, road and weather conditions. Another example would be related to wireless sensor networks (see Fig. 1(b)) for security and health monitoring purposes. In such networks, each sensor has to generate a correct representation of the sensed quantity and then share it with other sensor nodes through its own communication links. In order to reduce system cost and complexity as well as increase operation reliability, attempts have very recently been made to integrate wireless communication and sensing functions within a single transceiver platform [1]–[7]. In single-carrier systems, the radar and radio signals are separated in the frequency domain [1], code domain (spread spectrum technique) [2], [3] and time domain [4]–[6] while the multi-carrier technique has also been employed to achieve multi functionality [7]. Among them, the time-domain scheme has a number of advantages, such as low cost and flexible functional reconfiguration

0018-9480/$31.00 © 2012 IEEE

620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 2. Frequency-time diagram of the proposed time-agile modulation scheme.

and fusion. In [6], a novel full communication-radar modulation scheme was proposed, which is more flexible and reconfigurable compared to its counterparts [4], [5]. Based on the proposed modulation scheme, a low-frequency system demonstrator has been studied and prototyped in the 5.9-GHz dedicated short range communication (DSRC) band licensed by the U.S. FCC [8]. However, the system performance regarding both communication data rate and target range resolution was limited by the specified maximum channel bandwidth of 20 MHz. Therefore, an integrated communication and radar system has been recently built up in the 24 GHz ISM-band with a maximum bandwidth of 250 MHz for automotive applications [9]. In order to further reduce the implementation cost and increase the power efficiency, the complete system is designed and implemented using the emerging substrate integrated waveguide (SIW) technology. This technology is able to integrate highpassive components with commercial off-the-shelf active devices using a low-cost standard PCB process [10]. In this paper, the system concept in [9] will be further exploited, and detailed information with respect to system implementation will be presented together with extensive measurement results of both high-speed data communication and high-accuracy target range detection. The proposed system is an exemplary demonstration of a -band multifunctional system that integrates wireless communication and sensing functions, and it has shown such potential advantages as low cost, low complexity, low volume and weight, low power consumption, great reliability and versatile functionality. II. SYSTEM CONCEPT A. Modulation Waveform Fig. 2 shows a frequency-time diagram of the proposed timeagile modulation scheme. The blue solid line is the transmitted signal and the pink dashed line represents the received signal. As shown in Fig. 2, each operation cycle of is composed of two time slots including a communication or radio cycle (in yellow) and a radar cycle (in cyan). Note that term radar stands for the acronym for radio detection and ranging, which is also a specific application of radio technology. Therefore, the following use of radio only refers to radio communication functions. In the communication mode, the communication cycle has a time interval of . In this period of time, the output frequency

of the signal generator is kept constant at , which is actually used as the carrier frequency for wireless communication on the basis of different modulation techniques such as amplitudeshift-keying (ASK), frequency-shift-keying (FSK), phase-shiftkeying (PSK) and even high-order modulations. Immediately following the communication cycle, the radar cycle is a trapezoidal frequency modulated continuous-wave (TFMCW), including an upchirp, a constant-frequency period and a downchirp with the same duration of for simplicity in this work. In fact, all the time durations can be easily adjusted since the proposed modulation waveform is generated with the help of a software-defined platform. For the radar mode, in each period of , one beat frequency can be generated for a single target. The beat signal is shown as a black curve in Fig. 2. The total three beat frequencies are then used to estimate target range and velocity [8]. In the case of a multi-target environment, the chirp rate can be varied in a number of consecutive cycles to eliminate the ghost targets [11]. One example of this modulation waveform is given in Fig. 3(a). The proposed modulation scheme has a number of special and attractive features. First of all, the proposed modulation waveform is symmetrical so that it is possible to use for the communication mode while the operation of the corresponding radar mode includes a downchirp, a constant-frequency period (at ) and an upchirp. As a result, and can be respectively assigned to one of the two localized networks and the total network capacity can be enhanced in view of the frequency division multiple access (FDMA) technique. Secondly, in the communication mode, each onboard unit within a single network can be assigned with a dedicated time slot based on the time division multiple access (TDMA). Thirdly, since radar and communication modes are staggered, there is a possibility of joint operation or functional fusion between them. For example, targets’ velocities and ranges that are obtained in the radar mode can be used in the communication mode to resist or mitigate multipath fading and compensate the Doppler spreading caused by the mobility of the onboard units. On the other hand, by making use of the communication capability, different onboard transceivers can exchange such data as targets’ velocities and ranges, and thus, a radar network is formed. The benefits of such data fusion platform are its range increment and accuracy enhancement of the target finding. Finally, time-agility or functional reconfiguration can be easily achieved by adaptively or cognitively adjusting all time duration in the modulation waveform through software programming according to usage situations. Two possible variations for different application scenarios are given in Fig. 3(b) and 3(c). B. Transceiver Architecture Fig. 4 sketches the transceiver architecture of the proposed system. A coupler is inserted into the intermediate-frequency (IF) stage of the conventional heterodyne architecture in order to make it adapted to the proposed modulation waveform. A pair of microstrip array antennas that will be introduced later in this paper is designed to increase the isolation between the transmitting and receiving channels. The proposed modulation waveform is generated with the help of a direct digital synthesizer (DDS). In such a software-defined platform, both signal modulation of the communication

HAN AND WU: 24-GHz INTEGRATED RADIO AND RADAR SYSTEM

621

verted to IF. The IF signal is divided into two portions with one of them further translated to radio frequency (RF) and sent by the transmitting antenna. The reflected wave from the target is captured by the receiving antenna, and subsequently down-converted to IF after being amplified. The received IF signal is then mixed with the other portion of the transmitted IF signal (the other output of the IF coupler). As a result, target range and velocity will be calculated from the beat signals. The intrinsic time delay between two portions of the IF signal can be calibrated by directly connecting the transmitter and the receiver. In the communication mode, the transmitter and the receiver cannot operate at the same time. For transmitting, data information sent by the ARM board modulates the constant-frequency output of the DDS, and then the modulated signal is transmitted in the same way as the radar mode. The reference signal is discarded in the receiving channel. On the other hand, when the system receives a signal, the output of the DDS is kept unmodulated, which can be used for demodulating received signals from other onboard units. III. SYSTEM SPECIFICATION AND ANALYSIS A. System Specifications Compared to the 5.9-GHz DSRC band, the IMS-band at 24 GHz has a larger bandwidth of up to 250 MHz. Therefore, a system prototype is designed in the 24-GHz ISM band to enhance system performance in terms of communication data rate and range resolution. Based on U.S. FCC’s rules and practical design considerations of automotive applications, the following specifications listed in Table I are proposed for the system prototype. It can be concluded from Table I that the chirping bandwidth is increased to 100 MHz (limited by the DDS board) compared to the low-frequency demonstrator developed earlier. The new system gives a quintuple enhancement of communication data rate and range resolution. B. Link Budget Analysis

Fig. 3. A number of possible variations of the proposed modulation waveform. (a) Varied chirp rate for the radar mode. (b) Long communication cycle in case of a congested traffic. (c) No communication cycle in case of a rural road.

mode and frequency synthesis of the radar mode can be easily achieved since the DDS is very capable of controlling the amplitude, frequency and phase of the output signal through software programming. In addition, an advanced reduced instruction set computing machine (ARM) is used to control the DDS and communication with a laptop through the universal serial bus (USB) interface. Two 10-bit analog-to-digital converters (ADCs) are embedded in the ARM board to sample the baseband signals. C. Operation Principle The system operation principle with respect to the proposed modulation scheme is briefly described as follows. In the radar mode, the frequency-sweeping signals generated by the DDS are filtered by two low-pass filters and then up-con-

A link budget analysis was performed to prove a proper system functionality of both communication (radio) and radar modes and provide insightful design guidelines for the transceiver. According to the analysis results given in Table II, the path loss of the radar mode is much higher than the communication mode due to the round-trip radio-wave propagation in spite of a radar cross section (RCS) gain of the target. Therefore, the receiver is equipped with an automatic gain control loop composed of a coupler and a variable gain amplifier. In addition, the receiver bandwidth has to be reduced for suppressing excessive noise in the radar mode. Furthermore, the radar and radio modes have respectively 10.9 dB and 10.4 dB link margins that account for the implementation loss and atmospheric loss as well as fading loss, which is more pronounced in the radio communication mode. IV. SYSTEM SIMULATION Based on the link budget analysis results given in Table II, the system is carefully designed, simulated and iteratively optimized in a commercial circuit simulation package [12]. In the following paragraph, we will present the simulation and budget

622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 4. Transceiver architecture.

TABLE I SYSTEM SPECIFICATIONS

from 2700 MHz to 3100 MHz. It should be mentioned that preceding the IF BPF, a quadrature modulator (ADL5375ACPZ) is used to modulate the I-Q baseband signals to IF. Fig. 5 also gives the simulation results of the upconverter’s chain budget in the case of a nominal input power of 10 dBm. From Fig. 5, we can observe that the input return loss is 10.4 dB because of the poor matching of the first gain block (HMC311ST89). In the case that the input power is 10 dBm, then the output power of the upconverter is 10.2 dBm. The output P1dB and output third-order-intercept (TOI) of the upconverter are 10.5 dBm and 19.7 dBm, respectively. Finally, the noise figure of the upconverter is 13.5 dB. B. Chain Budget Simulation of Downconverter

TABLE II LINK BUDGET ANALYSIS FOR BOTH COMMUNICATION AND RADAR MODES

Similarly, the downconverter is simulated according to the block diagram in Fig. 6. It should be noted that in Fig. 5 and Fig. 6, all the values of all the components are directly taken from their data sheets. The Rx BPF is essentially the same as the Tx BPF in the upconverter, which will be outlined in the next section. The budget analysis results of the receiving chain given in Fig. 6 present very good input matching (19.7 dB) and low noise figure (6.4 dB), which satisfy the design specifications. The downconverter has a small signal gain of about 60 dB, which will be compressed by 1 dB when the input power is 46.5 dBm. Moreover, the input and output TOI are 29.6 dBm and 30.4 dBm, respectively, which show a good linearity. C. System Simulation of Communication Mode

analysis of the upconverter and downconverter, which is followed by the presentation of system simulation results of both the communication mode and radar mode. A. Chain Budget Simulation of Upconverter In the design of the upconverter, the following two design aspects are considered. One is that the number of amplifiers is minimized, and the other is that amplifying stages are located at the IF frequency since low-frequency amplifiers are much cheaper and efficient than their high-frequency counterparts. The designed upconverter is simulated using the block diagram in Fig. 5. The IF BPF is a Chebyshev BPF with a 1-dB passband

In the case of a data transmission mode, Fig. 7 compares the transmitted BPSK signals having a rate of 50 Mbps with the demodulated signals from the system under three different condi. As can be seen from Fig. 7, the received signals tions of can be successfully demodulated through a match filter, even in the case of low as shown in Fig. 7(a). D. System Simulation of Radar Mode System performance in the radar mode is verified by comparing the predefined target range and velocity in the radar channel model with simulation results. In order to reduce simulation time, is set as 0.5 ms. The comparison made in Table III, shows that the system can obtain very accurate detection results. It should be noted that in the system, a high IF LO (2.96 GHz) and a low RF LO (21.225 GHz) are selected and therefore, the

HAN AND WU: 24-GHz INTEGRATED RADIO AND RADAR SYSTEM

623

Fig. 5. Budget chain analysis of the upconverter.

frequency sweeping is reversed through the frequency up-converting, which results in the fact that the beat frequency in the upchirp is higher than that in the downchirp for an incoming target.

This BPF is synthesized with a generalized Chebyshev filtering response [13], and the resulting coupling matrix is

V. SYSTEM PROTOTYPING The system is prototyped on the basis of an emerging concept of substrate integrated waveguide (SIW) technology [10]. In this unique platform, arrays of metallic vias or slots that are commonly available in the low-cost standard printed circuit board (PCB) process or laser micro-machining process, are utilized to synthesize the narrow walls of a conventional rectangular waveguide. Therefore, high- waveguide transmission lines and related structures, such as bandpass filters (BPFs) and couplers, can be easily and seamlessly integrated with commercial active components using the same planar fabrication process so that the system cost can be greatly reduced. In the implementation, the substrate of choice is RT/Duroid 6002 with a permittivity of 2.94 and a thickness of 0.508 mm. A. Highly-Selective SIW Bandpass Filters Two bandpass filters are adopted in the transceivers. One is the RF BPF as described in Fig. 5, which is used to suppress the spurious output of the upconverter and the LO feedthrough. Therefore, the following specifications are proposed for the RF BPF. A transmission zero is specified at the frequency of the RF LO.

(1) This filter is then prototyped using three SIW cavities including two cavities and one cavity, as shown in Fig. 8(a). In our experiment, a universal test fixture (Wiltron 3680 V) is used with the thru-reflect-line (TRL) calibration technique. Simulation and measurement results are compared in Fig. 8(b). We can see that the simulated results show very good return loss and insertion loss. The measured return loss is better than 13 dB in the passband and the measured insertion loss is about 2.5 dB. In addition, the attenuation of the RF BPF is higher than 40 dB below 22.5 GHz and it is more than 55 dB near the RF LO frequency. The other BPF is the Tx BPF in Fig. 5 and the Rx BPF in Fig. 6. Since the transceiver operates in the same frequency band, the Tx BPF and the Rx BPF have the same filtering response. However, in order to constrain the out-of-band emission, a sharp filtering response is prescribed with two transmission zeros respectively allocated on each side of the passband. Table V lists the proposed specifications of the Tx/Rx BPF.

(2)

624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 6. Budget chain analysis of the downconverter.

The coupling matrix is synthesized using the same method [13], and the result is given in (2), shown at the bottom of the previous page. Since one transmission zero has to be generated on each side of the passband, four SIW cavities are employed for implementing this BPF. Fig. 9(a) and Fig. 9(b) illustrate a sketch of this SIW BPF and its equivalent topology, respectively. We can see that in order to keep all the couplings in the same polarity, resonator 2 in Fig. 9(a) is implemented with a cavity while other resonators are implemented with cavities. Good agreement is observed between simulation and measurement results, which are compared in Fig. 9(c). The measured return loss is better than 12 dB and the measured insertion loss is less than 3 dB. Note that the input and output are not inline, and therefore a right angle (Wiltron 36801 K) is used together with the universal test fixture (Wiltron 3680 V) in the experiment. The short-open-load-thru (SOLT) calibration technique is used and therefore, the measured results include parasitic effects of both the test fixture and the right angle. B. The Circularly-Tapered SIW Coupler Fig. 10 shows the circularly-tapered SIW coupler used in the receiver. Through a smooth tapering of the coupling slot, a good matching of EM fields and also an effective suppression of highorder modes can be expected over a wide frequency band. The key to develop such kind of coupler is to synthesize the slot length of the tapered coupling section. An accurate and efficient design procedure has been proposed for studying such kind of irregular structures using a numerical calibration technique [14]. Therefore, only the simulation results are given in Fig. 11. It can be seen in Fig. 11 that the simulated return loss and isolation are better than 20 dB from 22 GHz to 26 GHz. Additionally, this coupler has a very good amplitude balance of 0.3 dB and a phase balance varying from 89.6 to 91 . C. Interdigitated IF Coupler A coupler is used at the IF stage of the transmitter to split the IF signal equally into two portions. Generally, it is very hard

Fig. 7. Comparison between transmitted and received radio baseband signals. dB. (b) dB. (c) dB. (a)

to achieve a 3-dB coupling for the conventional parallel-linesbased microstrip coupler due to the fabrication limit and tolerance. An accurate method has been presented to synthesize a

HAN AND WU: 24-GHz INTEGRATED RADIO AND RADAR SYSTEM

625

TABLE III SIMULATION RESULTS OF THE RADAR MODE

TABLE IV SPECIFICATIONS OF THE RF BPF

Fig. 9. Proposed SIW Tx/Rx bandpass filter. (a) Sketch. (b) Equivalent circuit topology. (c) Simulation and measurement results.

Fig. 8. (a) Sketch and (b) filtering responses of the proposed SIW RF bandpass filter. TABLE V SPECIFICATIONS OF THE TX/RX BPF

Fig. 10. Sketch of the circularly-tapered SIW coupler.

D. Low-Sidelobe Microstrip Array Antenna class of interdigitated couplers that can be easily implemented using a standard PCB process [15]. As an example, a composite microstrip-CPW coupler has been showcased to demonstrate the accuracy and effectiveness of the proposed synthesis method. The fabricated prototype has presented a very good performance in terms of impedance matching, amplitude balance and phase relationship, and consequently it is used as the IF coupler in the system.

For the automotive radar, it is preferred to have a low sidelobe level in order to suppress any potential interference and reduce the clutters. Therefore, a microstrip array with a high gain and low sidelobe is developed for the system. There are two reasons for choosing a microstrip technology instead of the SIW technology. One is that the microstrip array can be directly fed by the SIW cavities using the multi-layer PCB process [16] in order to minimize the system volume. The other is that at 24 GHz, an

626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 13. Comparison between the simulated and measured input impedances of the microstrip array.

Fig. 11. Simulation results of the circularly-tapered SIW coupler. (a) eters. (b) Amplitude and phase balance.

Fig. 12. 8

param-

8 microstrip array in the system.

electrically thin substrate is very helpful to reduce a high spurious radiation from the feeding network and unwanted surface wave propagation so that antenna efficiency can be increased. In this demonstration, the substrate selected is RT/Duroid 5880 with a thickness of 0.254 mm and a permittivity of 2.2. In order to achieve the prescribed high gain of 22 dBi listed in Table II, the antenna array is designed with 8 8 elements, as shown in Fig. 12. However, it is very time-consuming and inefficient if this array is directly analyzed in a commercial electromagnetic simulator. Therefore, the antenna array is designed on the basis of an equivalent circuit network approach [17], in which the equivalent circuit model of the antenna and the feeding network as well as the low-order mutual couplings are co-simulated in a circuit simulator [12]. As shown in Fig. 13, there is a close agreement between the analyzed and the measured return losses. The measured impedance bandwidth of this

Fig. 14. Measured radiation patterns of the microstrip array. (a) -Plane.

-Plane. (b)

array covers from 23.2 GHz to 25 GHz for VSWR less than 2. The increment of the impedance bandwidth can be ascribed to the insufficient considerations of conductor loss and radiation loss of the feeding network in the circuit simulation. Fig. 14 plots the measured radiation patterns in both the -plane and -plane at different frequencies. It can be seen that the measured sidelobe level in the -plane is better than 20 dB while it is better than 18 dB in the -plane. Additionally, the measured cross-polarization level is less than 20 dB. Finally, the measured gain given in Fig. 15 is 22.5 dBi at 21.15 GHz and it is higher than 21 dBi over the frequency band from 23.65

HAN AND WU: 24-GHz INTEGRATED RADIO AND RADAR SYSTEM

627

Fig. 15. Measured array gain.

to 24.65 GHz. Finally, the measured half-power beamwidths are about 8 in both the -plane and -plane. E. System Prototypes The complete transmitter and receiver are respectively prototyped in-house using the standard PCB process and then separately encasing them in two aluminous housings. Such active devices as the PA (HMC499LC4), the mixer (HMC260LC3B), and the LNA (HMC751LC4), are commercial packaged chips. They are soldered using a flip-chip machine. A grounded CPW is used as the transmission line to interconnect all the components. Fig. 16 shows the photographs of the fabricated prototypes. The total dimension of both prototypes is about 12 cm 12 cm. As can be seen in Fig. 16, the RF BPF and Tx/Rx BPFs as well as the Rx Coupler are designed using the SIW technology.

Fig. 16. Photos of the fabricated prototypes. (a) Transmitter. (b) Receiver.

VI. MEASUREMENT RESULTS A. Measurement Setup The system prototype has been subjected to a number of experiments to evaluate the system performance for both the communication and radar modes. In the measurement setup depicted in Fig. 17, two signals generators, the Anritsu MG3694A and Agilent E4438C are used as the RF LO and IF LO, respectively. B. Radio Communication Mode First, the communication mode was evaluated by simply connecting the transmitter with the receiver. Additional attenuators are included to reduce the input power of the receiver at 60 of around 15 dB for 50-Mbps dBm that gives an output BPSK signals. The measured constellations of the demodulated BPSK and QPSK signals at two different data rates are shown in Fig. 18. Fig. 18(a) and Fig. 18(c) show a very good system performance for the BPSK and QPSK signals with a data rate of 10 Mbps. Fig. 18(e) gives an enlarged color bar showing the percentage of data corresponding to different colors in the constellation diagrams. Moreover, it can be seen from Fig. 18(b) and Fig. 18(d) that the system is very capable of communication with 50-Mbps BPSK signals and 50-Mbps QPSK signals. Note that the signal recording in Fig. 18(d) is shorter than in the other three figures, and that is why the color contrast in Fig. 18(d) should be considered differently from the others. Finally, as can

Fig. 17. System measurement setup.

be seen from Fig. 18(c) and Fig. 18(d), the distance of the signal points in the horizontal (I) axis and in the vertical (Q) axis is almost the same, which proves a good balance between the I and Q channels. For the over-the-air wireless measurement, the experiments were facilitated with the help of one reflector according to Fig. 17 for two reasons. One is to achieve a monostatic measurement with one pair of transmitter and receiver and the other is to emulate a practical application scenario since the reflector can approximately emulate the body of the vehicle and create multipath fading. The distance of the reflector is adjusted to obtain an input power of 60 dBm for the receiver together with additional attenuators. Fig. 19 shows the measured constellation of demodulated I-Q signals at the output of the receiver. It can be observed from Fig. 19(c) and Fig. 19(d) that the system has a very good performance at a data rate of 50 Mbps for both BPSK and QPSK modulations. Furthermore, if the data rate decreases to 10 Mbps as shown in Fig. 19(a)

628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Fig. 18. Measured constellations of the demodulated I-Q signals in case of a direct cable connection between Tx and Rx. (a) 10-Mbps BPSK. (b) 50-Mbps BPSK. (c) 10-Mbps QPSK. (d) 50-Mbps QPSK. (e) Enlarged color bar. Fig. 20. Comparison of transmitted and demodulated I-Q waveforms. (a) Direct cable connection. (b) Over-the-air measurement.

for the case of a direct cable connection. However, as shown in Fig. 20(b), if the signals are transmitted through a wireless channel, the demodulated signals are heavily distorted because of the multipath propagation and fading in the practical wireless channel. Therefore, the BER performance would be greatly deteriorated unless certain diversity techniques are implemented. C. Radar Mode

Fig. 19. Measured constellations of the demodulated I-Q signals in the presence of a reflector. (a) 10-Mbps BPSK. (b) 50-Mbps BPSK. (c) 10-Mbps QPSK. (d) 50-Mbps QPSK. (e) Enlarged color bar.

and Fig. 19(b), the system will behave better as the distance between signal points in the constellation diagram is clearly increased. However, it should be noted that this arrangement cannot emulate a pure additive-white-Gaussian-noise (AWGN) channel (direct cable connection) that is used in the link budget analysis. Fig. 20 compares the transmitted and demodulated waveforms in the case of the direct cable connection and the over-the-air measurement. It is very clear in Fig. 20(a) that the demodulated signals are duplicates of the transmitted signals

The radar performance of range detection is firstly evaluated. As shown in the picture of Fig. 21, four targets (Target 1 to 4) are specially arranged in front of the system. Frequency estimation is performed with the use of a fast Fourier transform (FFT) with zero padding and range profiles of six targets which are plotted in Fig. 22(a). In addition to the designated four targets, two more targets are detected by the system including the door to another room and the closet in that room. Also, in the measurement setup, Target 2 and Target 3 are deliberately separated less than the range resolution, so they can hardly be distinguished from each other in Fig. 22(a). Moreover, the distance of Target 4 is varied from 1 m to 8 m, and measured results plotted in Fig. 22(b) demonstrate an excellent range detection capability of the system. Further, a series of measurements have been made in an indoor garage, as pictured in Fig. 23(a). Five typical scenarios are illustrated in Fig. 23(b) to Fig. 23(f) and the experimental results are summarized in Table VI for reference. First, proper system functionality was proved by measuring multiple static cars. The measurement results given in Fig. 23(b) show that beat frequencies of the upchirp and the downchirp are almost the same while negligible beat frequencies of the constant frequency period are caused by a very small frequency drift in the system. Then, the

HAN AND WU: 24-GHz INTEGRATED RADIO AND RADAR SYSTEM

629

Fig. 21. Picture of the measurement setup of radar mode.

Fig. 23. Indoor-garage measurements. (a) Measurement setup. (b) Scenario 1. (c) Scenario 2. (d) Scenario 3. (e) Scenario 4. (f) Scenario 5.

TABLE VI EXPERIMENTAL RESULTS OF INDOOR-GARAGE MEASUREMENTS

Fig. 22. Experimental results of radar mode. (a) Frequency estimation. (b) Measured distance of target 4.

detection of a single moving target is experimentally verified for four different scenarios including incoming (negative velocity) and outgoing cars (positive velocity). In these measurements, the target with the strongest reflection (the highest amplitude in frequency estimation) is discriminated as the true target. Based on the derivation in [5], one criterion to pair the beat frequencies in the upchirp and downchirp, is that they have a frequency difference as double of the beat frequency in the constant frequency period. All the measurement results in Fig. 23(c) to Fig. 23(f) have presented a promising system performance and validated the system functionality of a moving target.

D. Performance Summary and Discussion The system performance is compared with other multifunctional systems as can be seen in Table VII. It should be noted that both the maximum communication range and maximum radar range of the present system are derived from the input signal level of the receiver by inversely deducting the free space path loss. From Table VII, we can observe the following. Firstly, the multifunctional system in [7] adopted the pulse position modulation (PPM) and achieved the highest data rate within a very

630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

TABLE VII SYSTEM PERFORMANCE COMPARISON

When it comes to the radar performance, the present system is able to operate in the maximum range compared to other systems. Further, the range resolution is determined by the sweeping bandwidth through the following equation: (3)

Fig. 24. BER performance of BPSK signals in different channels.

short range of 10 m due to high oxygen absorption at 60 GHz, which makes it only suitable for indoor applications. Secondly, the system performance obtained in this work achieves nearly the same data rate as that of a multifunctional software-defined system in [3], which used the on-off keying (OOK) modulation combined with the OFDM technique. It should be mentioned that the BER performance of the present system is estimated through the measured SNR in the case of a direct cable connection, which can be modeled as an AWGN channel. However, the BER performance is very dependent on the channel characteristics as shown in Fig. 24. In our over-the-air experiment setup, a Rician channel model is very reasonable since it is usually used to characterize a wireless channel with a dominant component (direct link) and scattered power (other multipath fading) [18]. The factor in the Rician channel is defined as the ratio of signal power in the dominant component over the scattered power. When there is no distinct dominant component, equals 0 dB and the Rician fading becomes a Rayleigh fading. As can be seen in Fig. 24, in the case of the same 10-dB for an AWGN , the BER can easily drop from channel and to for a Rician channel with K equaling 6 dB. Consequently, diversity techniques have to be implemented to combat channel fading [19]. Thirdly, simultaneous operation of communication and radar performance was achieved by making use of the quasi-orthogonality of the up-chirp and down-chirp signals [2]. It was found that simultaneous operation of a radar function has reduced the BER from to for a BPSK signal with a data rate of 1 Mbps. This asserts that simultaneous operation may not be the most optimal if there exists residual mutual interference between communication and radar signals. Therefore, the time-domain modulation scheme becomes more attractive due to the sequential operation of the radar and communication mode.

where is the speed of light. Therefore, the wider the sweeping bandwidth is, the higher the range resolution is. For example, in the previous system demonstrator [8], the range resolution is only 750 cm due to the limited channel bandwidth of 20 MHz. However, our measured range resolution of the present system is 165 cm, which agrees well with the theoretical value of 150 cm for a sweeping bandwidth of 100 MHz. In the proposed transceiver, a DDS is used to generate the chirping signal and implement the modulation, and the maximum sweeping bandwidth is limited by the DDS clock of 300 MHz. If a wider sweeping bandwidth is required, there are two solutions. One is to use an advanced DDS with a higher clock rate. Currently, the highest clock rate of a commercial DDS is 1 GHz, and therefore, the maximum sweeping bandwidth is limited to about 400 MHz. The other solution is to use a frequency synthesis circuit composed of DDS, a phase-locked loop and frequency multipliers for generating the required sweeping bandwidth and then cascade an extra modulator to enable the communication function. However, in this case, the transceiver will lose its compactness and efficiency. It can be expected that the rapid progress of digital technology will allow for a direct DDS solution which will be more feasible and attractive in the near future. VII. CONCLUSION A 24-GHz integrated multifunctional platform, which is capable of time-agile operations between the radio communication and radar modes has been presented. A low-cost system prototype, which consists of a number of innovative components, has been built with the help of the substrate integrated waveguide (SIW) technology. Additionally, a high-gain and low-sidelobe microstrip array with an enhanced impedance bandwidth has been proposed and developed. Experimental results of the system performance regarding both data communication and radar detection has validated the system concept. This system has laid a solid foundation for developing a system-on-chip (SoC) or system-on-substrate (SoS) [20] using the low-cost and mature CMOS process. ACKNOWLEDGMENT The authors are grateful to T. Antonescu, S. Dubé, M. Thibault and J. Gauthier, who are all with the Poly-Grames Research Center, Département de Génie Électrique, Centre de

HAN AND WU: 24-GHz INTEGRATED RADIO AND RADAR SYSTEM

Recherche en Électronique Radiofréquence (CREER), École Polytechnique de Montréal, QC, Canada, for their technical assistance in the fabrication and measurement of the circuit prototypes. Special thanks goes to the editor and the anonymous reviewers for their insightful comments and constructive suggestions. REFERENCES [1] V. Winkler and J. Detlefsen, “Automotive 24 GHz pulse radar extended by a DQPSK communication channel,” in Proc. 4th Eur. Rad. Conf., Munich, Germany, Oct. 2007, pp. 138–141. [2] G. N. Saddik, R. S. Singh, and E. R. Brown, “Ultra-wideband multifunctional communications/radar system,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 7, pp. 1431–1437, Jul. 2007. [3] M. Bocquet, C. Loyez, C. Lethien, N. Deparis, M. Heddebaut, A. Rivenq, and N. Rolland, “A multifunctional 60-GHz system for automotive applications with communication and positioning abilities based on time reversal,” in Proc. Eur. Radar Conf. (EuRAD), Paris, France, Oct. 2010, pp. 61–64. [4] K. Konno and S. Koshikawa, “Millimeter-wave dual mode radar for headway control in IVHS,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 1261–1264. [5] A. Stelzer, M. Jahn, and S. Scheiblhofer, “Precise distance measurement with cooperative FMCW radar units,” in Proc. IEEE Radio Wireless Conf., Orlando, FL, Jan. 2008, pp. 771–774. [6] L. Han and K. Wu, “Radio and radar data fusion platform for intelligent transportation systems,” in Proc. Eur. Radar Conf. (EuRAD), Paris, France, Oct. 2010, pp. 65–68. [7] D. Garmatyuk, J. Schuerger, and K. Kauffman, “Multifunctional software-defined radar sensor and data communication system,” IEEE Sensors J., vol. 11, no. 1, pp. 99–106, Jan. 2011. [8] L. Han and K. Wu, “Multifunctional transceiver for future intelligent transportation systems,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 7, pp. 1879–1892, Jul. 2011. [9] L. Han and K. Wu, “24-GHz joint radar and radio system capable of time-agile wireless sensing and communication,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [10] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [11] H. Rohling and E. Lissel, “77 GHz radar sensor for car application,” in Proc. IEEE Int. Radar Conf., May 1995, pp. 373–379. [12] Advanced Design System (ADS) 2009. Santa Clara, CA: Agilent Technologies, 2009. [13] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [14] L. Han, K. Wu, X.-P. Chen, and F. He, “Accurate analysis of finite periodic substrate integrated waveguide structures and its applications,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, MD, May 2010, pp. 864–867. [15] L. Han, K. Wu, and X.-P. Chen, “Accurate synthesis of four-line interdigitated coupler,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 10, pp. 2444–2455, Oct. 2009. [16] M. H. Awida and A. E. Fathy, “Substrate-integrated waveguide -band cavity-backed 2 2 microstrip patch array antenna,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 1054–1056, 2009. [17] L. Han and K. Wu, “Modeling of mutual coupling of arbitrary-order in coupled circuits and array antennas,” Int. J. RF Microw. ComputerAided Eng., vol. 21, no. 1, pp. 5–16, Jan. 2011. [18] J. G. Proakis, Digital Communications, 4th ed. ed. New York: McGraw-Hill, 2000. [19] B. Sklar, Digital communications: Fundamentals and applications. Upper Saddle River, NJ: Prentice Hall PTR, 2001.

631

[20] Z. Li and K. Wu, “24-GHz frequency-modulated continuous-wave radar front-end system-on-substrate,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 278–285, Feb. 2008. Liang Han (S’07) received the B.E. (with distinction) and the M.Sc. degrees from the Southeast University, Nanjing, China, in 2004 and 2007, respectively, both in electrical engineering. He is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests include multifunctional RF transceivers, advanced CAD and modeling techniques and its applications in the design of filters, couplers, and antennas. Mr. Han is on the Editorial Board of Active and Passive Electronic Components. He is a reviewer for several international journals including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of several awards, including the 6th EuRAD Young Engineer Prize and two prizes in the student design competitions of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) in 2009 and 2010.

Ke Wu (M’87–SM’92–F’01) is professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering at the Ecole Polytechnique (University of Montreal), Montreal, QC, Canada. He holds the first Cheung Kong endowed chair professorship (visiting) at the Southeast University, the first Sir Yue-Kong Pao chair professorship (visiting) at the Ningbo University, and an honorary professorship at the Nanjing University of Science and Technology, Nanjing University of Post Telecommunication, and the City University of Hong Kong, China. He has been the Director of the Poly-Grames Research Center and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also hold guest and visiting professorship in many universities around the world. He has authored or co-authored over 800 referred papers, and a number of books/book chapters and patents. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced CAD and modeling techniques, wireless power transmission, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. He has held key positions in and has served on various panels and international committees including the chair of technical program committees, international steering committees and international conferences/symposia. In particular, he will be the general chair of the 2012 IEEE MTT-S International Microwave Symposium. He has served on the editorial/review boards of many technical journals, transactions and letters as well as scientific encyclopedia including editors and guest editors. He is currently the chair of the joint IEEE chapters of MTTS/APS/LEOS in Montreal. Dr. Wu is an elected IEEE MTT-S AdCom member for 2006–2015 and served as Chair of the IEEE MTT-S Member and Geographic Activities (MGA) Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada. He is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is an IEEE MTT-S Distinguished Microwave Lecturer from January 2009 to December 2011.

632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012

Letters Comments on “Theoretical and Experimental Study of a New Class of Reflectionless Filter” S. C. Dutta Roy

Abstract—These comments are intended to supplement the work on the new class of reflectionless filters, reported by Morgan and Boyd, by providing some additional results useful for the design of the new filter. Only the case of a low-pass filter (LPF) is considered, as the other types can be obtained by frequency transformation of the LPF. An alternative realization of the basic LPF transfer function, which requires less than half the number of components, is also presented. However, it does not have the constant resistance feature; it will therefore be useful as the first stage in a cascade in a situation where frequency response is of primary importance, not matching.

Fig. 1. Basic reflectionless LPF. Each unmarked inductance is of value .

Index Terms—Constant resistance filters, filter design, network synthesis, reflectionless filters.

I. INTRODUCTION These comments are intended to supplement the work of Morgan and Boyd [1] on the new class of reflectionless filters (also known as constant resistance filters in network theory literature [2]), by providing some additional results, which will be useful for the design of such filters. Only the low-pass filter (LPF) is considered here, as the other types can be obtained by frequency transformation of the LPF. Also presented is a simple circuit for realizing the transfer function of the basic LPF, which uses less than half the number of components as compared to that of [1]. However, this alternative circuit does not have the constant resistance feature. It can therefore be used as the first stage in a cascade in situations where frequency response is the primary concern, and not matching at the input and output. II. BASIC REFLECTIONLESS LPF The basic reflectionless LPF is shown in Fig. 1 with the element and , where is the frequency values [1]: at which the scattering parameter ( because of symmetry), which, in network theory terminology, is the voltage transfer function from port 1 to port 2 in Fig. 2, vanishes. The parameters are identically zero, which in network theory terminology, means that the input and output impedances are both when the other (hence the name, constant resistance filter). port is terminated in Using the normalized frequency , the parameter, or equivalently, the voltage transfer function in Fig. 2, is given by

Fig. 2.

is the circuit of Fig. 1.

imum of the magnitude of (1), henceforth denoted by , occurs at and has a value of , which limits the stopband rejection dB. Due to the constant resistance feature, in to Fig. 2 can be replaced by another terminated in , and the process can be repeated, without loading of the preceding section by the succeeding one. If such sections are cascaded, the stopband rejection is increased dB. In the process, however, the passband shrinks. The 3-dB to bandwidth for an section cascade is obtained by solving for in the equation (2) From (1), we get (3) Using and in (3), it can be shown that

, and simplifying the denominator (4)

(1) where III. ADDITIONAL RESULTS

(5)

All the additional results presented in this letter, leading to a design procedure, are based on (1). As mentioned in [1], the stopband maxManuscript received November 08, 2011; accepted November 28, 2011. Date of publication January 16, 2012; date of current version March 02, 2012. The authors was with the Department of Electrical Engineering, Indian Institute of Technology (IIT) Delhi, New Delhi 110 016, India. He resides in New Delhi 110 016, India (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2011.2180921

will reveal all the features of the A critical examination of shall occur when magnitude function. For example, the extrema of , which gives, on simplification, (6) are Thus, the extrema points of values being 1 (maximum at

0018-9480/$31.00 © 2012 IEEE

and , the corresponding ); 0 (minimum at ), and

ROY: COMMENTS ON “THEORETICAL AND EXPERIMENTAL STUDY OF A NEW CLASS OF REFLECTIONLESS FILTER”

(maximum at , as already mentioned in [1]). Also, from (4) and (5), it is obvious that tends to zero as . It is therefore concluded that the magnitude monotonically decreases in the passband and has a maximum in the stopband, which limits the stopband rejection. From (4) and (5), it can be shown that the 3-dB bandwidth of the section cascade, is given by the solution of the cubic equation

633

Fig. 3. Alternative realization of (1).

(7) This equation has been solved by the method of [3] and the results for the first four values of are as follows:

and (13)

(8) The maximum stopband rejection, as stated earlier, is limited to , equivalent to dB. For all values of , the stopband starts at the same frequency , where , it can be shown, satisfies the equation , which, on simplification, gives (9) As already mentioned, the stopband. Hence,

corresponds to the maximum of or, .

in

IV. DESIGN PROCEDURE The design procedure can now be simply formulated. If the minimum stopband rejection is specified as dB, then the number of sec; if this is not an integer, as is most tions required would be likely to be the case, the integer closest to but greater than is to be taken as the value of . Once is known, is determined from . Given the impedance the specified 3-dB bandwidth as level , the values of and can then be determined. A weakness of this design is that there is no control over the edge of the stopband; it is fixed at . V. ALTERNATIVE REALIZATION OF THE TRANSFER FUNCTION (1)

so that (14) The network realization is now simple and is shown in Fig. 3. The actual network elements can be easily obtained by a standard denormalization procedure. It must be noted that this two-port is not matched at either port. However, if the frequency response is the primary concern, and not the matching, then this network can be used as the first stage in a cascade and the load resistance can be replaced by a reflectionless filter of [1] or a chain of them. VI. CONCLUSION Some additional results are derived for reflectionless filters of [1], which should be useful for the design of such filters. Since the frequency response of these filters has some advantages over the comparable conventional Chebyshev and Butterworth filters [1], an alternative economic realization of the basic transfer function is given, which can be used as the first section in a cascade when frequency response is of primary concern, and not matching.

In the -domain, the transfer function (1) can be written as ACKNOWLEDGMENT (10) Referring to Fig. 2 and taking

, one can write (11)

where the -parameters refer to the two-port . Comparing (10) and (11), standard network synthesis procedure dictates that (12)

The author thanks Dr. M. A. Morgan for helpful e-mail discussions and Dr. S. D. Roy for his help in preparing this letter.

REFERENCES [1] M. A. Morgan and T. A. Boyd, “Theoretical and experimental study of a new class of reflectionless filter,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1214–1221, May 2011. [2] F. F. Kuo, Network Analysis and Synthesis. New York: Wiley, 1966. [3] S. C. D. Roy, “On the solution of quartic and cubic equations,” IETE J. Educ., vol. 47, no. 2, pp. 91–95, Apr.–Jun. 2006.

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2188580

Digital Object Identifier 10.1109/TMTT.2012.2188584

Digital Object Identifier 10.1109/TMTT.2012.2188594

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2188581

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath