OCTOBER 2012 
IEEE MTT-V060-I10 (2012-10) [60, 10 ed.]

Citation preview

OCTOBER 2012

VOLUME 60

NUMBER 10

IETMAB

(ISSN 0018-9480)

PAPERS

Theory and Numerical Methods Theory of Magnetic Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. A. Brandão Faria and M. P. Pires A Mode-Matching Approach to Electromagnetic Wave Propagation in Nematic Liquid Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. C. Polycarpou, M. A. Christou, and N. C. Papanicolaou A Time-Domain Adjoint Variable Method for Materials With Dispersive Constitutive Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . O. S. Ahmed, M. H. Bakr, X. Li, and T. Nomura Hybrid Field/Transmission-Line Model for the Study of Coaxial Corrugated Waveguides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. P. Savaidis, Z. C. Ioannidis, and N. A. Stathopoulos Efficient Analysis of Metallic and Dielectric Posts in Parallel-Plate Waveguide Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Casaletti, R. Sauleau, M. Ettorre, and S. Maci Subgradient Techniques for Passivity Enforcement of Linear Device and Interconnect Macromodels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. C. Calafiore, A. Chinea, and S. Grivet-Talocia Passive Components and Circuits Mode Analysis of the Corrugated Substrate Integrated Waveguide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. W. Eccleston Polarization-Independent Metamaterial Analog of Electromagnetically Induced Transparency for a Refractive-Index-Based Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F.-Y. Meng, Q. Wu, D. Erni, K. Wu, and J.-C. Lee Common-Mode Suppression in Microstrip Differential Lines by Means of Complementary Split Ring Resonators: Theory and Applications . . . . . . . . . . . . . . . . J. Naqui, A. Fernández-Prieto, M. Durán-Sindreu, F. Mesa, J. Martel, F. Medina, and F. Martín Dual Composite Right-/Left-Handed Coplanar Waveguide Transmission Line Using Inductively Connected Split-Ring Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Á. Belenguer, J. Cascón, A. L. Borja, H. Esteban, and V. E. Boria Pseudo-Traveling-Wave Resonator With Magnetically Tunable Phase Gradient of Fields and Its Applications to Beam-Steering Antennas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Ueda, S. Yamamoto, Y. Kado, and T. Itoh A Novel Dual-Band 3-dB Branch-Line Coupler Design With Controllable Bandwidths . . . . . . . . . K.-K. M. Cheng and S. Yeung Ultra-Wideband Single and Dual Baluns Based on Substrate Integrated Coaxial Line Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Zhu, W. Hong, J.-X. Chen, and K. Wu Substrate Integrated Waveguide Quasi-Elliptic Filters With Controllable Electric and Magnetic Mixed Coupling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Gong, W. Hong, Y. Zhang, P. Chen, and C. J. You A Finite-Element Algorithm for the Adjustment of the First Circulation Condition of the Turnstile Waveguide Circulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Helszajn Electron Devices and Device Modeling A 3-D Table-Based Method for Non-Quasi-Static Microwave FET Devices Modeling . . . . . . . Y. Long, Y.-X. Guo, and Z. Zhong

2941 2950 2959 2972 2979 2990 3004 3013 3023 3035 3043 3055 3062 3071 3079 3088

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Hybrid and Monolithic RF Integrated Circuits A High-Reliability High-Linearity High-Power RF MEMS Metal-Contact Switch for DC–40-GHz Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. D. Patel and G. M. Rebeiz Analysis and Design of 60-GHz SPDT Switch in 130-nm CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. He, Y.-Z. Xiong, and Y. P. Zhang Pulse-Biased Low-Power Low-Phase-Noise UHF LC-QVCO for 866 MHz RFID Front-End . . . . . . . . . J. Li and S. M. R. Hasan Large-Signal Oscillator Design Procedure Utilizing Analytical -Parameters Closed-Form Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. M. Pelaez-Perez, S. Woodington, M. Fernández-Barciela, P. J. Tasker, and J. I. Alonso Analysis of Oscillation Modes in Free-Running Ring Oscillators . . . . . . . . . . . . . . . . . . . . . . . . . . . J. de Cos, A. Suárez, and F. Ramírez Design of Low Phase-Noise Microwave Oscillator and Wideband VCO Based on Microstrip Combline Bandpass Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Tseng and C.-L. Chang Low-Power-Consumption Wide-Locking-Range Dual-Injection-Locked 1/2 Divider Through Simultaneous Optimization of VCO Loaded and Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Lee, S. Jang, and C. Nguyen A Wideband Low-Power CMOS LNA With Positive–Negative Feedback for Noise, Gain, and Linearity Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Woo, W. Kim, C.-H. Lee, H. Kim, and J. Laskar Wideband CMOS Amplification Stage for a Direct-Sampling Square Kilometre Array Receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Navaratne and L. Belostotski Vectorially Combined Distributed Power Amplifiers for Software-Defined Radio Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Narendra, E. Limiti, C. Paoloni, J.-M. Collantes, R. Jansen, and S. Yarman A Modified Doherty Configuration for Broadband Amplification Using Symmetrical Devices . . . D. Y.-T. Wu and S. Boumaiza A Dual-Band Parallel Doherty Power Amplifier for Wireless Applications . . . . . . . . . . . . . . . . . . . . . . . . . A. Grebennikov and J. Wong Analysis and Design of a Stacked Power Amplifier With Very High Bandwidth . . . . . . . . . . . D. Fritsche, R. Wolf, and F. Ellinger A Broadband Injection-Locking Class-E Power Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Lin and H.-Y. Chang A High Dynamic-Range RF Programmable-Gain Front End for G.hn RF-Coax in 65-nm CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Trulls, D. Mateo, and A. Bofill A Digital-Intensive Multimode Multiband Receiver Using a Sinc Filter-Embedded VCO-Based ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Kim, W. Yu, and S. Cho Design and Analysis of a Low-Power 3–6-Gb/s 55-GHz OOK Receiver With High-Temperature Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Uzunkol, W. Shin, and G. M. Rebeiz Linearity Considerations for Low-EVM, Millimeter-Wave Direct-Conversion Modulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. K. Gupta and J. F. Buckwalter A 160-GHz Subharmonic Transmitter and Receiver Chipset in an SiGe HBT Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Zhao, E. Öjefors, K. Aufinger, T. F. Meister, and U. R. Pfeiffer Instrumentation and Measurement Techniques Millimeter-Wave Printed Circuit Board Characterization Using Substrate Integrated Waveguide Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. E. Zelenchuk, V. Fusco, G. Goussetis, A. Mendez, and D. Linton RF Applications and Systems A Compact 3-D Harmonic Repeater for Passive Wireless Sensing . . . . . . . . . . . . . . . . . . . . I. T. Nassar, T. M. Weller, and J. L. Frolik A Single Envelope Modulator-Based Envelope-Tracking Structure for Multiple-Input and Multiple-Output Wireless Transmitters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Yu and A. Zhu Performance Enhancement of an OFDM Ultra-Wideband Transmission-Over-Fiber Link Using a Linearized Mixed-Polarization Single-Drive X-Cut Mach–Zehnder Modulator . . . . . . . . . . . . . . . . . . . . . B. Hraimel, X. Zhang, T. Liu, T. Xu, Q. Nie, and D. Shen Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3096 3113 3120 3126 3137 3151 3161 3169 3179 3189 3201 3214 3223 3232 3243 3254 3263 3272 3286

3300 3309 3317 3328 3339

CALLS FOR PAPERS

Special Issue on Biomedical Applications of RF/Microwave Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Special Issue on Phased-Array Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3340 3341

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE N. KOLIAS, President A. ABUNJAILEH S. BARBIN L. BOGLIONE

G. LYONS, Secretary

M. GUPTA President Elect

T. BRAZIL W. CHAPPELL

N. KOLIAS S. KOUL

M. GOUKER K. ITOH

T. LEE M. MADIHIAN

Honorary Life Members

T. ITOH A. A. OLINER

W. CHAPPELL, Treasurer

A. MORTAZAWI V. NAIR

Distinguished Lecturers

P. STAECKER K. TOMIYASU

J-C. CHIAO M. GUPTA

S. KOUL L. PIERANTONI

D. PASQUET G. PONCHAK D. SCHREURS

R. SORRENTINO B. SZENDRENYI R. WEIGEL

D. SCHREURS J. WOOD

K. WU Q. XUE

Past Presidents

R. SNYDER (2011) S. M. EL-GHAZALY (2010) B. PERLMAN (2009)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI

Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA

Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA

India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT

Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE

Associate Editors KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA

HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore

J. WOOD, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

IEEE Officers

South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI

N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master

MICHAEL R. LIGHTNER, Vice President, Educational Activities DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association FREDERICK C. MINTZER, Vice President, Technical Activities JAMES M. HOWARD, President, IEEE-USA

GORDON W. DAY, President PETER W. STAECKER, President-Elect CELIA L. DESMOND, Secretary HAROLD L. FLESCHER, Treasurer MOSHE KAM, Past President

PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities EILEEN LACH, General Counsel & Corporate Compliance BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA

ALEXANDER PASIK, Information Technology PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities KONSTANTINOS KARACHALIOS, Standards Activities MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $157.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2012 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2012.2220477

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

2941

Theory of Magnetic Transmission Lines J. A. Brandão Faria, Fellow, IEEE, and Miguel P. Pires

Abstract—This paper presents, for the first time, the frequencydomain theory of magnetic transmission lines, i.e., transmission lines where electromagnetic energy guidance is assured by means of two magnetic-flux carrying parallel magnetic wires, as opposed to the ordinary situation of two current carrying parallel electric wires (an electric transmission line). Propagation equations for the fundamental quasi-TEM mode are established and solved. Wave parameters are analyzed. A transmission matrix is described. Index Terms—Electromagnetics, energy guidance, magnetic transmission line (MGTL), transmission-line theory.

I. INTRODUCTION

T

RANSMISSION-LINE theory is one of the oldest topics in electromagnetics, with applications spanning from power systems to microwave systems. The subject is usually dealt with considering a pair of parallel electric wires, carrying longitudinal currents, immersed in an insulating dielectric medium. In the case of good conducting wires, the quasi-TEM approach is ordinarily utilized. The literature on electric transmission lines (ELTLs) is hyper abundant. On the contrary, magnetic transmission lines (MGTLs), which employ a pair of parallel magnetic wires of high permeability, have received very scarce attention. The subject is addressed in a paper dedicated to contactless energy transfer [1], in an old 1968 patent [2], and also in a very recent pending patent [3]. In [1] it is shown, from Maxwell’s equations, that the instantaneous power across a transverse section of an MGTL is given by , where is the magnetic voltage between magnetic wires and is the magnetic flux carried by the wires. The Kerns patent [2] is concerned with harmonics suppression in a voltage transformer that is provided with conductive membranes set in place to attenuate frequencies above 60 Hz. The Faria patent [3] points toward MGTL applications in the terahertz band. This paper is organized into eight sections, the first of which is introductory. Section II is dedicated to the formulation of the problem; ordinary results for ELTLs are briefly reviewed; the duality between electric and magnetic concepts pertaining to transmission-line theory is presented. The propagation equations governing MGTLs are established in Section III. The frequency-domain solution of MGTL propagation equations is worked out in Section IV. Section V is devoted to the analysis of the sending and receiving ends of the MGTL

structure. Approximate expressions of the wave parameters (propagation constant and characteristic impedance) of the MGTL are obtained in Section VI considering the case of small losses. Sections VII and VIII are devoted to discussion and conclusions. II. PROBLEM FORMULATION Transmission lines (ELTLs and MGTLs) support a multitude of propagation modes depending on its geometry and operating frequency. However, only the lowest order mode will be examined—the fundamental TEM mode, which, strictly speaking, only applies to lossless transmisson lines. Nonetheless, the perturbations arising from wires’ imperfection and dielectric imperfection will be taken into account. Therefore, the theory developed in the paper fits in a quasi-TEM framework. In addition, the wires and the dielectric medium are assumed to be characterized by linear constitutive parameters. A. ELTL Here, the standard two-wire ELTL theory is briefly described. Consider a uniform homogeneous ELTL made of two parallel conductors of length , fed by a sinusoidal electromotive force (EMF), and terminated on a linear load [see Fig. 1(a)]. Note that the longitudinal -axis is oriented from right to left. The electric wires are characterized by conductivity , permittivity , and permeability . On the other hand, the dielectric medium is characterized by permeability , and complex permittivity , where the loss tangent includes both polarization and conduction dielectric losses. In an ELTL, the consideration of conductors’ imperfection gives rise to a weak longitudinal component of the electric field, which is responsible for the change of field character from TEM to quasi-TEM. The flow of energy from the generator to the load is locally determined by the flux of the Poynting vector across the transverse plane surface constant , [1], [4], [5] (1)

According to standard frequency-domain ELTL theory, , the complex amplitudes of the line voltage and line current obey the propagation equations, [5], [6]

Manuscript received May 29, 2012; accepted July 05, 2012. Date of publication August 13, 2012; date of current version September 27, 2012. This work was supported by the Portuguese Foundation for Science and Technology (FCT) under Project PTDC/EEI-TEL/1448/2012. The authors are with the Instituto de Telecomunicações, Instituto Superior Técnico, Technical University of Lisbon, 1049-001 Lisbon, Portugal (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2210439 0018-9480/$31.00 © 2012 IEEE

(2)

2942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

load reflection factor; characteristic impedance of the line. load impedance. Considering an ELTL section of axial length , the input and output voltages and currents are related by means of a transmission matrix given by [5], [6] (5)

B. MGTL

Fig. 1. (a) ELTL driven by EMF. (b) Transverse plane cross-sectional view showing TEM fields (example of a symmetric bifilar line with perfect electric wires).

where , , and , respectively, denote the external inductance, complex capacitance, and skin-effect impedance, per unit length (pul) of the line. We also have and . The and line parameters depend on the line geometry and on the dielectric medium properties, [5], [6] (3) is a dimensionless geometrical parameter; e.g., planar where line (width , separation ): ; coaxial geometry (radii and ): ; bifilar line (radius , separation ): . The solution of (2) is also well known [5], [6] (4)

We wish to introduce, discuss, and analyze the concept of an MGTL, which is to be viewed as the dual version of an ELTL, where the roles played by and are interchanged. The MGTL is a closed magnetic circuit fed by a sinusoidal magnetomotive force (MMF) and terminated on a linear load (see Fig. 2). The magnetic wires are characterized by conductivity , permittivity , and permeability . The dielectric medium is characterized by permeability , and complex permittivity , where the loss tangent includes both polarization and conduction dielectric losses. Details concerning the driving MMF and the load will be addressed in Section V. In an MGTL, the consideration of wires’ imperfection gives rise to a weak longitudinal component of the magnetic field, which is responsible for the change of field character from TEM to quasi-TEM. The duality between ELTLs and MGTLs is a result of the equivalence among the integral variables shown in (6) and (7). Note, however that, complete duality does not exist at a physical level. In fact, there is an asymmetry resulting from the fact that the electric current intensity is the result of electron motion, while the magnetic flux is not the result of magnetic monopole motion (magnetic monopoles do not exist) Current intensity [A]

Magnetic flux time derivative [V] (6) Electric voltage [V]

Magnetic voltage [A] (7)

where complex amplitude of the incident wave voltage at the load terminals; propagation constant;

In (6), denotes the cross-sectional area of the electric wires of the ELTL. Likewise, denotes the cross-sectional area of the magnetic wires of the MGTL. Also in (6), the unit vector normal to and to denotes the reference direction assigned to and . In (7), the line integrals between wires 1 and 2 are evaluated in the transverse plane constant .

BRANDÃO FARIA AND PIRES: THEORY OF MGTLs

2943

Fig. 3. Magnetic leakage between two perfect magnetic wires.

Fig. 4. Application of Faraday’s law to a closed path is also displayed. line of . A leakage field line of

Fig. 2. (a) MGTL driven by MMF. (b) Transverse plane cross-sectional view of the MGTL showing TEM fields (example of a symmetric bifilar line with perfect magnetic wires).

The flow of energy from the generator to the load is locally determined by the flux of the Poynting vector across the transverse plane surface constant [1] (8) While in (6), and are axial directed vectors, and in (7) are, dominantly transverse vectors, . In an ELTL, the transverse field is related to capacitive effects between electric wires originated by electric charges. However, in an MGTL, the transverse field is related to transverse magnetic leakage between magnetic wires. Note that magnetic leakage does occur even if the magnetic wires have . To clarify this aspect we do not need to consider the added complication arising from time-varying fields. In fact, by applying Ampère law to the magnetic circuit in Fig. 3, we obtain (9)

The integration surface , in (9), is the rectangular plane corresponding to the closed path , and is the associated

coinciding with a field

Stoke’s normal. Since inside the perfect magnetic wires (path ), the magnetic voltage corresponding to cannot be zero, , and therefore, . Another distinguishing feature that must be brought to attention is that, in an homogeneous ELTL, the transverse field is a gradient field, , whose field lines are open, starting and ending at the electric wires. The transverse field is a solenoidal field, , whose field lines are closed, encircling the electric wires. Conversely, in a homogeneous MGTL, the transverse field is a solenoidal induction field, , whose field lines are closed, encircling the magnetic wires. The transverse field is a gradient field, , whose field lines are open, starting and ending at the magnetic wires. III. MGTL PROPAGATION EQUATIONS The transverse electric induction field , associated to the time-varying magnetic flux carried by the two magnetic wires, is related to the magnetic flux itself, through the frequency-domain Faraday law (Fig. 4)

(10) where is an infinitesimal path length belonging to a transverse closed field line of embracing the magnetic wire #1; the field direction being defined by the unit vector . At a given point belonging to the transverse plane, the scalar complex amplitude is proportional to the magnetic flux time rate (11) The direction of , as well as the function (units: m), depend on the observation point and on the particular geometry of the MGTL.

2944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

where is a positive dimensionless geometrical factor that only depends on the particular geometry of the MGTL. At last, plugging (14) and (15) into (13), dividing both sides of the resulting equation by and taking the limit , we find the relationship between the -variation of the magnetic voltage along the MGTL and the magnetic flux flowing in the magnetic wires

Fig. 5. Application of Ampère’s generalized law to the path .

(16)

A. Equation for Let us pay attention to the longitudinal variation of the magnetic voltage along the line. Let and represent the complex amplitudes of the transverse magnetic voltages referred to the and transverse planes, respectively, where the length is vanishingly small. Next, consider the application of the generalized Ampère law, [5], to the path shown in Fig. 5 (12)

The subpaths and of length are longitudinal. The subpaths and are chosen coincident with -field transverse lines. Therefore, the Stokes’s unit normal to the surface is antiparallel to the transverse field crossing . Evaluation of the line and surface integrals in (12) yields (13a)

has the physical diThe frequency-dependent parameter mensions of a pul admittance (in siemens/meter). The imaginary and real parts of the first term in the right-hand side of (16) are associated, respectively, to magnetic wire losses, and to electric and magnetic energy storage inside the magnetic wires. The second term is related to electric energy storage and power losses in the dielectric medium. Magnetic energy storage in the dielectric medium is accounted in (25) through . B. Equation for Now let us pay attention to the longitudinal variation of the magnetic flux along the line. Let and represent the complex amplitudes of the axial magnetic fluxes referred to the and transverse planes, respectively, where the length is vanishingly small. In an MGTL, the transverse field is a gradient field whose lines of force are open, starting and ending at the magnetic wires of the line (see Fig. 4). In the transverse plane constant , the line integral of from wire 1 to wire 2 yields the magnetic voltage

(13b) (17) is an infinitesimal path length belonging to a transwhere verse open field line of . The terms and in (13a) represent the pul magnetic voltage drops associated to the weak component arising from the fact that the magnetic wires are not magnetically perfect, . Both terms are proportional to the magnetic flux carried by the magnetic wires. We can then write (14) where is the pul skin-effect complex magnetic reluctance of the MGTL. This parameter, which depends on the frequency, can be evaluated using skin-effect theory (see Appendix A). The quantity in (13b) denotes the electric induction flux across the open surface shown in Fig. 5. Taking (11) and (13b) into account, we can write (15)

Therefore, at a given point we can write

on the transverse plane,

(18) (unit: m), depend The direction of , as well as the function on the observation point and on the particular geometry of the MGTL. Next, consider the application of in its integral form (19) is a closed surface of axial length that encircles where the magnetic wire 1, and is the outward unit normal (see Fig. 6). The shape of the lateral surface belonging to is purely arbitrary because is a purely solenoidal field. Therefore, we

BRANDÃO FARIA AND PIRES: THEORY OF MGTLs

2945

or, taking into account that

,

(25)

Fig. 6. Application of carrying magnetic wire #1.

can choose open surface

to a closed surface

enclosing the flux-

in a way such that it orthogonally intersects the considered in the evaluation of in (15)

The frequency-dependent parameter has physical dimensions of a pul impedance m. From (16) and (25), the frequency-domain domain equations for an MGTL can be summarized as shown in (26), where the similitude with (2) is evident (26)

C. Lossless MGTL—Time Domain For the lossless line case, (20)

As a parenthetical remark, it may be noticed that the result in (20) is similar to Kirchhoff’s current law, , valid for quasi-stationary regimes, where [5]. In (20), the leakage flux corresponds to field lines of that cross the lateral surface . Taking (17) into account, and noting that and , we can write (21a)

, (26) yields (27)

Taking into account that for time–harmonic regimes, , the time-domain propagation equations, corresponding to (27), translate to (28)

From the above results, we can readily obtain (29)

or (21b) The identity (22) is a result of the relationship between electric and magnetic field values in the transverse plane. (This assertion is analyzed in Appendix B). From (20) and (21), we get (23) At last, considering (23), dividing both sides of the equation by , and taking the limit , we find the relationship between the -variation of the magnetic flux along the MGTL and the magnetic voltage between the two magnetic wires (24)

showing that both and do satisfy the same wave equation, the wave propagation velocity being given by . It must be remarked that the expected result in (29) would not be obtained if the identity (22) were false. Moreover, for MGTLs and ELTLs of identical geometry, the geometrical factors and are also identical (30)

IV. FREQUENCY-DOMAIN SOLUTION OF THE PROPAGATION EQUATIONS FOR LOSSY MGTLs Using (3), (30), (16), and (25), the pair of equations in (26) can be rewritten as

(31)

2946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

where and are the familiar pul external inductance and pul complex capacitance of an ELTL with the same geometry. The perturbation term (32) is associated to MGTL wire losses and wire internal energy storage (skin effect). It is interesting to note that, in the case of the ELTL, contributions from losses appear in the two equations of (2), whereas in the MGTL, all the losses are included in the top equation of (31). A possible interpretation for this apparent asymmetry is the already referred nonexistence of magnetic monopoles. This asymmetry, i.e., the absence of a real part in , also leads to the conclusion that a distortionless condition for MGTLs cannot be established. From (31), we obtain

Fig. 7. MGTL terminations. (a) Sending end. (b) Receiving end.

note that, in the case of a lossless MGTL, we would find (40) Dividing (37) by (38), considering netic load impedance

, we obtain the mag-

(41) (33) from where the load reflection factor

can be evaluated

The top equation in (33) is a homogeneous second-order differential equation with constant coefficients. Its solution is trivial (34)

(42) Likewise, dividing (37) by (38), considering the magnetic input impedance of the line

, we obtain

where , the propagation constant, is given by

(43) (35)

The constants and are the complex amplitudes of the incident and reflected magnetic flux rate waves at . These constants are obtained upon consideration of the MGTL boundary conditions. The load boundary condition will allow and to be related through the load reflection factor (36) By making use of (36), we can rewrite (34) as (37) The bottom equation in (33) allows for the determination of the magnetic voltage solution (38) where is the magnetic characteristic wave impedance of the line

The complex amplitude of the incident wave can be determined from (37) or (38) once one of the following quantities, , , or , is specified. V. SENDING AND RECEIVING ENDS OF AN MGTL Here we analyze how the energy guided by the MGTL can be injected into to the line (at ), and afterwards, delivered to a load (at ). The line excitation can be provided by a current-carrying loop embracing the vertical magnetic piece placed at [see Fig. 7(a)]. The MMF source shown in Fig. 2 is to be identified with the current in the excitation loop. Therefore, the magnetic voltage at is given by (44) The electric voltage applied to the excitation loop determines the magnetic flux at (45)

(39)

The coupling of the MGTL to an external impedance load can be provided by another conducting loop embracing the vertical magnetic piece placed at [see Fig. 7(b)].

BRANDÃO FARIA AND PIRES: THEORY OF MGTLs

The magnetic flux through

2947

is converted into a load voltage

TABLE I WAVE PARAMETERS OF MGTL AND ELTL

(46) whereas the magnetic voltage rent through

is converted into a load cur(47)

The load impedance of the MGTL is (48) from where, according to (42), the load reflection factor can be evaluated. The situation —matched line —is achieved when

and from (52), it follows:

(54a)

(49)

(54b)

For a lossless MGTL, the matched line condition in (49) turns into . If the receiving end conducting loop is open , then . As a result, we have and (a magnetic short-circuit termination). At this point, we can easily establish the transmission matrix of an MGTL section of axial length taking into account its external coupling devices

where and denote the attenuation constant and phase velocity. With regard to the characteristic impedance, we find from (53)

(50)

(55a) (55b) Comparison established between (54) and (55) allows the following conclusion:

By particularizing the results in (37) and (38), for and , and making use of (44)–(47), we obtain, similarly to (5),

(56)

(51)

VI. WAVE PARAMETERS APPROXIMATE CALCULATION For a better understanding of the results in Sections IV and V, it would help to find approximate results for the wave parameters of the MGTL, i.e., the propagation constant in (35), and the magnetic characteristic wave impedance in (39) for the case of small losses. This step will shed some light on the role played by the perturbations arising from the different loss mechanisms as far as the evaluation of the wave parameters is concerned. Assuming that , the propagation constant in (35) and the characteristic impedance in (39) can be simplified. By making use of (32), one gets

(52) (53)

Table I compares the above results with those resulting from a similar analysis of the ELTL. For the sake of brevity, the following compact notation is used: (57) and

. VII. DISCUSSION

The theory presented through Sections II–VI is clear and sound. However, the fabrication, technology, and applications of MGTLs are uncharted territory. The impact of MGTLs in electrical engineering is, at this point, speculative matter. Only the future will tell about MGTL developments. A look at Table I suggests that MGTLs may possibly have an advantage over ELTLs at high-frequency regimes because of the way through which the skin-effect terms intervene in the calculation of the propagation parameters. While in the ELTL case, the skin-effect perturbations appear divided by , in the MGTL case, they appear divided by . For high-frequency ELTLs,

2948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

the skin-effect term increases with . From Table I, we see that MGTLs may offer comparative advantages if the skin-effect term varies proportionally to with . Consequently, we verify that the key issue with MGTLs is the frequency behavior of the material medium of which the magnetic wires will be made of. For high-frequency magnetic-systems applications, ferrites are the most usual material. Ferrites have been used with great success in microwave devices [13], as for example, circulators, isolators, phase shifters, and filters. In those applications, ferrites are traversed by electromagnetic waves, but in the case of MGTLs, they will be used as magnetic wires to carry a magnetic flux; the electromagnetic field, guided by the magnetic wires, travels through a dielectric medium. Therefore, the circumstances are not the same. Soft ferrites are very poorly conductive materials, and as such, they combine the properties of a magnetic medium with that of an electric insulator [12]. Soft ferrites are ferrimagnetic oxides of iron combined with divalent transition metals like nickel, cobalt, manganese, zinc, or magnesium. The addition of such metals in various proportions and combinations, together with the fabrication process’s temperature, allows the creation of many different final materials whose frequency-dependent properties may vary widely. For an overview on the state-of-the-art on microwave magnetic media, with emphasis on ferrite materials, the reader may refer to [7]–[16]. Ferromagnetic and ferrimagnetic materials have a permeability that can be very high at dc, but decrease quickly with increasing frequency according to Snoek’s law [17], [18] until the relative permeability is ordinarily in the 1–10 range at 1 GHz. Nonetheless, according to [15], thin films of ferromagnetic material can produce far higher microwave permeability than predicted by Snoek’s law (valid for bulk materials). Also, in a data sheet, concerning an NiZn soft ferrite specimen UR1.5K manufactured by UNIMAGNET, an initial relative permeability of 1.5 10 is reported for operation up to 0.1 GHz. In short, it seems that future advances in magnetic materials do not allow to definitely rule out the possibility of high permeability at microwave frequencies.

the longitudinal variation of the magnetic flux were established and solved. The propagation constant and characteristic wave impedance of the MGTL were obtained and further simplified for the case of small losses. This paper is purely theoretical. Applications of MGTLs must be analyzed in a future step, where the key problem will be the correct specification of the frequency-dependent complex permeability and complex permittivity of the ferrite medium to be used for the materialization of the magnetic wires, whether they take the shape of cylinders, strips, or any other shape. APPENDIX A Skin-effect theory for solid cylindrical electric wires carrying a time–harmonic axial current leads to the following well-known expression for the wire’s pul impedance [5]: (A1) and are the Bessel functions of the first kind of orwhere ders 0 and 1, respectively, is the pul wire resistance at , and is a frequency-dependent dimensionless complex given by (A2) where denotes the wire radius. Ordinarily, for frequencies up into the optical range, the term is discarded since it is negligibly small compared to . The procedure (integration of Maxwell’s equations) that is used to derive the result in (A1) can be followed to obtain the pul complex reluctance of a cylindrical magnetic wire carrying a time–harmonic axial magnetic flux (A3) where (A4) and (A5)

VIII. CONCLUSION A novel theoretical development aimed at the analysis of MGTLs was established. An MGTL is a transmission line, carrying a magnetic flux, made of two parallel magnetic wires of high permeability immersed in an insulating dielectric medium—it is the dual counterpart of familiar ELTLs. In the transverse plane of an MGTL, the -field lines are open lines starting and ending at the magnetic wires, conversely, the -field lines are closed lines embracing the magnetic wires. The field structure observed in an ELTL and in an MGTL ensures that if those two lines run parallel to each other, they will not couple; crosstalk will not exist. In this paper, the frequency-domain propagation equations governing the longitudinal variation of the magnetic voltage and

The result in (A5) can be generalized to accommodate a complex frequency-dependent permeability , and a complex frequency-dependent permittivity , which, formally, can aggregate the wire’s conductivity (A6) Substituting (A6) into (A5), we will get (A7) Substituting (A7) into (A3), the generalized form of the pul complex reluctance of the wire is obtained. By using the complex Poynting theorem [5], it can be shown that while the real part of is associated to magnetic and electric energy

BRANDÃO FARIA AND PIRES: THEORY OF MGTLs

2949

storage inside the magnetic wire, the imaginary part of is associated to wire losses due to conduction, polarization, and magnetization mechanisms

(A8)

Note, from above, that while is always a positive quantity, can be positive, negative, or zero, depending on the frequency. At last, we emphasize that the concept of magnetic reluctance belongs with linear systems, and therefore, the magnetic flux in the wire must be sufficiently weak in order to avoid magnetic saturation. APPENDIX B Each MGTL wire can be viewed as an aggregate of filamentary wires. Due to linearity, the electromagnetic field of the MGTL is the result of the superposition of the effects produced by all the filaments. Consider one such filament carrying an elemental magnetic flux flowing opposite to the -direction. In the transverse plane, the electric induction field is azimuthal, , and the gradient magnetic field is radial , both field strengths decreasing with . From (10) and (11), it follows: (B1) and, from (15), making

, we find (B2)

and are points belonging to the where same transverse plane. From (17) and (18), making , and enforcing constant, it follows:

(B3)

at last, from (21a), making

, we find (B4)

showing, for homogeneous media, that in (22).

, as asserted

REFERENCES [1] J. B. Faria, “Poynting vector flow analysis for contactless energy transfer in magnetic systems,” IEEE Trans. Power Electron., vol. 27, no. 10, pp. 4292–4300, Oct. 2012. [2] Q. A. Kerns, “Transient-supressing magnetic transmission line,” US Patent 3 376 523, Apr. 2, 1968. [3] J. B. Faria, ““Dispositivo Formado por uma Linha Magnética de Transmissão Para uso em Circuitos Integrados Para Aplicações na Tecnologia Terahertz” (magnetic transmission line device for terahertz integrated circuits),” Portugal Patent PT 106056, Dec. 12, 2011. [4] J. B. Faria, “The role of Poynting’s vector in polyphase power calculations,” Eur. Trans. Electric. Power, vol. 19, pp. 683–688, 2009. [5] J. B. Faria, Electromagnetic Foundations of Electrical Engineering. Chichester, U.K.: Wiley, 2008. [6] P. Magnusson, G. Alexander, V. Tripathi, and A. Weisshaar, Transmission Lines and Wave Propagation, 4th ed. Boca Raton, FL: CRC, 2001. [7] E. F. Schloemann, “Behavior of ferrites in the microwave frequency range,” J. Phys., vol. 32, pp. 441–443, 1971. [8] G. F. Dionne, “A review of ferrites for microwave applications,” Proc. IEEE, vol. 63, no. 5, pp. 777–789, May 1975. [9] V. Voronkov, “Microwave ferrites: The present and the future,” J. Phys. IV, vol. 7, pp. 35–38, 1997, Colloq. C1. [10] G. F. Dionne, “Properties of ferrites at low temperatures,” J. Appl. Phys., vol. 81, pp. 5064–5069, 1997. [11] E. F. Schloemann, “Advances in ferrite microwave materials and devices,” J. Magn. Magn. Mater., vol. 209, pp. 15–20, 2000. [12] M. P. Horvath, “Microwave applications of soft ferrites,” J. Magn. Magn. Mater., vol. 215/216, pp. 171–183, 2000. [13] O. Acher and A. L. Adenot, “Bounds on the dynamic properties of magnetic materials,” Phys. Rev. B, Condens. Matter, vol. 62, pp. 11 324–11 327, 2000. [14] J. D. Adam, L. E. Davis, G. F. Dionne, E. F. Schloemann, and S. N. Stitzer, “Ferrite devices and materials,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 721–737, Mar. 2002. [15] K. N. Rozanov, I. T. Iakubov, A. N. Lagarkov, S. A. Maklakov, A. V. Osipov, D. A. Petrov, I. A. Ryzhikov, M. V. Sedova, and S. N. Starostenko, “Laminates of thin ferromagnetic films for microwave applications,” in Proc. MSMW Symp., Kharkov, Ukraine, Jun. 2007, pp. 168–173. [16] A. N. Lagarkov and K. N. Rozanov, “High-frequency behavior of magnetic composites,” J. Magn. Magn. Mater., vol. 321, pp. 2082–2092, 2009. [17] Y. Liu, D. Shindo, and D. J. Sellmyer, Handbook of Advanced Magnetic Materials. New York: Springer, 2005. [18] G. F. Dionne, Magnetic Oxides. New York: Springer, 2009. J. A. Brandão Faria (M’87–SM’90–F’11) received the Ph.D. degree in electrical engineering from the Instituto Superior Técnico, Technical University of Lisbon, Lisbon, Portugal, in 1986. Since 1994, he has been a Full Professor of electrical engineering with the Instituto Superior Técnico, Technical University of Lisbon. He has authored or coauthored over 100 papers appearing in major peer-reviewed periodicals. He authored Electromagnetic Foundations of Electrical Engineering (Wiley, 2008), Optica (Editorial Presença, 1995), and Multiconductor TransmissionLine Structures (Wiley, 1993). His current research areas of interest include electromagnetic-field problems, applied electromagnetics, power lines, and wave propagation phenomena in multiconductor transmission lines. Miguel P. Pires received the Diploma degree in electrical engineering from the Instituto Superior Técnico, Technical University of Lisbon, Lisbon, Portugal, in 2000, and is currently working toward the Master’s degree in electrical engineering at the Instituto Superior Técnico, Technical University of Lisbon. His thesis concerns MGTLs.

2950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A Mode-Matching Approach to Electromagnetic Wave Propagation in Nematic Liquid Crystals Anastasis C. Polycarpou, Senior Member, IEEE, Marios A. Christou, and Nectarios C. Papanicolaou

Abstract—In this paper, we present a computationally efficient and highly accurate numerical method for the analysis of electromagnetic wave propagation in nematic liquid crystal (N-LC) cells. An iterative procedure is employed where the mode-matching technique (MMT) is used to solve the time-harmonic Maxwell equations inside the N-LC cell, whereas a finite-difference method (FDM) with relaxation is utilized to treat the nonlinear stationary Ginzburg–Landau equation for the director field. The angular distortion of the directors in the N-LC cell depends on the applied electric field which, in turn, affects the anisotropic dielectric properties of the medium. Numerical results are obtained for various values of the governing parameters. These simulations provide further insight into the Fréedericksz transition with special emphasis on resonances, bi-stability, hysteresis, phase shift between ordinary and extraordinary waves (birefringence), and soft anchoring effects. Obtained results are compared and validated against measurements and data published in the literature. Index Terms—Fréedericksz transition, mode-matching technique (MMT), nematic liquid crystals (N-LC), nonlinear anisotropy.

I. INTRODUCTION

L

IQUID crystals have fascinated scientists ever since they were first discovered in the late 19th century by Reinitzer and Lehmann [1]. Their experiments with cholesteryl and related compounds revealed a variety of interesting phenomena, such as two melting points and impressive color patterns at the points of transition [2]. This was the beginning of a sequence of numerous discoveries which have eventually led to liquid crystals being regarded as a separate (fourth) state of matter as well as the advanced technological applications of the modern era. A very interesting account on the history and evolution of liquid crystal science, as well as reprinted original papers of the pioneers of the field, can be found in the book of Sluckin et al. [2]. Today, liquid crystals are a topic of great interest because of their application in electronic devices (e.g., flat panel displays [3] or spatial light modulators in fiber optics) but also because of their use in nonlinear optics experiments [4], [5]. Their intriguing optical properties are due to their molecular structure. The molecules of most liquid crystals may be perceived to have a flattened ellipsoid or rod-like shape with a dominant major Manuscript received May 10, 2012; accepted June 18, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. A. C. Polycarpou is with the Department of Electrical and Computer Engineering, University of Nicosia, 1700 Nicosia, Cyprus (e-mail: polycarpou. [email protected]). M. A. Christou and N. C. Papanicolaou are with the Department of Mathematics, University of Nicosia, 1700 Nicosia, Cyprus (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2209454

Fig. 1. Model of an N-LC cell. The director field is distorted under the influence of an externally applied field.

axis [1]. This allows for LC molecules to orient in a variety of ways depending on the temperature and or the presence of electric fields. Consequently, the anisotropic properties of the liquid crystals are highly tunable making these materials ideal for microwave and millimeter-wave applications [6]–[8]. The work in this paper is focused on nematic liquid crystals (N-LCs). In this mesophase, the molecules lie along each other revealing a long-range alignment direction represented by a unit vector , called the director [1], [4]. The homeotropic (normal to the cell) alignment of the directors, which corresponds to a stable state, is distorted if an applied electric field exceeds a certain threshold (see Fig. 1). As the intensity of the field gradually , the directors reorient increases from this threshold value forming an angle with respect to the normal to the cell. This phenomenon is widely known as the Fréedericksz transition in honor of the scientist who first made this observation [9]. Liquid crystals can be treated as inhomogeneous anisotropic stratified media and modeled using a 4 4 matrix formulation where the tangential electric and magnetic fields at a lower interface are related to those at the upper interface. This formulation was first introduced by Teitler and Henvis [10] and then used by Berreman [11], [12] to solve electromagnetic propagation problems in cholesteric liquid crystals. Solution of similar type of problems using a modified 4 4 matrix formulation through the use of the Cayley–Hamilton theorem was introduced by Wöhler et al. [13]. This approach appears to be a bit faster, however, the accuracy of the method is determined by the thickness of the subdivided layers. In this paper, the liquid crystal cell is subdivided into layers, each of which is assumed homogeneous and anisotropic. The governing solutions for the electric and magnetic fields in each layer are analytically obtained from the time-harmonic

0018-9480/$31.00 © 2012 IEEE

POLYCARPOU et al.: MODE-MATCHING APPROACH TO ELECTROMAGNETIC WAVE PROPAGATION IN NEMATIC LIQUID CRYSTALS

Maxwell equations. The liquid crystal cell is excited by a normally incident plane wave of certain intensity. The formulation assumes a reflection and a transmission coefficient at the first and last interface of the cell. The exterior region is considered to be vacuum or glass. Matching the tangential electric and magnetic fields at each of the interfaces results in a matrix system of equations with unknowns being the coefficients of the governing solutions inside a liquid crystal layer. This linear system of equations is solved iteratively in conjunction with the nonlinear equation for the director field. The method employed in this paper provides more accurate results than common discretization methods, such as the finite-difference time-domain (FDTD) [14] and the finite-element method (FEM) [15]–[18]. This is due to the fact that the fields within each layer are obtained analytically, whereas the only approximation introduced in the formulation is the implementation of central difference for the discretization of the nonlinear ordinary differential equation (ODE) representing the director field. Methods such as the FDTD and the FEM often introduce errors due to the discretization of the domain and the truncation of the unbounded region using absorbing boundary conditions (ABCs) or the perfectly matched layer (PML) [19]. Time-domain methods, e.g., FDTD, introduce additional errors due to the termination of the time iteration before steady-state condition is reached, and also due to the implementation of discrete Fourier transforms (DFTs) [20], [21]. Both FEM and FDTD methods are also prone to dispersion error, which depends on the order of the method and the level of discretization.

2951

Fig. 2. Problem geometry.

3 after the cell . Regions 1 and 3 are denoted as the exterior regions. The propagation of the wave is governed by the time-harmonic Maxwell equations in a source-free region (1a) (1b) where is the LC relative permittivity tensor [20] (2a) and

II. PROBLEM FORMULATION Consider a liquid crystal cell of thickness and homeotropic . A linearly polarized initial director distribution defined by plane wave propagating in the positive direction is normally incident to the cell. The light intensity of the incident plane wave , equivalent to the time-averaged power density (Poynting , vector), is given by is the intrinsic impedance of the exterior region, where which is set to be either vacuum or glass. The polarization is parallel to the plane of incidence, taken to be the -plane. We assume that the director and electromagnetic field vary only in the -direction. When the wave enters the cell, the directors reorient forming with respect to the normal to the cell. This hapan angle pens only if the incident intensity is above a certain threshold , which is widely known as the Fréedericksz tranintensity, sition threshold. Consequently, the directors’ orientation influences wave propagation inside the LC since the material tensor . Our formulation fully accounts is strongly dependent on for this interaction between the incident electromagnetic wave and the director field.

(2b) The refractive indices and correspond to the ordinary and extraordinary waves, respectively. In component form and under our previous assumptions, i.e., that the electromagnetic field only varies in the -direction, the Maxwell equations in (1) can be expressed as (3a) (3b) (3c) (3d) (3e) (3f) Differentiating (3b) and substituting into (3d) yields

A. Derivation of Governing Equations for the Electromagnetic Field As can be seen in Fig. 2, the electromagnetic field exists in three well-defined separate regions: Region 1 before the LC cell , Region 2 inside the cell , and Region

(4a) Similarly, from (3a) and (3e), we get (4b)

2952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Also, (3f) simplifies to

Substituting (7) and (10) into (9) yields (4c)

where (4a), we get

. Solving (4c) for

and substituting into

(11)

Differentiating, one obtains where . Therefore, we have arrived at the following system of ODEs: (5a)

(12a)

(5b) (12b) where and . The ODE in (5a) corresponds to the extraordinary wave, and the ODE in (5b) corresponds to the ordinary wave.

where (8) yields

. Then, substituting (12a) and (12b) into

B. Derivation of a Generalized Equation for The total free energy per unit volume in the LC is given in [22]–[24] as

(6)

(13) , and . This is where identical to the equation that appears in [22]. Utilizing the defi, below which nition of the Fréedericksz threshold intensity no molecular reorientation can be induced: (14)

where then (13) can be expressed as and (7)

(15) is the speed of light in vacuum, is the local -directed light in, and are the splay, twist, and bend tensity, and elastic constants. Functional attains its minimal value when the Euler-Lagrange equation (8) holds, where

and

(9) First, we compute the individual terms that appear in (9): (10a) (10b) (10c)

The problem at hand is governed by the coupled set of equations (5) and (15), which we solve in the following subsection. C. Method of Solution In here, we present the method that was implemented to solve the coupled set of equations representative of the physical problem. In particular, solution of the Maxwell equations will provide the electromagnetic field distribution inside the LC, . The director angle which determines the director field at any given point inside the cell alters the permittivity of the LC, thus affecting the local field intensity. Consequently, the numerical problem must be solved iteratively. The MMT is employed to solve the Maxwell equations inside the cell. The nonlinear ODE for the director field is solved using an explicit finite difference scheme for the local field intensity obtained by the MMT. This iterative process is repeated until convergence is reached. The number of iterations is strictly dependent on the imposed tolerance.

POLYCARPOU et al.: MODE-MATCHING APPROACH TO ELECTROMAGNETIC WAVE PROPAGATION IN NEMATIC LIQUID CRYSTALS

1) Mode-Matching Technique: The general solutions of ODEs (5a) and (5b) are given by

2953

Enforcing the continuity of the tangential fields at the interfaces results in the following equations:

(16) In order to facilitate the implementation of the MMT in the cell, the latter is partitioned into equal layers each with thickness , where is the total length of the cell. The geometry of the problem is depicted in Fig. 2. As shown, the domain of interest is divided into three distinct regions: Region 1 from which the plane wave light beam enters the LC, Region 2 which corresponds to the LC cell, and Region 3 which is the region from where the light beam escapes the cell. In Region 1, the total electric and magnetic fields for parallel polarization and normal incidence can be written as

.. .

(17a) (17b) respectively, where is the reflection coefficient at the interface , between Regions 1 and 2, is the refractive index of the exterior region. and The corresponding total electric and magnetic fields in Region 2 are given by

(21) and

Eliminating yields

and rewriting (21) in matrix-vector form (22a)

where

(18a)

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

(22b)

(18b) Here, we need to mention that if we enforce the continuity of , between Regions 1 and 2, and the tangential fields at consider

(22c) The entries of the coefficient matrix

are given by

we obtain that . We may now partition Region 2 into layers and since , the governing fields in each layer can be expressed as

(19a) (19b) and . where In Region 3, the corresponding electric and magnetic fields are

.. .

(20a) (20b) where and is the transmission coefficient at the interface between Regions 2 and 3.

(22d)

2954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Once we solve for the unknown coefficients and , the reflection and transmission coefficients are given by

The convergence rate of the algorithm can be improved by introducing a five-point finite difference scheme with relaxation shown as

(23a) (23b) 2) Finite-Difference Scheme: The orientation of the directors versus the coordinate is posed as a two-point boundary value problem, governed by (15), and a set of boundary conditions at the walls of the cell. For strong anchoring, the two boundary conditions are of Dirichlet type (24)

(30) This scheme was derived by using a Taylor series expansion of the unknown at the five-point stencil. Specifically, these are given by (31a)

For soft anchoring, the boundary conditions are of Robin type expressed as first-order differential equations

(31b) (31c)

at

(25) (31d)

is known as the interfacial where potential. , the governing differential equation in By letting (15) is discretized as follows:

(31e) Summation of all of the above terms results in (32)

(26) In order to improve the stability of the algorithm, a relaxation factor was introduced as

(27) Imposing the Robin type boundary conditions for soft anchoring, (25) is discretized as follows:

at

(28)

at

(29)

Introducing an iteration step and a relaxation factor, (32) can be written as shown in (30). The relaxation factor was optimized numerically in order to provide a faster convergent scheme. However, note that the five-point scheme reverts to a three-point scheme near the boundaries. III. RESULTS The aforementioned method was validated against numerical results and measurements published in the literature. Specifically, we first examined a LC compound known as methoxybenzylidene butylaniline (MBBA) in order to investigate the interaction of the director field with the externally applied electromagnetic field. The organic compound was sandwiched between two semi-infinite regions of glass. In other words, Regions 1 and 3 are considered glass with refractive index . The thickness of the cell was 5 m, whereas the MBBA 6.95 is characterized by 10 N, 8.99 10 N. A normally incident plane wave is excited using a He:Ne laser at 632.8 nm. For a light , the directors intensity less than the Fréedericksz threshold . As the remain aligned with the normal to the cell; i.e., light intensity increases above this threshold, the directors orient themselves in such a way as to form an angle with respect to the normal to the cell. This angle depends on the incident light intensity as well as the position inside the cell. The angle as a function of position is depicted in Fig. 3 for different values of the scaled incident light intensity. It can be seen that the directors’ tilt angle attains a maximum value in the middle of the cell,

POLYCARPOU et al.: MODE-MATCHING APPROACH TO ELECTROMAGNETIC WAVE PROPAGATION IN NEMATIC LIQUID CRYSTALS

Fig. 3. Directors’ tilt angle as a function of space (0 different biased intensities.

 z  L=2) for four

Fig. 4. Maximum directors’ tilt angle versus scaled incident intensity for MBBA ( = 632.8 nm, n = 1.544, n =1.758, k = 6.95 10 N, k = 8.99 10 N) and for PAA ( = 480 nm, n = 1.595, n = 1.995, k = 9.26 N, k = 18.1 N). For each of the 10 10 two cases, the refractive index of the exterior region is the same as the ordinary refractive index of the liquid crystal. Our simulation data are compared with results published by Ong [22].

2

2

2

2

i.e., . As the incident scaled intensity becomes increasingly larger and larger, the directors fully align themselves with , as a the horizontal axis. A plot of the maximum tilt angle, , is depicted in function of the scaled incident intensity, Fig. 4. A comparison with the numerical data obtained by Ong [22] is provided in this graph. As illustrated, the agreement between the two sets of data is very good. Note also that strong for anchoring was applied at the wall interfaces; i.e., . In such a case, the thickness of the cell has absolutely on the scaled incident intenno effect on the dependence of sity [22]. The MBBA case was used to compare the convergence time of the two proposed finite-difference schemes with and without relaxation. In the absence of relaxation, none of the two schemes converges. With relaxation, the five-point scheme converges 40% faster than the corresponding three-point scheme. Specifically, we compared the execution times required to produce the aforementioned graph for 101 points. The three-point scheme with relaxation took 554 s to provide

2955

results, whereas the 5-point scheme took only 321 s. On an average, the three-point scheme required 5.48 s/point, whereas the five-point scheme required 3.18 s/point. The second case examined was an LC compound known as PAA (p-azoxyanisole), which is characterized by 1.995, 9.26 10 N, 18.1 10 N. The LC cell is excited by a normally incident light wave at 480 nm. The exterior region has a refractive index 1.595, which is identical to that of the ordinary refractive index of PAA. The maximum deformation angle of the director field versus scaled incident intensity is illustrated in Fig. 4. Unlike the MBBA case, there exists an abrupt transition in the results near the Fréedricksz threshold. An explanation for such behavior was given by Ong [22]: an abrupt transition occurs when is negative; for positive, as is the case of MBBA, there exists a smooth transition. Another important feature in the illustrated results is the hysteresis and bi-stability observed. As indicated in the figure, the threshold is different for increasing or decreasing intensity values. Specifically, for increasing intensity values, the threshold occurs at the Fréedericksz threshold, whereas for decreasing values, the threshold occurs at a lower value. As shown in Fig. 4, our proposed method accurately predicts Ong’s results including the transition thresholds, hysteresis, and maximum deformation value as a function of scaled incident intensity. In the aforementioned examples, the LC cell was sandwiched between two semi-infinite regions of glass with refractive index equal to the ordinary refractive index of the organic compound. In such a scenario, it was stated that for strong anchoring, the curve of maximum deformation angle versus the scaled incident intensity is independent of the cell thickness, . On the other hand, in cases where the exterior region is vacuum, with refractive index equal to unity, there exist reflections at the wall interfaces, thereby creating Fabry–Perot resonances inside the cell. These resonances create jumps in the internal light intensity as a function of the incident light intensity. These jumps, in effect, create analogous jumps on the maximum deformation angle versus scaled incident intensity. These abrupt transitions of the maximum deformation angle are also associated with hysteresis and bi-stability, which is an observation first reported by [21]. This is clearly illustrated in Fig. 5(a) which depicts the case . Our proposed of MBBA with cell thickness equal to numerical method can clearly distinguish between the two transition paths, whose trajectory depends on whether the scaled incident intensity is increasing or decreasing. In the region defined by the two threshold values, there exists bi-stability in the can take one of two possible values results; in other words, depending on whether the scaled incident intensity is increasing or decreasing. The corresponding reflection and transmission coefficients versus scaled incident intensity are illustrated in Fig. 5(b). A similar type of behavior is observed where the reflection and transmission coefficients attain one of two possible values depending on whether the scaled incident intensity is increasing or decreasing. Parametric studies have shown that the threshold values for the first-order transitions in Fig. 5(a) are strongly dependent . Regardon the ratio of the splay and bend constants, less of their individual values, the hysteresis occurs at the same

2956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

(1 2 ) = 59 69 =

Fig. 6. Phase shift =  versus the normalized applied magnetic field for a 5CB liquid crystal (L : m,  632.8 nm, n 1.532, n 1.706, N, k N). The exterior region is glass. k 6.49 10 7.3 10 Comparison with experimental data published by Moses and Jensen [25].

=

2

2

=

=

=

phase shift, , between the extraordinary and the ordinary waves given by (33)

Fig. 5. Illustration of hysteresis and bi-stability due to the presence of 632.8 nm, n Fabry–Perot resonances. The liquid crystal is MBBA ( 1.544, n 1.758, k 6.95 10 N, k : N) . The exterior region is air. (a) Maximum tilt with cell thickness L angle as a function of incident intensity (normal incidence). (b) Reflection and transmission coefficients as a function of incident intensity.

=

= = 10

2

= = 8 99 2 10

=

TABLE I EFFECT OF ELASTIC CONSTANTS ON THE HYSTERESIS

exact position, provided that the ratio remains unchanged. As the ratio increases, thus decreases, the two thresholds move to higher intensity values maintaining approximately the same hysteresis width. Table I tabulates the two hysteresis thresholds as a function of indicating a monotonic shift to higher intensity values as is decreased. Experimental validation of the numerical method presented in the previous section is feasible through measurements of the

where is defined in (7). In using (33), the LC molecules must be excited using either a pump laser beam with light intensity above the Fréedericksz threshold or a biased electric/magnetic field. Such an experiment was performed by Moses and Jensen [25] in their laboratory where a polarized probe beam from a He:Ne laser passes through a polariser, the 5CB ( -n-pentyl-4-cyanobiphenyl) LC sample, which was biased with an externally applied magnetic field generated by an electromagnet, and an analyzer at an orthogonal angle with the polarizer. The beam at the exit site is focused by a lens onto a detector (photodiode). The detailed description of the experimental setup can be found in the authors’ paper. As the biased magnetic field, , becomes equal to the threshold value , the photodiode detects a sudden increase in intensity. The same phenomenon occurs every time the phase shift becomes equal to multiples of , thus making possible the measurement of the phase shift between orthogonal polarizations, i.e., the ordinary and the extraordinary waves. The specifications of the LC sample are the following: 6.49 10 N, 7.3 10 N. The measurement data obtained by Moses and Jensen are compared with our numerical predictions in Fig. 6. As indicated, there is a fairly good agreement between our simulations and measurement m data. The thickness of the liquid crystal cell was m due to the finite resolution of with an uncertainty of the measuring equipment. For our simulations, we have used cell thickness equal to 59.69 m. A similar type of experiment was performed by Yang and Rosenblatt [26] using a homeotropically aligned MBBA cell as a function of an applied magnetic field above the threshold value. For an applied magnetic field lower than the threshold value, the orientation of the directors at the LC walls is perfectly normal to the cell. As the applied magnetic field increases above the

POLYCARPOU et al.: MODE-MATCHING APPROACH TO ELECTROMAGNETIC WAVE PROPAGATION IN NEMATIC LIQUID CRYSTALS

2957

responding numerical results of Yang and Rosenblatt obtained after curve-fitting the experimental measurements. Soft anchoring, as opposed to strong anchoring, significantly affects the transition threshold of the incident light intensity or the applied electric or magnetic field. For strong anchoring, the , provided that threshold occurs at the Fréedericksz value, no Fabry–Perot resonances are present. For soft anchoring, the threshold shifts to lower values than . As illustrated in Fig. 8, the threshold strongly depends on the coefficients defining the interfacial potential at the LC walls. By decreasing the magni, the threshold value approaches . The same tude of type of behavior occurs when is increased while is maintained constant. Fig. 7. Maximum director angle ( ) and interfacial director angle ( ) versus the normalized applied magnetic field. MBBA liquid crystal cell at a temperature T = 39:5 having the following specifications: L = 2:9 m,  = 632.8 nm, n = 1:5507; n = 1.7352, k = 5.3 10 N, k = 5.7 10 N. The exterior region is glass. Soft anchoring is applied at the liquid crystal-to-wall interface with an interfacial potential F ( ) = 47:0 cos  18:0 cos  N/m.

2

2

0

Fig. 8. Variation of the threshold intensity, normalized by the Fréedericksz threshold, as a function of C . Three different cases of C =C are shown.

threshold value, the directors’ orientation at the LC walls deviates from normal. This phenomenon is widely referred to as soft anchoring as opposed to strong anchoring where the tilt angle, , at the two interfaces is forced to be always zero. Yang and Rosenblatt performed an experiment for the MBBA sample by measuring the phase shift between the ordinary and extraordinary waves as a function of the applied magnetic fields, as was done in Fig. 6. Then, they used an interfacial potential at the wall interfaces, along with a generalized torque balance equation, to obtain a numerical answer for the phase shift between ordinary and extraordinary waves. By curve-fitting the experimental measurements to match their numerical results for the phase shift, they were able to obtain the two expansion coefficients for the imposed interfacial potential, . Then, they used their numerical approach, based on the Oseen–Frank elastic continuum theory, , and the surto calculate the maximum director tilt angle, face director tilt angle, , at the LC walls. Our numerical results, for soft anchoring, are compared in Fig. 7 with the cor-

IV. CONCLUSION The time-harmonic Maxwell equations were used to analytically obtain the governing field expressions inside a homogeneous anisotropic LC layer. The LC cell was discretized into homogeneous layers whose material properties are dependent on the tilt angle of the directors. A mode-matching approach coupled to a finite-difference scheme was employed in the context of a robust iterative algorithm to solve for both the director field and the electromagnetic field. Numerical results were obtained for different values of the governing parameters and were found to be in good agreement with measurements and results published in the literature. From numerical simulations, it was observed that Fabry–Perot resonances appear when there is material discontinuity between the exterior and interior regions and the electrical thickness of the cell is sufficiently large. These resonances cause discontinuities in the otherwise smooth director field dependence on the scaled incident intensity. They are also accompanied by hysteresis and bi-stability; both features are clearly shown in our results. Hysteresis was also observed near the Fréedericksz threshold for the case where Ong’s condition, , was satisfied. Phase shift calculations between ori.e., dinary and extraordinary waves were compared with measurements. In addition, we considered cases of soft anchoring for realistic N-LC cells illustrating very good comparisons with published data in the literature. Through multiple validations, it was demonstrated that the proposed method represents a computationally efficient and robust numerical tool for the accurate solution of nonlinear electromagnetic-wave problems in liquid crystals. REFERENCES [1] S. Chandrasekhar, Liquid Crystals, 2nd ed. New York: Cambridge Univ. Press, 1992. [2] T. J. Sluckin, D. A. Dunmur, and H. Stegemeyer, Crystals That Flow: Classic Papers From the History of Liquid Crystals. London, U.K.: Taylor and Francis, 2004. [3] H. Kawamoto, “The history of liquid-crystal displays,” Proc. IEEE, vol. 90, no. 4, pp. 460–500, Apr. 2002. [4] M. Komarˇcevic´, “Design and optimization of nematic liquid crystal on silicon diffractive structures for beam steering applications,” Ph.D. dissertation, Dept. Eng., Queens College, Univ. of Cambridge, Cambridge, U.K., Sep. 2005. [5] G. D’Alessandro and A. A. Wheeler, “Bistability of liquid crystal microcavities,” Phys. Rev. A, vol. 67, no. 2, p. 023816, 2003. [6] S. Bulja, D. Mirshekar-Syahkal, S. Day, and F. A. Fernández, “Measurement of dielectric properties of nematic liquid crystals at millimeter wavelength,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3493–3501, Dec. 2010.

2958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[7] T. Kuki, H. Fujikake, and T. Nomoto, “Microwave variable delay line using dual frequency switch-mode liquid crystal,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2604–2609, Nov. 2002. [8] M. Kobayashi, N. Terui, M. Kawachi, and J. Node, “2 2 optical waveguide matrix switch using nematic liquid crystal,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 10, pp. 1591–1598, Oct. 1982. [9] V. Fréedericksz and A. Repiewa, “Theoretisches und experimentelles zur frage nach der natur der anisotropen flssigkeiten,” Zeitschrift für Physik Soc., vol. 42, no. 7, pp. 532–546, 1927. [10] S. Teitler and B. W. Henvis, “Refraction in stratified anisotropic media,” J. Opt. Soc. Amer., vol. 60, no. 6, pp. 830–834, 1970. [11] D. W. Berreman, “Optics in stratified and anisotropic media: 4 4-matrix formulation,” J. Opt. Soc. Amer., vol. 62, no. 4, pp. 502–510, 1972. [12] D. W. Berreman, “Optics in smoothly varying anisotropic planar structures: Application to liquid-crystal twist cells,” J. Opt. Soc. Amer., vol. 63, no. 11, pp. 1374–1380, 1973. [13] H. Wöhler, G. Hass, M. Fritsch, and D. A. Mlynski, “Faster 4 4 matrix method for uniaxial inhomogeneous media,” J. Opt. Soc. Amer. A, vol. 5, no. 9, pp. 1554–1557, 1988. [14] A. Taflove, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 1995. [15] A. C. Polycarpou, Introduction to the Finite Element Method in Electromagnetics. : Morgan and Claypool, 2006. [16] J.-M. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley-IEEE, 2002. [17] J. Beeckman, R. James, F. A. Fernández, W. D. Cort, P. J. M. Vanbrabant, and K. Neyts, “Calculation of fully anisotropic liquid crystal waveguide modes,” J. Lightw. Technol., vol. 27, no. 17, pp. 3812–3819, Sep. 2009. [18] J. B. Davies, S. Day, F. D. Pasquale, and F. A. Fernández, “Finiteelement modeling in 2-D of nematic liquid crystal structures,” Electron. Lett., vol. 32, no. 6, pp. 582–583, Mar. 1996. [19] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comp. Phys., vol. 114, pp. 185–200, 1994. [20] V. Ilyina, S. J. Cox, and T. J. Sluckin, “FDTD method for light interaction with liquid crystals,” Mol. Cryst. Liq. Cryst., vol. 422, pp. 271–280, 2004. [21] V. Ilyina, S. J. Cox, and T. J. Sluckin, “A computational approach to the optical Fréedericksz transition,” Opt. Commun., vol. 260, pp. 474–480, 2006. [22] H. L. Ong, “Optically induced Freedericksz transition and bistability in a nematic liquid crystal,” Phys. Rev. A, vol. 28, no. 4, pp. 2393–2407, 1983. [23] I. W. Stewart, The Static and Dynamic Continuum Theory of Liquid Crystals. London, U.K.: Taylor and Francis, 2004. [24] P. de Gennes and J. Prost, The Physics of Liquid Crystals, 2nd ed. Oxford, U.K.: Clarendon, 1995. [25] T. Moses and B. Jensen, “The Fréedericksz transition in liquid crystals: An undergraduate experiment for the advanced laboratory,” Amer. J. Phys., vol. 66, no. 1, pp. 49–56, Jan. 1998. [26] K. H. Yang and C. Rosenblatt, “Determination of the anisotropic potential at the nematic liquid crystal-to-wall interface,” Appl. Phys. Lett., vol. 43, no. 1, pp. 62–64, Jul. 1983.

2

2

2

Anastasis C. Polycarpou (S’92–M’98–SM’09) received the B.S.E.E. in electrical engineering (summa cum laude), M.S., and Ph.D. degrees from Arizona State University, Tempe, in 1992, 1994, and 1998, respectively. He is now a Professor with the University of Nicosia, Nicosia, Cyprus. He has an extensive experience in funded research projects related to antenna analysis and design, microwave circuits and high-frequency electronic packaging, finite element/boundary integral methods in electromagnetics, mode-matching and analytical methods, modeling of liquid crystals, and radio frequency identification (RFID) systems. He is the author of a book and two chapters in books as well as a coauthor of more than 75 papers in refereed journals and conference proceedings. Prof. Polycarpou is a member of the IEEE Society on Antennas and Propagation and the IEEE Society on Microwave Theory and Techniques (IEEE MTT-S). He was a national delegate of COST IC0603 (ASSIST) and now a national delegate of COST IC1102 (VISTA). He is also a member of EurAAP.

Marios A. Christou received the B.Sc. degree in mathematics from the University of Cyprus, Nicosia, Cyprus, in 1998, and the M.Sc. and Ph.D. degrees in mathematics from the University of Louisiana at Lafayette in 2001 and 2003, respectively. His main research is the implementation of different numerical methods, spectral and/or finite differences, for the investigation of partial differential equations. Specifically, his research interests include numerical and analytical techniques in electromagnetic wave propagation and scattering, computational mathematics, numerical methods for ordinary and partial differential equations, spectral methods, solitons and solitary waves, and liquid crystal characterization.

Nectarios C. Papanicolaou was born in London, U.K., in 1976. He received the B.Sc. degree in mathematics from the University of Cyprus, Nicosia, Cyprus, in 1999, and the M.Sc. and Ph.D. degrees in mathematics from the University of Louisiana at Lafayette in 2001 and 2003, respectively. Currently, he is an Associate Professor of mathematics with the University of Nicosia, Nicosia, Cyprus. His research interests include numerical methods for differential equations, fluid mechanics, numerical simulation of electromagnetic wave propagation, and numerical modeling of photothermal radiometry in silicon wafers. Dr. Papanicolaou is a member of the Society of Industrial and Applied Mathematics and the American Mathematical Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

2959

A Time-Domain Adjoint Variable Method for Materials With Dispersive Constitutive Parameters Osman S. Ahmed, Student Member, IEEE, Mohamed H. Bakr, Senior Member, IEEE, Xun Li, Senior Member, IEEE, and Tsuyoshi Nomura

Abstract—We present the first time-domain adjoint variable method (AVM) algorithm for materials with dispersive constitutive parameters. We develop our algorithm based on transmission-line modeling techniques for electromagnetic problems. The developed theory is based on utilizing the -domain representation of the dispersive materials, which can model arbitrary dispersive behavior. We develop a formulation similar to the original AVM theory for nondispersive materials. The theory has been successfully applied to problems with dispersive materials modeled by the Drude, Debye, and Lorentz models. Index Terms—Adjoint variable method (AVM), computer-aided design (CAD), dispersive materials, memory efficient sensitivities, transmission-line modeling (TLM).

I. INTRODUCTION

C

OMPUTER-AIDED design (CAD) tools are often utilized for design optimization [1]–[4]. Different optimization techniques can be utilized to satisfy the design specifications. Gradient-based algorithms are a subset of these techniques. They require accurate calculation of the response sensitivities with respect to the optimization parameters [1]–[6]. The computation of these derivatives can be costly though using finite-difference approaches. The adjoint variable method (AVM) is proposed for efficient sensitivity analysis of high-frequency structures [7]–[11]. It provides an efficient approach for Jacobian estimations [10], [11]. Using at most one extra simulation, the sensitivities relative to all parameters regardless of their number are estimated. The AVM approach has been successfully developed for both time- and frequency-domain modeling techniques, including the finite-difference time-domain (FDTD) method, transmission-line modeling (TLM) method, method of moments Manuscript received January 12, 2012; revised May 26, 2012; accepted June 14, 2012. Date of publication July 31, 2012; date of current version September 27, 2012. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC) under Discovery Grant RGPIN 249780-2011 and by the Toyota Research Institute of North America under a research contract. O. S. Ahmed and M. H. Bakr are with the Computational Electromagnetics Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]; [email protected]). X. Li is with the Photonics Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]). T. Nomura is with the Electronics Research Department, Toyota Research Institute of North America, Ann Arbor, MI 48105 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2207736

(MOM), and the finite-element method (FEM) [11]–[16]. These approaches were further developed for lossy nondispersive dielectric media in 3-D simulations [11], [17]. A self adjoint variable method (SAVM) was also developed for sensitivity calculations of the frequency-dependent network parameters [18], [19]. Using this approach, the response and its sensitivities with respect to all designable parameters are calculated without any extra simulations [18], [19]. Recently, the AVM algorithm was implemented in commercial softwares such as HFSS [20] and CST [21]. One major limitation of the existing time-domain AVM approaches is that they do not take into consideration the frequency dependence of the constitutive parameters. However, materials with highly dispersive characteristics are used in wideband applications, e.g., [22]. These dispersive models are needed to accurately model the complete electromagnetic spectrum [23]–[26]. Recent developments in biological material interaction with electromagnetic waves also require accurate dispersive modeling of the biological materials [25]. In this paper, we present the first AVM technique for dispersive materials with a time-domain TLM. The -domain representation of the material properties with causal functions is exploited in deriving this approach. Using elegant mathematical manipulations, we prove that the new technique is similar to the well-established existing techniques for nondispersive materials. The new technique requires only one extra simulation to estimate the sensitivities of the response of interest over the whole frequency band for all parameters regardless of their number. Our approach is illustrated through a variety of examples with different dispersion models. Numerical results are shown for problems with materials modeled by the Drude, Debye, and Lorentz models. We estimate the sensitivities of energy functions and scattering parameters with respect to the parameters of dispersive discontinuities. This paper is organized as follows. The TLM technique for modeling of dispersive materials is discussed in Section II. In Section III, we present the theory of AVM for the generalized TLM formulation. Section IV applies the theory presented in Section III to different dispersive models. Numerical results are provided in Section V, where a number of examples illustrate both the accuracy of our theory and its compatibility with earlier approaches. Section VI is dedicated to the discussion of the extension of the algorithm to other time-domain numerical techniques. Our study is concluded in Section VII. II. TLM APPROACH FOR DISPERSIVE MATERIALS The theory of a time-domain TLM utilizing the symmetrical condensed node (SCN) is well established [26]–[30].

0018-9480/$31.00 © 2012 IEEE

2960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

and normalized magnetic resistivity, respectively. is the normalized frequency parameter , where and is the time step. The calculation of (1) requires the transformation of the parameters in into the corresponding -domain representation. The frequency operator in (3) is replaced by the time-shift operator utilizing a bilinear transformation [31]. The intermediate voltages and currents are given by [31]

(4) Fig. 1. SCN.

where

Considerable efforts have been dedicated for the adaptation of the technique to arbitrary material properties. Frequency-dependent, nonisotropic, and nonlinear materials have been successfully modeled using the TLM [29]–[33]. The integration of the computational domain to a compatible TLM perfectly matched layer (PML) for domain truncation has been reported [30]. Recently, the TLM has been successfully utilized for the modeling of nanoplasmonic structures [34]. Advanced numerical techniques and optimization approaches have been incorporated for TLM-based simulations [35]–[37]. The TLM formulation utilized here is based on the SCN [27]. The computational domain is modeled using a network of transmission lines (see Fig. 1). The time evolution of the port voltages follows: (1) where is the vector of all the port voltages in the computational domain of cells at a time step . The vector of incident voltages of the th node (a subset of ) is defined as . The vector is the source excitation at time-step . In (1), the system factorized matrices , and are block diagonal matrices whose diagonal blocks are the nodal matrices , , , and , respectively, for . The elements of , , and are from the set [31]. is the total TLM link connection matrix. For a general dispersive material, the elements of the nodal matrix are material and frequency dependent [31] and are given by

(2)

, the vector of excitation, is defined as . is directly mapped to the electric and magnetic fields inside the cell . In (4), the subscripts and are dropped from the defined intermediate variables and . III. AVM APPROACH FOR THE GENERALIZED TLM FORMULATION In this section, we formulate a generalized AVM that applies to both dispersive and nondispersive materials utilizing the TLM formulation given by (1)–(4). We show that when using only one adjoint simulation, the sensitivities of a given objective function with respect to all parameters are obtained regardless of their number. Without loss of generality, we consider the solution of (4) for only the polarization . Utilizing the -domain representation, (4) for the polarization can be written as (5) In (5), all the constitutive parameters are transformed to the corresponding domain and can be expanded using partial fractions to get [31] (6) (7) where , , , and are constant expansion coefficients and are the expansion functions. Direct substitution of (6) and (7) in (5) leads to (8) where the transmission coefficient (8), is a voltage back storage defined as

. In (9)

where, e.g., (3) The parameters , , , and are the electrical susceptibility, magnetic susceptibility, normalized electric conductivity,

where . For a material of nondispersive properties, , , , and . In this case, only one back storage, , is required per cell for the polarization. The same applies to the and polarizations. Equations (8) and (9) can be cast in the form (10)

AHMED et al.: TIME-DOMAIN AVM

2961

A general formulation for all polarizations is given by

(11) where is the vector of all the required back storage in the computational domain at the time-step for the th cell. In (11), the subscripts and are dropped for brevity. is a real valued frequency independent transmission matrix calculated as in (10), where is the number of required back storage per node in the computational domain. Equation (11) holds for the arbitrary number of back storages depending on the order of the dispersion model of the material. The system update scheme utilizing (1), (4), and (11) is thus given by (12) is a vector of all the voltage impulses at all ports where and the associated extra storage. It is constructed from the nodal vectors , . The matrix is a block diagonal matrix constructed from in (11). The matrices , , , and are block diagonal whose th diagonal blocks are defined as

where . The summation (16) is carried out over all cells affected by the th parameter over all time steps. The vector is the nodal adjoint variable calculated using the backward running simulation

(17) represents all the TLM link impulses The adjoint variable at a cell and a time step . The adjoint excitation in (17) is . The backward running adjoint problem (17) has zero terminal conditions. Its matrices are the transpose of those in the original system (12). In (17), symmetry properties of the involved matrices were utilized. It can be shown that the matrix is symmetric and . Similar to (4), intermediate voltages and currents are utilized for the adjoint simulation (18) where the superscript denotes adjoint variables. Utilizing (10), the adjoint system (17) can be built using (19)

(13) with The modified excitation vector is constructed from zeros in the entries corresponding to the extra storage components. Equation (12) can be formulated in a standard update scheme given by (14) . where represents the connection matrix. Notice that, in reality, the large matrices and are never really constructed. All operations are carried out node by node. The system (14) casts the TLM iterations with arbitrary dispersion profiles in a form similar to the dispersion-free case. The system update (14) is utilized for the AVM sensitivity calculation of a general objective function of the form (15)

is the adjoint extra storage required during the adwhere joint simulation. The -parameter is included to indicate the calculation sequence of the adjoint extra storage for a backward running simulation. The calculation of the adjoint variables utilizing the transposed transmission matrix in (19) follows the TLM update scheme (17). Utilizing (4) and (11), a compact formula for the parameter is (20) and are simplified quantities utilized where for the calculation of the parameter . For the nondispersive case, . In this case, the -components of these parameters are calculated as

(21) where the cell index is dropped for brevity. Similar expressions apply for the nondispersive case in other polarizations. IV. AVM FOR DISPERSIVE MATERIALS

Utilizing the formulation (14) and following a similar derivation to that in [10], the sensitivities of the objective function (15) with respect to the th parameter , , is given by (16)

In this section, the adjoint theory presented by (5)–(17) and (20) is applied to some of the commonly used dispersive models such as the Drude, Debye, and Lorentz models. More details are provided to bridge the gap between the theory and implementation. For simplicity, the formulation is proposed for only one electric field polarization, the -polarization. Similar expressions can be derived for the other two polarizations.

2962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A. AVM for the Drude Model

B. AVM for the Debye Model

Different materials in the microwave and photonic ranges are modeled by the Drude model [38]–[40]. For this model, the material conductivity in the frequency domain can be expressed as in [41]

Dispersion behavior of biological tissues in the microwave range follows the Debye model [42]. The material susceptibility for a Debye dielectric in the frequency domain can be expressed as [43]

(22)

(28)

where is the collision time constant. The dc electric conductivity is with denoting the vacuum permittivity. The domain normalized conductivity function is calculated utilizing the impulse invariant method as [31]

where is the dielectric relaxation time, is the high-frequency susceptibility, and is the difference between dc and high-frequency dielectric susceptibility. Similar to the Drude model, we utilize the dispersive representation

(23)

(29)

and is the time step. The normalized where conductivity (23) is expanded as [31]

. The susceptibility can be expanded as in where (7) to get the modified scheme for a Debye material. The TLM coefficients in (7) are thus given by [31]

(24) Comparing (6) and (24), the material dependent coefficients and , while , where . The TLM scheme in (8) and (9) is modified according to the dispersion model. Since this dispersive model is first order in , only one extra accumulator is utilized for each polarization. In this case, the TLM scheme utilizing matrix formulation (10) is

(25)

(30) . Since this dispersive model is first where order in , the TLM scheme in (8) and (9) is applied with only one additional accumulator per polarization. The nodal TLM equation is thus given by

For this dispersion model, the original field storage utilized in (20) are given by

, , and where is the material susceptibility. For the Drude material, the original field storage and utilized in (20) are given by

(31) and

(32) Using (31), the adjoint simulation can be shown to have the form

(26) The adjoint system corresponding to (25) is given by

(33) Once (32) and (33) are available at all time steps for all perturbed cells, the sensitivities with respect to all parameters are estimated using (16). C. AVM for the Lorentz Model

(27) Once the temporal original fields components are determined by (26) and the temporal adjoint variables are determined by (27), the adjoint sensitivities are estimated using (16).

The Lorentz model is utilized to describe the dispersion behavior of dielectric materials whose electrons and ions are treated as natural oscillators [44]. Different organic materials in the microwave and terahertz frequency ranges are modeled by the Lorentz model [45]. The material susceptibility for a

AHMED et al.: TIME-DOMAIN AVM

2963

Lorentz dielectric in the frequency domain can be expressed as [31], [46] (34) is the resonant frewhere is the damping frequency and quency. Equation (34) can be reformulated in the -domain as follows [31]: (35) where

and . The expression in (35) can be simplified

to

Fig. 2. Parallel-plate waveguide with a plasma discontinuity.

simulation has the form (36)

where

, , and . By comparing (36) with (7), we have

(37) and . By substitution from (37) where in (9), it is obvious that three back-storages per cell ( , , and ) are required to account for the terms. The modified real valued transmission matrix is thus given by

(38) where (39) For AVM calculations, the -polarization components of utilized in (20) are given by

and

(40) For the construction of the adjoint scheme, we utilize (38). By transposing the transmission matrix in (38), the adjoint

(41) Utilizing (40) and (41), we can calculate (17) and (20) for the calculation of AVM dispersive sensitivity. V. NUMERICAL RESULTS In this section, we perform AVM-based sensitivity analyses of problems with dispersive materials. We apply our technique to nonmagnetized plasma, Debye material, and air–Lorentz-material interface. The adjoint sensitivities are shown to be identical to the sensitivities obtained using the accurate and expensive central finite difference (CFD) applied at the response level. All the simulations are conducted on an Intel Xeon Processor 5160 (3.0 GHz), (16.0 GB of RAM) platform. A. Plasma Discontinuity In this example, a plasma discontinuity is introduced inside a parallel-plate waveguide (see Fig. 2). A similar setup is commonly utilized for parameter-extraction problems where the discontinuity parameters are unknown. By calculating the sensitivities of the scattered energy with respect to the material and shape properties, accurate characterization of the discontinuity can be achieved [47]. The waveguide has a 5.0-mm width and is excited with a broadband Gaussian excitation (with polarization) with a center frequency of 50.0 GHz and bandwidth of 60.0 GHz. The plasma discontinuity has a width mm. The model parameters for this material are GHz, ps, and [41]. The length of the discontinuity is chosen as a parameter that changes from 1.0 to 3.0 cm. The cell size of the TLM computational domain mm. The objective function for this example is the transmitted energy to an output port , which is given by (42)

2964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 3. Adjoint sensitivity of the transmitted energy for the plasma discontias compared to the nuity example with respect to the material parameter accurate finite-difference results.

Fig. 5. Adjoint sensitivity of the transmitted energy for the plasma discontias compared to the nuity example with respect to the material parameter accurate finite-difference results.

Fig. 4. Adjoint sensitivity of the transmitted energy for the plasma discontias compared to the accurate finite-difference nuity example with respect to results.

Fig. 6. Adjoint sensitivities of the transmitted energy for the plasma discontiand width as compared to the nuity example with respect to the length accurate finite-difference results.

where is the total number of time instants at which the structure is simulated and is the number of cells in the transverse direction of the output port. The adjoint sensitivities are calculated with respect to the material and shape parameters . The sensitivities are calculated with the dispersive AVM approach and compared to the accurate CFD approach. In Figs. 3–5, the sensitivities of the objective function are calculated with respect to , , and for different plasma lengths. The sensitivities with respect to the shape parameters are shown in Fig. 6. The AVM results match well the expensive CFD. For 51 different plasma lengths, the CFD requires 510 extra simulations (two per parameter). However, utilizing AVM approach only 51 extra simulations are required. The total time for sensitivity results utilizing the CFD approach 13.6 h. However, utilizing the AVM approach, only 2.3 h are required for all sensitivities at all different slab widths. The time saving is one order of magnitude as 1.2 h are mandatory in both techniques for the original simulation calculation at all the slab widths. B. Debye Slab In this example, a parallel-plate waveguide cm is loaded by a Debye material (see Fig. 7). The objective function for this example is the total energy at the input

Fig. 7. Parallel-plate waveguide loaded by a Debye material.

port . We calculate the sensitivities of the objective function with respect to dispersion and shape parameters . The utilized Debye material has the parameters , , and ps [23] with a normalized conductivity . The waveguide is excited by a -polarized wave. A wideband Gaussian signal of 20.0-GHz bandwidth centered around 30.0 GHz is utilized. For accurate modeling, a discretization of mm is utilized. The energy objective function in (42) can be utilized by calculating the energy at the input port. Fig. 8 shows the sensitivities of the objective function with respect to the model parameters and for different slab widths . Figs. 9 and 10 show the sensitivities with respect to the normalized parameter , the

AHMED et al.: TIME-DOMAIN AVM

2965

Fig. 11. Air/Lorentz interface.

Fig. 8. Adjoint sensitivities of the total energy at the input port for the Debye and as compared to the slab with respect to the material parameters accurate finite-difference results.

Fig. 12. Adjoint sensitivities of the total energy at the input port for the air/ and as compared to Lorentz interface with respect to the parameters the accurate finite-difference results.

C. Lorentz Interface

Fig. 9. Adjoint sensitivities of the total energy at the input port for the Debye and as compared to the accuslab with respect to the material parameters rate finite-difference results.

Fig. 10. Adjoint sensitivity of the total energy at the input port for the Debye slab with respect to the shape parameter as compared to the accurate finitedifference results.

normalized conductivity , and the slab width as compared to the CFD. Good match is observed. AVM sensitivity analysis requires approximately 0.8 h of execution time, while the CFD approach requires 4.7 h of execution time.

This example considers an interface between a Lorentzian material and air, as shown in Fig. 11. The objective function is the total energy at the input port. The sensitivities with respect to the dispersive parameters , , , and are calculated utilizing the developed AVM theory. The model parameters ( and ) have values nine orders of magnitude higher than the values of and . For rigorous gradient-based optimization, those parameters are scaled to have the same order of magnitude. In (35), another normalized parameters ( and ), which are functions of ( and ) are defined. We calculate the sensitivities for both the original model parameters ( and ) and the defined normalized parameters ( and ) using the dispersive AVM approach. The utilized air/Lorentz interface has the parameters , , GHz, and [48]. For accurate calculation of the objective function and its sensitivities, a discretization of mm is utilized. The total length of the computational domain is 10.0 cm. The excitation (with polarization) is a wideband Gaussian signal with a central frequency of 60.0 GHz and a bandwidth of 118.0 GHz. The sensitivities of total input power [see (42)] with respect to the Lorentz model parameters are calculated at 13 different values of the material high-frequency susceptibility . The results are shown in Figs. 12 and 13. The sensitivities with respect to the normalized parameters and are also shown in Fig. 14. Good match with the CFD approximation is achieved for all sensitivities. The total execution time utilizing the AVM approach is 15 min for all 13 different values of . Using CFD, the total execution time is 75 min.

2966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 15. Structure of 180 bend filled with a metamaterial slab. Fig. 13. Adjoint sensitivities of the total energy at the input port for the air/ and as compared to the Lorentz interface with respect to the parameters accurate CFD results.

Fig. 16. Scattering parameters of the 180 bend for both cases of lossless and lossy metamaterial slab. Fig. 14. Adjoint sensitivities the total energy at the input port for the and as air/Lorentz interface with respect to the numerical parameters compared to the accurate CFD results.

D. Tunneling Through a Metamaterial Slab Artificial materials (metamaterials) have been proposed for extraordinary functionality that could not be achieved using regular materials [49]. The Drude model has been verified to accurately model metamaterials [38], [39]. In this example, we calculate the sensitivities of the scattering parameters for a recently proposed 180 reflectionless bend [40] (see Fig. 15). The wave is coupled from a parallel-plate waveguide of width to another by introducing a slab of metamaterial of width and parameters , , and . The complete absorption at abrupt bends occurs at specific wavelength where the total permittivity vanishes [40] (see Fig. 16). The device performance is governed by the sensitivity information of the reflectivity due to the change in both the dispersion properties and dimension. The metametrial slab has a width , high-frequency susceptibility , and plasma frequency , where is the speed of light. The slab is considered for both the lossless and the lossy case . The structure is excited with a wideband Gaussian signal (with polarization) around the frequency where (for

mm, THz). For accurate modeling of the thin metamaterial layer, a space step of is chosen. For the lossless case, the sensitivities of are calculated with respect to the model and shape parameters of the metamaterial slab (see Figs. 17–20). In Fig. 17, the adjoint sensitivity with respect to is compared to the forward finite-difference (FFD) approach as CFDs cannot be estimated for . Figs. 18–20 show the adjoint sensitivities with respect to all other parameters. Good match with the CFD is observed for the nonoscillatory region . For the oscillatory region , the real part of the permittivity is negative. The calculation of the sensitivity analysis suffers from inaccuracies due to the field singularity [40]. The results of AVM sensitivity are of the same order of the CFD. However, the sensitivity analysis suffers from oscillatory behavior, which induces inaccuracy for the sensitivity calculations. In the finite loss case, the sensitivities with respect to the material properties and shape properties are shown in Figs. 21–24. The AVM sensitivities match well the accurate finite-difference results. The total execution time for sensitivity calculations using AVM is 20 min while 40 min are required utilizing the CFD approach. The CFD execution time scales linearly with the number of parameters, and thus the difference between the two execution times. In Fig. 24, an expected deviation of

AHMED et al.: TIME-DOMAIN AVM

Fig. 17. Sensitivity of slab example.

with respect to

2967

for the lossless metamaterial

Fig. 20. Sensitivity of with respect to the shape parameter lossless metamaterial slab example.

Fig. 18. Sensitivity of with respect to the normalized parameter lossless metamaterial slab example.

for

Fig. 21. Sensitivity of example.

Fig. 19. Sensitivity of with respect to the normalized parameter the lossless metamaterial slab example.

for

Fig. 22. Sensitivity of with respect to the normalized parameter the lossy metamaterial slab example.

the sensitivity results shows at low frequency due to the field singularities around the metallic plate. E. Sensitivity of 3-D Plasmonic Resonator Antenna In this example, a multiband plasmonic resonator antenna (UT shaped) is studied [50] (see Fig. 25). Plasmonic metamaterials provide unique light manipulation capabilities. Utilizing inherent resonant properties of plasmonic materials, light is guided and focused at a subwavelength range.

with respect

for the

for the lossy metamaterial slab

for

The UT-shaped gold nanoparticles are utilized to create plasmonic metamaterials by introducing a 2-D array of the structure. The design of such a multiresonant structure requires proper choice of the geometrical parameters. The sensitivities of the UT nanostructure is calculated utilizing AVM. They are compared to the accurate expensive central difference approach. The structure is perturbed at every side plane. This enables us to calculate sensitivity with respect to all possible geometrical changes. Fig. 26 shows the top view

2968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 26. Top view of the gold nanostructure. All different perturbation planes are shown.

Fig. 23. Sensitivity of the lossy metamaterial.

with respect to the normalized parameter

Fig. 24. Sensitivity of with respect to the shape parameter metamaterial slab example.

for

for the lossy

Fig. 27. Adjoint sensitivity analysis of for the 3-D plasmonic resonator antenna. (a) Sensitivity relative to . (b) Sensitivity relative to . Fig. 25. Schematic of the gold nanoplasmonic resonating antenna.

of the structure. 15 different geometrical planes are shown and denoted by . By perturbing the structure in the -direction, another parameter is also included . The sensitivities of the scattering parameter are calculated with respect to all possible perturbations. The modeled nanostructure has the geometrical parameters nm, nm, nm, and nm [50]. The Drude model is utilized for the gold material. A silicon–dioxide substrate of refractive index of 1.46 is utilized. The structure is excited with a wideband Gaussian signal of center frequency

of 80 THz and a bandwidth 120 THz. A plane wave propagating in the -direction is utilized with -polarized field excitation. Magnetic walls are included in the and terminations and a PML is utilized for domain truncation in the -direction. The simulation is conducted on an Intel Xeon Processor 5160 (3.0 GHz), (16.0-GB RAM) platform. Due to space limitation, only a subset of the adjoint sensitivity analysis results is shown in Figs. 27–29. The AVM results are compared to finite-difference approaches. The AVM matches well the expensive CFD results. A total of two simulations are required for AVM calculation (overall calculation time 1.5 h). For central difference calculations, a total of 33 simulations are required (overall calculation time 12.0 h).

AHMED et al.: TIME-DOMAIN AVM

2969

Fig. 29. Adjoint sensitivity analysis of for the 3-D plasmonic resonator . (b) Sensitivity relative to . antenna. (a) Sensitivity relative to

For the FDTD case, the linear matrix formulation [11] should be modified. A new system is formulated to link the field state variables to the system storage variables. The FDTD-based algorithm will require the calculation of the derivatives of the system matrix during the main simulation. Proper transformation of the dispersive original problem to construct the adjoint simulation is required. The original and adjoint state variables are utilized to formulate an AVM expression similar to those provided in (19) and (20).

Fig. 28. Adjoint sensitivity analysis of for the 3-D plasmonic resonator antenna. (a) Sensitivity relative to . (b) Sensitivity relative to . (c) Sensi. tivity relative to

VI. DISCUSSION The presented approach can be extended to other time-domain modeling techniques such as the finite-element time-domain (FETD) and FDTD methods. Numerical differences between these approaches and the TLM method have to be taken into account. The algorithm has to be modified to take into consideration the system history. Extra storage state variables have to be defined [51]. The size of extra storage will be dependent on the dimensionality of the problem and the order of the dispersion model as well.

VII. CONCLUSIONS We introduce a novel theory for wideband AVM sensitivity analysis for dispersive materials. The theory can be utilized for different fields other than electromagnetic-based problems. The theory has been successfully applied for Drude, Debye, and Lorentz materials. We utilized the dispersive AVM for the calculation of sensitivities with respect to both the material and shape properties of a dispersive media. Our results are compared to the accurate and expensive finite-difference approaches; perfect match can be achieved. The theory has been applied for basic test examples and for recently introduced structures of special model parameters and promising functionality. The advantage of AVM is also demonstrated through adjoint sensitivity analysis of a complex 3-D nanostructure.

2970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

REFERENCES [1] K. C. Gupta, R. Garg, and R. Chadha, Computer-Aided Design of Microwave Circuits. Dedham, MA: Artech House, 1981. [2] H. Lee and T. Itoh, “A systematic optimum design of waveguide-tomicrostrip transition,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 803–809, May 1997. [3] H. Akel and J. P. Webb, “Design sensitivities for scattering-matrix calculation with tetrahedral edge elements,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1043–1046, Jul. 2000. [4] J. P. Webb, “Design sensitivities using high-order tetrahedral vector elements,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3600–3603, Sep. 2001. [5] G. Iuculano, V. A. Monaco, and P. Tiberio, “Network sensitivities in terms of scattering parameters,” Electron. Lett., vol. 7, no. 2, pp. 53–55, Jan. 1971. [6] J. W. Bandler, Q.-J. Zhang, and R. M. Biernacki, “A unified theory for frequency-domain simulation and sensitivity analysis of linear and nonlinear circuits,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1661–1669, Dec. 1988. [7] Y. Chung, C. Cheon, I. Park, and S. Hahn, “Optimal shape design of microwave device using FDTD and design sensitivity analysis,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2289–2296, Dec. 2000. [8] Y. Chung, J. Ryu, C. Cheon, I. Park, and S. Hahn, “Optimal design method for microwave device using time domain method and design sensitivity analysis—Part I: FETD case,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3289–3293, Sep. 2001. [9] Y. Chung, C. Cheon, I. Park, and S. Hahn, “Optimal design method for microwave device using time domain method and design sensitivity analysis—Part II: FDTD case,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3255–3259, Sep. 2001. [10] M. H. Bakr and N. K. Nikolova, “An adjoint variable method for timedomain transmission line modeling with fixed structured grids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 554–559, Feb. 2004. [11] N. K. Nikolova, H. W. Tam, and M. H. Bakr, “Sensitivity analysis with the FDTD method on structured grids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1207–1216, Apr. 2004. [12] M. H. Bakr, P. Zhao, and N. K. Nikolova, “Adjoint first order sensitivities of transient responses and their applications in the solution of inverse problems,” IEEE Trans. Antennas Propag., vol. 57, no. 7, pp. 2137–2146, Jul. 2009. [13] M. H. Bakr and N. K. Georgieva, “An adjoint variable method for frequency domain TLM problems with conducting boundaries,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 408–410, Sep. 2003. [14] N. K. Georgieva, S. Glavic, M. H. Bakr, and J. W. Bandler, “Feasible adjoint sensitivity technique for EM design optimization,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2751–2758, Dec. 2002. [15] J. P. Webb, “Design sensitivity of frequency response in 3-D finiteelement analysis of microwave devices,” IEEE Trans. Magn., vol. 38, no. 2, pp. 1109–1112, Mar. 2002. [16] P. A. W. Basl, M. H. Bakr, and N. K. Nikolova, “Efficient estimation of sensitivities in TLM with dielectric discontinuities,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 89–91, Feb. 2005. [17] P. A. W. Basl, M. H. Bakr, and N. K. Nikolova, “An AVM technique for 3-D TLM with symmetric condensed nodes,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 618–620, Oct. 2005. [18] M. H. Bakr, N. K. Nikolova, and P. A. W. Basl, “Self-adjoint -parameter sensitivities for lossless homogeneous TLM problems,” Int. J. Numer. Modeling: Electron. Networks, Devices, Fields, vol. 18, no. 6, pp. 441–455, Nov. 2005. [19] P. A. W. Basl, M. H. Bakr, and N. K. Nikolova, “Theory of self-adjoint -parameter sensitivities for lossless nonhomogeneous transmissionline modeling problems,” IET Microw. Antennas Propag., vol. 2, no. 3, pp. 211–220, 2008. [20] N. Appannagaari, R. Edlinger, and B. Gray, “Optimetrics: Parametrics and optimization using ansoft HFSS,” Microw. J. Nov. 1999. [Online]. Available: http://www.ansoft.com/news/articles/MWJ.11.99.pdf, [Accessed Jan. 10, 2012]. [21] “Press release, sensitivity analysis and adapted optimization strategies for CST MICROWAVE STUDIO transient solver,” CST, Darmstadt, Germany, May 2010. [Online]. Available: http://www.cst.com/Content/News/Documents/2010_5_TimeDomain2011_web.pdf, [Accessed Nov. 21, 2011]. [22] A. V. Chebykin, A. A. Orlov, A. V. Vozianova, S. I. Maslovski, Y. S. Kivshar, and P. A. Belov, “Nonlocal effective medium model for multilayered metal-dielectric metamaterials,” Phys. Rev. B, Condens. Matter, vol. 84, no. 11, Sep. 2011, Art. ID 115438.

[23] R. J. Luebbers, F. P. Hunsberger, K. S. Kunz, R. B. Standler, and M. Schneider, “A frequency-dependent finite-difference time-domain formulation for dispersive materials,” IEEE Trans. Electromagn. Compat., vol. 32, no. 3, pp. 222–227, Aug. 1990. [24] D. Jiao and J.-M. Jin, “Time-domain finite-element modeling of dispersive media,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 5, pp. 220–222, May 2001. [25] E. Fear, S. C. Hagness, P. Meaney, M. Okoniewski, and M. Stuchly, “Enhancing breast tumor detection with near-field imaging,” IEEE Microw. Mag., vol. 3, no. 1, pp. 48–56, Mar. 2002. [26] W. J. R. Hoefer, “The transmission-line matrix method—Theory and applications,” IEEE Trans. Microw. Theory Tech., vol. MTTT-33, no. 10, pp. 882–893, Oct. 1985. [27] P. B. Johns, “A symmetrical condensed node for the TLM method,” IEEE Trans. Microw. Theory Tech., vol. MTTT-35, no. 4, pp. 370–377, Apr. 1987. [28] V. Trenkic, C. Christopoulos, and T. M. Benson, “New symmetrical super-condensed node for the TLM method,” Electron. Lett., vol. 30, no. 4, pp. 329–330, Feb. 1994. [29] L. de Menezes and W. J. R. Hoefer, “Modeling of general constitutive relationships using SCN TLM,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 854–861, Jun. 1996. [30] J. Paul, C. Christopoulos, and D. W. P. Thomas, “Perfectly matched layer for transmission line modelling (TLM) method,” Electron. Lett., vol. 33, no. 9, pp. 729–730, Apr. 1997. [31] J. Paul, C. Christopoulos, and D. W. P. Thomas, “Generalized material models in TLM—Part 1: Materials with frequency-dependent properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1528–1534, Oct. 1999. [32] J. Paul, C. Christopoulos, and D. W. P. Thomas, “Generalized material models in TLM—Part 2: Materials with anisotropic properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1535–1542, Oct. 1999. [33] J. Paul, C. Christopoulos, and D. W. P. Thomas, “Generalized material models in TLM—Part 2: Materials with nonlinear properties,” IEEE Trans. Antennas Propag., vol. 50, no. 7, pp. 997–1004, Jul. 2002. [34] O. S. Ahmed, M. A. Swillam, M. H. Bakr, and X. Li, “Modeling and design of nano-plasmonic structures using transmission line modeling,” Opt. Exp., vol. 18, no. 21, pp. 21 784–21 797, Sep. 2010. [35] P. So, “Exploit the parallel paradigm,” IEEE Microw. Mag., vol. 11, no. 2, pp. 79–85, Apr. 2010. [36] F. Rossi and P. P. M. So, “Hardware accelerated symmetric condensed node TLM procedure for NVIDIA graphics processing units,” in IEEE Antennas Propag. Soc. Int. Symp., Jun. 2009, pp. 1–4. [37] A. G. Radwan, M. H. Bakr, and N. K. Nikolova, “Transient adjoint sensitivities for discontinuities with Gaussian material distribution,” J. Progr. Electromagn. Res. B, vol. 27, pp. 1–19, 2011. [38] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966–3969, Oct. 2000. [39] R. W. Ziolkowski and E. Heyman, “Wave propagation in media having negative permittivity and permeability,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 64, no. 15, Oct. 2001, Art. ID 056625. [40] M. G. Silveirinha and N. Engheta, “Tunneling of electromagnetic energy through subwavelength channels and bends using -near-zero materials,” Phys. Rev. Lett., vol. 97, no. 15, Oct. 2006, Art. ID 157403. [41] R. J. Luebbers, F. Hunsberger, and K. S. Kunz, “A frequency—Dependent finite-difference time-domain formulation for transient propagation in plasma,” IEEE Trans. Antennas Propag., vol. 39, no. 1, pp. 29–34, Jan. 1991. [42] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues—III: Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, pp. 2271–2293, 1996. [43] A. von Hippel, Dielectrics and Waves. Cambridge, MA: MIT Press, 1954. [44] C. F. Bohren and D. R. Huffman, Absorption and Scattering of Light by Small Particles. New York: Wiley, 1983, ch. 9. [45] B. M. Fischer, M. Walther, and P. Jepsen, “Far-infrared vibrational modes of DNA components studied by terahertz time domain spectroscopy,” Phys. Med. Biol., vol. 47, no. 21, pp. 3807–3814, Nov. 2002. [46] R. Siushansian and J. LoVetri, “A comparison of numerical techniques for modeling electromagnetic dispersive media,” IEEE Microw. Guided Wave Lett., vol. 5, no. 12, pp. 426–428, Dec. 1995. [47] O. S. Ahmed, M. A. Swillam, M. H. Bakr, and X. Li, “Efficient optimization approach for accurate parameter extraction with terahertz time-domain spectroscopy,” J. Lightw. Technol., vol. 28, no. 11, pp. 1685–1692, Jun. 2010.

AHMED et al.: TIME-DOMAIN AVM

[48] D. F. Kelley and R. J. Luebbers, “Piecewise linear recursive convolution for dispersive media using FDTD,” IEEE Trans. Antennas Propag., vol. 44, no. 6, pp. 792–797, Jun. 1996. [49] C. Caloz and T. Itoh, Electromagnetic Metamaterials, Transmission Line Theory and Microwave Applications. New York: Wiley, 2005. [50] A. E. Cetin, M. Turkmen, S. Aksu, and H. Altug, “Nanoparticle-based metamaterials as multiband plasmonic resonator antennas,” IEEE Trans. Nanotechnol., vol. 11, no. 1, pp. 208–212, Jan. 2012. [51] D. M. Sullivan, “Frequency-dependent FDTD methods using transforms,” IEEE Trans. Antennas Propag., vol. 40, no. 10, pp. 1223–1230, Oct. 1992.

Osman S. Ahmed (S’10) received the B.Sc. degree (with honors) in electronics and communications engineering and M.Sc. degree in engineering physics from Cairo University, Giza, Egypt in 2005 and 2009, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering from McMaster University, Hamilton, ON, Canada. In 2009, he joined the Computational Electromagnetic Laboratory (CEML), McMaster University. His research interests include computational electrodynamics, design optimization of photonic devices, free electron lasers, embedded design, and biophotonics. Mr. Ahmed is a student member of the International Society of Optics and Photonics (SPIE) and the Applied Computational Electromagnetics Society (ACES). He was a recipient of the Clifton W. Sherman Prestigious Scholarship from McMaster University (2010) and the Ontario Graduate Scholarship (OGS) Award (2012).

Mohamed H. Bakr (S’98–M’00–SM’11) received the B.Sc. degree in electronics and communications engineering [with distinction (honors)] and Master’s degree in engineering mathematics from Cairo University, Giza, Egypt, in 1992 and 1996, respectively, and the Ph.D. degree in electrical and computer engineering from McMaster University, Hamilton, ON, Canada, in 2000. In 1997, he was a Student Intern with Optimization Systems Associates (OSA) Inc. From 1998 to 2000, he was a Research Assistant with the Simulation Optimization Systems (SOS) Research Laboratory, McMaster University. In November 2000, he joined the Computational Electromagnetics Research Laboratory (CERL), University of Victoria, Victoria, BC, Canada, as an Natural Sciences and Engineering Research Council of Canada (NSERC) Post-Doctoral Fellow. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, McMaster University. His research interests include optimization methods, CAD and modeling of microwave circuits and photonic

2971

devices, neural network applications, smart analysis of high-frequency structures, and efficient optimization using time/frequency-domain methods. Dr. Bakr was the recipient of a Premier’s Research Excellence Award (PREA) of the Province of Ontario, Canada (2003) and an NSERC Discovery Accelerator Supplements (DAS) Award (2011).

Xun Li (M’93–SM’04) received the B.S. degree from Shandong University, Jinan, China, in 1982, the M.S. degree from the Wuhan Research Institute of Posts Telecommunications, Wuhan, China, in 1984, and the Ph.D. degree from Beijing Jiaotong University, Beijing, China, in 1988. From 1988 to 1993, he was with the Lightwave Technology Institute, Beijing Jiaotong University, as a Lecturer and then as an Associate Professor. From 1993 to 1999, he was a Research Assistant Professor with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada. In 1999, he joined the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada, as an Assistant Professor, became an Associate Professor in 2003, and a Professor in 2008. While in China, he has been mainly involved with the development of III–V compound semiconductor laser diodes. Beginning in 1993, he has been involved in the area of photonics and optoelectronics with an emphasis on CAD, modeling, and simulation of semiconductor opto-electronic devices, waveguide-based integrated photonic devices, and their applications on optical fiber communication systems and networks. He cofounded Apollo Photonics Inc., and was a major developer of the company’s software product “Advanced Laser Diode Simulator.” He is an Adjunct Professor with Beijing Jiaotong University and the Huazhong University of Science and Technology. He has authored or coauthored over 200 journal and conference papers. He has also authored a book and a book chapter on opto-electronic device modeling and simulation. Dr. Li is a licensed Professional Engineer in the Province of Ontario, Canada. He is a member of the Optical Society of America (OSA) and the International Society of Optics and Photonics (SPIE). Many times he has been the recipient of awards from the Chinese national and local governments and by Beijing Jiaotong University for his achievements.

Tsuyoshi Nomura received the B.S. and M.S. degrees in mechano-informatics and systems from Nagoya University, Nagoya, Japan, in 1995 and 1997, respectively, and the Ph.D. degree in aeronautics and astronautics from Kyoto University, Kyoto, Japan, in 2008. In 1997, he joined Toyota Central Research and Development Laboratories Inc. in 1997. Since 2011, he has been on a research assignment with the Toyota Research Institute of North America, Ann Arbor, MI. He has been engaged in research on numerical analysis of composite materials and topology optimization.

2972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Hybrid Field/Transmission-Line Model for the Study of Coaxial Corrugated Waveguides Stylianos P. Savaidis, Zisis C. Ioannidis, and Nikolaos A. Stathopoulos

Abstract—A transmission-line model is reformulated and combined with field theory to study the propagation characteristics in coaxial waveguides with wedge-shaped corrugations, either on the outer wall or the inner conductor. Numerical results show that this equivalent-circuit approach is in agreement with conventional fullwave methods presented in the literature. Additionally, this formulation overcomes numerical issues in the calculation of higher order Bessel functions, which usually conscript sophisticated expansion techniques. Index Terms—Corrugated waveguides, gyro traveling-wave tube (gyro-TWT), magnetron, transmission lines.

I. INTRODUCTION

C

OAXIAL waveguides with longitudinal corrugations, either on the inner conductor or the outer wall, have been used in a variety of scientific and industrial applications. One of their first uses was for the resonant system of microwave multiresonator magnetrons [1]. In these sources, the smooth inner conductor serves as a cathode for electron generation, whereas the oversized corrugations of the outer wall, more appropriately described as side cavities, create the interaction area of the oscillator. The annular-sector resonator, often referred to as vane type, is one of the most common side resonators used [2]. Moreover, resonators consisting of subsequent segments of slightly irregular waveguides of this kind are used for the generation of high-frequency high-power microwave radiation with gyrotrons for plasma heating in thermonuclear fusion reactors [3], [4]. In this case, the already increased selective properties of the coaxial cavity [5], [6] are enhanced by the introduction of a relatively large number of longitudinal corrugations on the inner conductor [7] since, by selecting their parameters properly, they provide additional means in modifying the eigenvalue spectrum of the resonator [8]. A relatively large number of shallow longitudinal corrugations on the outer wall of a circular waveguide has been proposed to manipulate the radiation pattern of a conical horn antenna [9]. The mode in this waveguide, used as an aperture antenna, produces a main radiation lobe that can be made nearly Manuscript received January 24, 2012; revised June 20, 2012; accepted July 02, 2012. Date of publication August 07, 2012; date of current version September 27, 2012. S. P. Savaidis and N. A. Stathopoulos are with the Department of Electronics, Technological Educational Institution of Piraeus, 12244 Athens, Greece (e-mail: [email protected]; [email protected]). Z. C. Ioannidis is with the Faculty of Physics, Department of Electronics, Computers, Telecommunications and Control, National and Kapodistrian University of Athens, 15784 Athens, Greece (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2209440

symmetrical around the axis over a wide frequency range by a proper choice of the slot depth [10]. In all the above applications, it is important to be able to calculate the cutoff frequencies, i.e., the eigenvalues, of the guiding modes that can propagate in the structure, and in this scope, various field-wave and equivalent-circuit techniques have been proposed. One of the simplest methods was presented by Kroll for the calculation of resonant frequencies of a magnetron resonator [2]. Assuming that the electric field is transverse and that there is no axial variation of the magnetic field, the side resonators, as well as the main interaction area, are represented by lumped-constant networks, and their admittance is calculated by using Kirchhoff’s laws. Resonance occurs when the admittance looking out from the side resonators equals the corresponding one looking into the interaction space , i.e., (1) The main constraint of this approach is that the magnitude of the constants of the network must be determined experimentally for a specific frequency, limiting in this way the accuracy of the calculations for other frequencies. It is possible to overcome this limitation calculating the admittances by field theory, namely, (2) is the where is the complex conjugate of the power, voltage between the opposing walls of the corrugation, and both integrals are calculated along the same path [2]. Of course, it is not always convenient to calculate both quantities along exactly the same path. Various full-wave solutions that take into account directly the azimuthal periodicity of the geometry can be found in the literature. Chu and Dialetis [11] and Li et al. [12] and Li and Li [13] followed similar procedures to study magnetron-like resonator for harmonic gyrotrons. Both suppose that the field distribution in two adjacent cavities differ only by a constant phase, and in this way they conclude to similar characteristic equations with Kroll. More accurate formulations that account for the complete field content have been presented for coaxial corrugated cavities. Dumbrajs and Zaginaylov [14] employed the singular integral equation (SIE) to study the eigenvalue problem of a coaxial corrugated cavity, whereas Ioannidis et al. [15]–[17] employed the space harmonic method (SHM). In all these expansion approaches, the radial distribution of the electromagnetic field is expressed as a summation of linear combinations of Bessel and Neuman functions. Although these linear combinations are easily calculated for low order Bessel functions, special techniques have to be employed for higher order terms.

0018-9480/$31.00 © 2012 IEEE

SAVAIDIS et al.: HYBRID FIELD/TRANSMISSION-LINE MODEL FOR STUDY OF COAXIAL CORRUGATED WAVEGUIDES

2973

of the TE field is expressed as a sum of Bloch components, whereas the corresponding component in Region II as Fourier series of standing waves [17] (3) (4)

Fig. 1. Transverse cross section of a: (a) coaxial waveguide with a corrugated insert and (b) vane loaded coaxial waveguide.

A simplified analytic model (SAM) based on experience gained from the SIE has been reported by Zaginaylov and Iaremenko for coaxial gyrotrons [18]. In this paper, we combine the transmission-line method (TLM) [19], [20] with the SHM formulation to calculate the propagation characteristics of TE modes in coaxial waveguides with wedge-shaped corrugations, either on the outer or the inner wall. Employing this hybrid technique, we intended to overcome the accuracy limitations of the simple circuit representation, as well as the numerical issues of the higher order Bessel functions calculations. This paper is organized as follows. In Section II, the TLM formulation is presented for TE modes in a coaxial waveguide with a wedge-shaped corrugated insert. The case of outer wall corrugations with a smooth inner conductor is also briefly derived. Numerical results are presented in Section IV to compare the proposed method with others found in the literature. In Section V, the conclusions of this study are summarized.

where and are the azimuthal wavenumbers in Regions I and II, respectively, is the azimuthal index of the working mode, and are unknown field expansion coefficients to be determined, whereas and are also unknown functions that describe the radial field distribution. The time dependence is considered to be and is suppressed throughout the text. The orthogonal properties of the azimuthal functions appearing in (3) and (4) allow us to treat each term of the infinite sum independently at every position , except for the interface between the two regions. Based on this observation, the satisfaction of Maxwell’s equations and of the corresponding boundary conditions will be initially investigated for the individual and terms. According to the proposed transmission-line approach, both regions are considered as a set of successive thin cylindrical layers of width and radius . Under the assumption of , each layer can be treated as a homogeneous medium with constant dielectric permittivity and magnetic permeability . In this sense, all electrical field components within a layer of Region I can be expressed, through the Maxwell’s equations, with respect to term (5)

II. TLM FORMULATION The transverse cross section of the coaxial structures under study are shown in Fig. 1. Two alternative configurations are examined, namely, a coaxial waveguide with a longitudinally corrugated insert [see Fig. 1(a)] and a vane loaded coaxial waveguide [see Fig. 1(b)]. In both configurations, the outer and the inner conductor radii are denoted as and , respectively, whereas the slotted one has identical wedge-shaped surface corrugations with angular period , angular width , and depth . In both cases, the structure is divided in two regions, namely, “Region I” denoting the area between the inner and the outer conductor and “Region II” for the area inside the corrugations. All metallic boundaries are considered to be perfect conductors and the propagating medium in both regions is considered lossless and inhomogeneous with dielectric permittivity and magnetic permeability . Although in the following sections only TE modes are considered, the same procedure can also be followed for TM modes. A. Waveguide With Corrugated Insert Due to the angular periodicity of the problem, in Region I the Floquet theorem is applied, and the longitudinal component

(6) (7) where using the variables

. Substituting (6) in (7) and (8) (9)

which represent electric voltage and current, the well-known equations of an electric transmission line are derived (10) (11) , and denote wave impedance, the radius-dependant distributed impedance, and distributed admittance of the transmission line, respectively. It is worthwhile to mention

where

2974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

at the interface between the two regions. These remaining boundary conditions impose the continuity of the tangential components of the electric and magnetic field, i.e., (16) (17) Taking into account the circuit variables definition from (8) and (9), the above described boundary conditions correspond to the well-known Kirchhoff’s voltage and current law, respectively. Thus,(16) and (17) can be rewritten as follows: Fig. 2. Transmission line T-circuit representation of a: (a) thin cylindrical layer and the (b) entire Region I.

that since the azimuthal dependence of both sides of (10) and (11) is identical, the electric voltage and current, as defined by (8) and (9), actually represent the radial dependence of the corresponding field components. According to (10) and (11), the Maxwell’s equations can be replaced by the elementary circuit laws applied to an electric transmission line with characteristic impedance

(18)

(19) where and correspond to and , as expressed in (3) and (4), respectively, whereas and correspond to and , respectively. Expressing and with respect to the impedance and the electric current of each transmission line, (18) is rewritten as follows:

(12) (20) and transmission constant (13) Thus, each cylindrical layer can be represented by a transmission line, and in turn, by an equivalent T-circuit [see Fig. 2(a)] with elements given by

where and are the input impedances at position for the th and the th electric transmission line of Regions I and II, respectively. By multiplying both sides of (19) with and integrating over , the following equation is obtained:

(14) (15) as already presented in [20]. Consequently, the entire Region I can be represented as an inhomogeneous transmission line, consisting of a chain sequence of T-circuits, as shown in Fig. 2(b). The transmission line terminates at a short circuit, which represents the outer metallic boundary. The short-circuit termination imposes a zero voltage condition, which according to (8) satisfies the demand for a zeroed tangential electric field. The analysis for “Region II” is similar. In fact, (5)–(15) are still valid if superscript is replaced with superscript and wavenumber with . Of course, it should be taken into account that all field and equivalent-circuit quantities are calculated at different space positions, i.e., . According to the proposed transmission-line approach, the infinite sum of spatial terms in (3) and (4) can be equivalently represented by an infinite superposition of transmission lines. This ensures the satisfaction of Maxwell’s equations, as well as of the corresponding boundary conditions, except for the one

(21) where

is the Kronecker delta and (22)

Next, by multiplying both sides of (20) with integrating over , we obtain

and

(23) Solving (21) with respect to and substituting the obtained expression in (23), the following homogeneous system of infinite equations is derived: (24) where

are the unknown expansion coefficients of (3), corresponds to the current of the th transmission line of Region I at (from a field perspective corresponds to

SAVAIDIS et al.: HYBRID FIELD/TRANSMISSION-LINE MODEL FOR STUDY OF COAXIAL CORRUGATED WAVEGUIDES

2975

III. NUMERICAL IMPLEMENTATION

Fig. 3. Proposed coupling scheme between the th transmission line of Region I and transmission lines of Region II.

the radial magnetic field distribution value at the same point), and is given by

(25) Nontrivial solutions for the expansion coefficients or alternatively for forces the determinant of the above infinite system of equations to be nullified. Of course, in order to obtain a solution numerically, the infinite system has to be truncated. Truncating (3) from to and (4) up to results to a system. Equation (24) and (25) establish a coupling scheme between all transmission lines of Region II and every single transmission line in Region I. In the th row of (24), and more particularly, on the diagonal element, the th transmission line of Region I is represented by its input impedance weighted by . Similarly, the transmission lines of Region II are represented by their input impedances weighted by a factor . Due to the infinite sum over , these impedances may be treated as impedances connected in series. From a circuit perspective, (24) introduces multiple resonating circuits, as the one depicted in Fig. 3, which are coupled through the common presence of the weighted impedances in each one of them. The potential of having simultaneous resonances in all aforementioned circuits is feasible under the condition of the nullification of the determinant of the system (24). The above-mentioned resonating circuits are uncoupled in the special case of a smooth coaxial waveguide since, in this case, the input impedances correspond to zero length transmission lines, which are terminated in short circuits, i.e., . In turn, the resonant circuits of Fig. 3 impose independently the resonance condition .

B. Vane Loaded Coaxial Waveguide Regarding the vane loaded structure of Fig. 1(b), (3)–(25) still apply. The only difference considers the spatial location of the transmission lines in each region. In particular, the electric transmission lines in Region I terminate at , instead of , on a short circuit, whereas in Region II, terminates at instead of . The interface between the two regions is now located on radius instead of .

The solution of the eigenvalue problem (24) demands the calculation of the input impedance at for all involved transmission lines. This calculation starts from the terminating load of each transmission line, i.e., the metallic boundary, and adopts the following recursive scheme: (26)

where and are the elements of the T-circuit at the th cylindrical layer of the th transmission line, which are given is the input impedance at by (14) and (15), respectively. the th layer, i.e., the total input impedance from the th layer , the toward the metallic boundary. Thus, starting from input impedance can be calculated at any point of the line. The region indices in (26) and throughout this section are omitted for simplicity reasons. The current and voltage in the th layer can be derived as simple side results of the impedance calculations

(27) (28) In turn, all field components can be calculated from (27) and (28) in combination with Maxwell’s equations. It is worthwhile to mention that the aforementioned back-of-the-envelope calculations provide the normalized field values, since we have to assume an arbitrary initial value for the current at the short circuit. The absolute values of the field components can be derived after the estimation of the unknown expansion coefficients from the system of equations (24) and using an additional independent parameter such as the power propagating inside the waveguide. Concluding, it is worthwhile to mention that the accuracy of the numerical implementation depends, as expected, on the truncated size of the infinite system of equations in (24), as well as on the number of cylindrical layers considered in each region. The size of the truncated infinite system of equations determines the number of the modes taken into account in (3) to express the field in region I. At this point, it is also worthwhile to mention that a second truncation should be performed to calculate (25). The latter truncation determines the number of modes taken into account in (4) to express the field in region II. Convergence studies show that is an efficient choice for a fast and reliable decision on the convergence of the method. The accuracy of the method is also influenced by the number of T circuits used to build the transmission line of Fig. 2(b), or equivalently, the number of the cylindrical layers, hereinafter denoted as , used to describe each region. An adequate value should be chosen to describe accurately the field in each region since the radial distribution of the field is calculated as a standing wave across the transmission line, which, on the other hand, demonstrates a constant field value across each cylindrical layer. If the truncated matrix size and the number of

2976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I EIGENVALUE CONVERGENCE WITH RESPECT TO

EIGENVALUE FOR

Fig. 4. eigenvalue with respect to the outer to inner radii ratio as a parameter. The structure has the number of structure layers , , and .

FOR

TABLE II CONVERGENCE WITH RESPECT TO , FOR THE COAXIAL CAVITY PRESENTED IN [21]

, with ,

layers increases, the accuracy of the numerical estimations improves. The influence of both parameters on the accuracy of the numerical results is discussed in detail in Section IV. IV. NUMERICAL RESULTS According to the formulation presented in Section II, the accuracy of the calculations depends on two parameters; the first one is the number of the coaxial layers used for the structure representation and the second is the number of the azimuthally coupled terms taken into account. It is preferable to uncouple the two parameters in order to gain insight on how each of them affects the calculations. In [17], it was proposed that , where , is a rough rule to neglect the coupled (due to the corrugated surface) azimuthally modes without making significant error in the calculation of the eigenvalues. Consider a structure such as the one depicted in Fig. 1(a). Selecting , , , and , the coupled azimuthal modes are located higher in the eigenvalue spectrum and one can examine the convergence of the eigenvalues with respect to the number of the structure layers. Fig. 4 presents the eigenvalue curve of the mode with respect to the outer to inner radii ratio , for various values. It is evident that, in this simple case, the method converges quickly enough, and with layers we have adequately accurate results, with relative error, with respect to the SHM calculations with , approximately . To make this more evident, Table I presents the TLM calculated eigenvalue for and the relative error with respect to the SHM calculated eigenvalue. This value was selected since it is the one that has the higher relative error. The last column of the table presents the average time needed for the calculation of the determinant of the homogeneous system (24) using a 2.2-GHz i7 CPU. The values have been normalized to the calculation time with . More interesting results can be obtained for a more realistic geometry in which higher order azimuthally coupled

terms have to be taken into account. Consider the corrugated structure presented in [21], which is a high-power coaxial gyrotron cavity, with the possibility of excitation of modes operating at the second cyclotron harmonic. This structure has the form of Fig. 1(a), outer radius mm, inner radius mm, and corrugations with depth mm and angular ratio approximately. The selected working mode is with eigenvalue . Table II presents with respect to for , as well as the relative error , where and are the eigenvalues calculated with and , respectively. It is evident that using three expansion terms, i.e., , the eigenvalue has converged adequately. The last column of Table II presents the average time needed for one calculation of the determinant of system (24), normalized to the calculation time with . The results from both tables show that the calculation time increases linearly with the number of the coaxial layers , as well as with the truncated system dimension . Consider now the A6 magnetron presented by Palevsky and Bekefi [22]. This is a vane loaded device like the one in Fig. 1(b). The interaction cavity of this source has side resonators, each one having an opening of . The anode and cathode radii are cm and cm, respectively, whereas the side resonators have depth cm. Fig. 5 presents the resonant frequencies of the and eigenmodes, calculated with axial open-boundary conditions, with respect to the phase difference between two adjacent side resonators , where . The triangles correspond to TLM calculated results with and , the squares are digitized results based on Kroll’s formulation [22] and the circles are calculations based on the generalized spectral domain (GSD) method [23]. The TLM is in very good agreement with the GSD, whereas the constant lumped-circuit calculations

SAVAIDIS et al.: HYBRID FIELD/TRANSMISSION-LINE MODEL FOR STUDY OF COAXIAL CORRUGATED WAVEGUIDES

Fig. 5. Cutoff frequencies of the and modes with respect to the phase difference of two adjacent cavities for the A6 magnetron [22]. Squares refer to constant lumped-circuit calculations [22] and circles to GSD calculations [23].

present quite a difference for the neighboring modes.

mode, as well as for its two

V. CONCLUSION A hybrid field/transmission-line equivalent-circuit model was presented for the calculation of the eigenvalues in coaxial structures with wedge-shaped corrugations on the inner conductor or side resonators on the outer one. The model is characterized as hybrid by means that it uses the TLM formulation to create a simple and rigorous solution of the problem, whereas it consults the SHM formulation to overcome the TLM limitations. In particular, using the TLM formulation, the radial field distribution is described by a generic function and not by a linear combination of Bessel and Neumann functions, as it is done in the SHM approach, overcoming in this way the special treatment that has to be taken into account for the calculation of higher order Bessel functions. Additionally, due to the description of the two regions by sequences of T-circuits, the numerical implementation of the solution is very easy. In fact, a simple numerical code has to be developed for one case, e.g., the inner corrugations. By inverting the position of the T-circuit sequences, calculations for the case of side resonators can then be performed. TLM applications in previous studies were limited to treat 1-D waveguiding structures. In this context, the relevant eigenvalue problems were treated through a resonance condition along a single transmission line. This study introduced the generalized resonant condition (24), which involves multiple transmission lines. It was shown in this way that TLM can address problems with inhomogeneity in two dimensions ( and , in our case). It should be noted that the method could easily consider variable permittivity and/or permeability since, in principle, the TLM treats the under-study geometry as a sequence of thin coaxial layers, which are represented by T-circuits with different characteristics. REFERENCES [1] A. W. Hull, “The effect of a uniform magnetic field on the motion of electrons between coaxial cylinders,” Phys. Rev., vol. 18, pp. 31–57, Jul. 1921.

2977

[2] N. Kroll, “The unstrapped resonant system,” in Microwave Magnetrons, G. B. Collins, Ed. New York: McGraw-Hill, 1948, pp. 49–82. [3] J.-P. Hogge, T. P. Goodman, S. Alberti, F. Albajar, K. A. Avramides, P. Benin, S. Bethuys, W. Bin, T. Bonicelli, A. Bruschi, S. Cirant, E. Droz, O. Dumbrajs, D. Fasel, F. Gandini, G. Gantenbein, S. Illy, S. Jawla, J. Jin, S. Kern, P. Lavanchy, C. Liévin, B. Marlétaz, P. Marmillod, A. Perez, B. Piosczyk, I. Pagonakis, L. Porte, T. Rzesnickl, U. Siravo, M. Thumm, and M. Q. Tran, “First experimental results from the european union 2-MW coaxial cavity iter gyrotron prototype,” Fusion Sci. Technol., vol. 55, no. 2, pp. 204–212, Feb. 2009. [4] B. Piosczyk, G. Dammertz, O. Dumbrajs, O. Drumm, S. Illy, J. Jin, and M. Thumm, “A 2-MW, 170-GHz coaxial cavity gyrotron,” IEEE Trans. Plasma Sci., vol. 32, no. 2, pp. 413–417, Apr. 2004. [5] G. Nusinovich, M. Read, O. Dumbrajs, and K. Kreischer, “Theory of gyrotrons with coaxial resonators,” IEEE Trans. Electron Devices, vol. 41, no. 3, pp. 433–438, Mar. 1994. [6] S. Vlasov, L. Zagryadskaya, and I. Orlova, “Open coaxial resonators for gyrotrons,” Radio Eng. Electron. Phys., vol. 21, no. 7, pp. 1485–1492, 1976. [7] C. Iatrou, S. Kern, and A. Pavelyev, “Coaxial cavities with corrugated inner conductor for gyrotrons,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 1, pp. 56–64, Jan. 1996. [8] C. Iatrou, “Mode selective properties of coaxial gyrotron resonators,” IEEE Trans. Plasma Sci., vol. 24, no. 3, pp. 596–605, Jun. 1996. [9] B. Thomas, “Theoretical performance of prime-focus paraboloids using cylindrical hybrid-mode feeds,” Proc. Inst. Elect. Eng., vol. 118, no. 11, pp. 1539–1549, Nov. 1971. [10] T. Scharten, J. Nellen, and F. van den Bogaart, “Longitudinally slotted conical horn antenna with small flare angle,” Proc. Inst. Elect. Eng. —Microw., Opt., Antennas, vol. 128, no. 3, pt. H, pp. 117–123, Jun. 1981. [11] K. R. Chu and D. Dialetis, “Theory of harmonic gyrotron oscillator with slotted resonant structure,” Int. J. Infrared Millim. Waves, vol. 5, no. 1, pp. 37–56, Jan. 1984. [12] H. Li, F. Xu, and S. Liu, “Theory of harmonics gyrotron with multiconductors structure,” Int. J. Electron., vol. 65, no. 3, pp. 409–418, Sep. 1988. [13] H. Li and X. Li, “Analysis and calculation of an electron–cyclotron maser having inner and outer slotted structure,” Int. J. Electron., vol. 70, no. 1, pp. 213–219, Jan. 1991. [14] O. Dumbrajs and G. Zaginaylov, “Ohmic losses in coaxial gyrotron cavities with corrugated insert,” IEEE Trans. Plasma Sci., vol. 32, no. 3, pp. 861–866, Jun. 2004. [15] Z. C. Ioannidis, O. Dumbrajs, and I. G. Tigelis, “Eigenvalues and ohmic losses in coaxial gyrotron cavity,” IEEE Trans. Plasma Sci., vol. 34, no. 4, pp. 1516–1522, Aug. 2006. [16] Z. C. Ioannidis, G. P. Latsas, I. G. Tigelis, and O. Dumbrajs, “TM modes in coaxial cavities with inner surface corrugations,” IEEE Trans. Plasma Sci., vol. 36, no. 5, pp. 2613–2617, Oct. 2008. [17] Z. C. Ioannidis, K. A. Avramides, G. P. Latsas, and I. G. Tigelis, “Azimuthal mode coupling in coaxial waveguides and cavities with longitudinally corrugated insert,” IEEE Trans. Plasma Sci., vol. 39, no. 5, pp. 1213–1221, May 2011. [18] G. Zaginaylov and S. Iaremenko, “Improved method for efficient analysis and optimization of coaxial gyrotron cavity,” in 41st Eur. Microw. Conf., Oct. 2011, pp. 183–186. [19] N. A. Stathopoulos, “Calculation of nonlinear modes guided by stepindex fibers with finite cladding thickness,” Opt. Quantum Electron., vol. 36, pp. 367–381, 2004. [20] S. P. Savaidis and N. A. Stathopoulos, “Propagation characteristics of nonlinear optical fibers with complex refractive index. a transmission line model approach,” Opt. Commun., vol. 260, no. 2, pp. 427–433, Apr. 2006. [21] A. Grudiev, J.-Y. Raguin, and K. Schünemann, “Numerical study of mode competition in coaxial cavity gyrotrons with corrugated insert,” Int. J. Infrared Millim. Waves, vol. 24, no. 2, pp. 173–187, Feb. 2003. [22] A. Palevsky and G. Bekefi, “Microwave emission from pulsed, relativistic e-beam diodes. ii. the multiresonator magnetron,” Phys. Fluids, vol. 22, no. 5, pp. 986–996, 1979. [23] J.-Y. Raguin and K. Schunemann, “Rigorous analysis of slotted-circular coaxial waveguide used in some RF vacuum electronic devices,” in 22nd Int. Infrared Millim. Waves Conf. Dig., 1997, pp. 158–159.

2978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Sylianos P. Savaidis was born in Sparta, Greece, in 1967. He received the E.E. Diploma and Ph.D. degrees from the National Technical University of Athens, Athens, Greece, in 1991 and 1997, respectively. From 1997 to 2003, he was a Telecommunication Engineer within the wireless networks industry. Since 2004, he has been an Assistant Professor, and since 2009, an Associate Professor with the Electronics Department, Technological Educational Institute of Piraeus, Aigaleo, Greece. His current research interests include scattering and wave propagation in electromagnetic and optical applications.

Zisis C. Ioannidis was born in Didymoteicho, Greece, in 1981. He received the BSc. degree in physics, MSc. degree in electronics and radio engineering, and Ph.D. degree from the National and Kapodistrian University of Athens, Athens, Greece, in 2002, 2004, and 2008, respectively. In 2002, he joined the Physics Faculty, Microwave and Optics Applications Group, National and Kapodistrian University of Athens, as a Research Assis-

tant. From 2008 to 2009, he was occupied with national service. Since 2009, he has been a Postdoctoral Research Associate with the National and Kapodistrian University of Athens. His research interests include microwave theory and techniques, transmission lines, and high-power microwave generation. Dr. Ioannidis is a member of the Hellenic Physical Society.

Nikolaos A. Stathopoulos was born in Athens, Greece, in 1960. He received the E.E. Diploma and Ph.D. degrees from the National Technical University of Athens, Athens, Greece, in 1984 and 1995, respectively. For more than ten years, he was an Electronics Design Engineer and Research Engineer. Since 1999, he has been an Assistant Professor, since 2003, an Associate Professor, and since 2008, a Professor with the Electronics Department, Technological Educational Institute of Piraeus, Aigaleo, Greece. His current research interests include optical waveguides and fibres, nonlinear optics, and optical communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

2979

Efficient Analysis of Metallic and Dielectric Posts in Parallel-Plate Waveguide Structures Massimiliano Casaletti, Ronan Sauleau, Senior Member, IEEE, Mauro Ettorre, Member, IEEE, and Stefano Maci, Fellow, IEEE Abstract—A mode-matching method is proposed for the accurate and fast analysis of structures composed by metallic and dielectric posts in a parallel-plate waveguide environment. The incident and scattered fields on each post are expressed with a cylindrical mode expansion. After enforcing the appropriate boundary conditions, a set of matrix equations is derived. The corresponding solution are the coefficients of the field vector expansion. The method is validated with several examples found in the literature and compared with the results obtained with the commercial software Ansys HFSS. The proposed method can be used for a very fast electromagnetic analysis of substrate integrated waveguides and substrate integrated slab waveguides. Index Terms—Dielectric post, mode matching, substrate integrated slab waveguide (SISW), substrate integrated waveguide (SIW).

I. INTRODUCTION

S

UBSTRATE integrated waveguides (SIWs), also called post-wall waveguides, have been recently introduced to implement efficient waveguide-based structures [1], [2]. The basic idea relies on using arrays of metallic posts to realize waveguide channels in a dielectric substrate. The process is low-cost and suitable for standard printed circuit board (PCB) fabrication. SIW technology provides similar advantages as standard waveguides in terms of efficiency and high- factor with the possibility of integrating other transmission-line-based circuits on the same substrate. This allows sophisticated packaging and the integration of complex beam-forming networks and antennas on the same boards. Similarly, substrate integrated slab waveguides (SISWs) [3] adopt arrays of holes to synthesize slab waveguides in a planar substrate. In this case, the array of holes is used to obtain lower permittivity regions in the hosting slab. In this paper, we develop an efficient mode-matching formulation for the analysis of both SIW and SISW, namely, which Manuscript received March 19, 2012; revised June 25, 2012; accepted June 28, 2012. Date of publication August 14, 2012; date of current version September 27, 2012. This work was supported in part by the European Commission under the FP7/CARE Project, the European Commission and Britany Region (FEDER Social Fund for Brittany) under Project ANT_MOB, by ANR under Grant VERSO 2010/AMORCE, and the European Science Foundation (RNP NEWFOCUS). M. Casaletti, R. Sauleau, and M. Ettorre are with the Institut d’Electronique et de Télécommunications de Rennes (IETR), Unité Mixte de Recherche (UMR), Centre National de la Recherche Scientifique (CNRS) 6164, University of Rennes 1, Rennes 35042, France (e-mail: [email protected]; [email protected]; [email protected]). S. Maci is with the Department of Information Engineering, University of Siena, Siena 53100, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209449

allows the treatment of arbitrarily displaced dielectric/metallic posts and radiating slots on the plates of the hosting parallel plate waveguides (PPWs). Many passive and active SIW devices (power dividers, filters, etc.), e.g., [4] and [5], have been reported in the literature. Slot-based SIW antennas have been investigated (e.g., [2] and [6]). A SIW multibeam imaging dual-layer system has been reported in [7] and [8] for automotive radar applications at 24 and 77 GHz. Single-layer structures with integrated reflectors [9] have been also proposed for pattern shaping and imaging applications. Recently some authors have used dielectric pins for designing compact filters [10], low reflection waveguide corners [11], and broadband phase shifters [12]. The analysis and design of SIW and SISW structures can be carried out by various methods. Finite-difference or finite-element methods are often preferred to consider both dielectric and metallic vias. However, these techniques can be very time consuming and memory demanding for electrically large structures, as in [8] and [9]. A different approach has been proposed in [13] where SIW waveguides are replaced by equivalent metallic waveguides, and then conventional techniques for closed waveguides are used. Even if this approach is computationally efficient, it does not ensure the accuracy of the final results. In addition, quasi-optical beam-forming networks as in [7]–[9] cannot be analyzed. Recently, several authors have made use of a cylindrical mode expansion in order to study the simplified 2-D case [14]–[17] and the full 3-D case [18]–[25]. These mode expansions allow an efficient full-wave analysis of SIW structures with metallic posts. Therefore, there is a need in the development of fast and accurate solvers to analyze and later optimize electrically large SIW-based beam formers, SISW structures, and antennas containing both dielectric and metallic posts. This paper is organized as follows. The general solution scheme is presented in Section II. Section III introduces the dyadic Green’s function for a PPW expressed as a cylindrical vector wave (CVW) expansion. In Section IV, by enforcing boundary/continuity conditions at the pin surfaces, a set of linear equations is obtained, whose unknowns are the CVW field expansion coefficients transmitted/scattered by each post. Section V deals with the excitation of the structure; waveguide and coaxial-like feeds are considered. Finally, in Section VI, the method is validated by several examples found in literature and full-wave simulations. II. FORMULATION The problem under analysis is shown in Fig. 1(a). The PPW structure is filled with a uniform dielectric medium with

0018-9480/$31.00 © 2012 IEEE

2980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

The unknown scattered field coefficients and are derived by imposing the boundary conditions on the post surfaces. The efficient evaluation of these coefficients requires a suitable representation of the primary Green’s function in (2). This is studied in Sections III and IV. III. CVW EXPANSION OF DYADIC GREEN’S FUNCTION FOR PPWs

Fig. 1. Geometry of the problem. (a) Lateral view. (b) Top view.

constitutive parameters , and with metallic [perfect electric conducting (PEC)] or dielectric cylindrical posts. The top and bottom perfect conductive (PEC) plates of the PPW are placed at and . The top or bottom PPW plates can host apertures, described in terms of equivalent magnetic sources. The general case of multilayer structures can be studied by treating individually each layer and then coupling them by tangential magnetic field continuity in a method of moments (MoM)–Galerkin scheme [26]. Without losing generality, this paper addresses only the single-layer problem; the extension to multilayers will be the presented in future studies. The magnetic sources will be denoted by and will be assumed as forced; the (metallic or dielectric) posts are placed at , as shown in Fig. 1(b). The field inside the waveguide structure is the combination of the incident field due to and the field scattered by the posts (1) We use the dyadic Green’s function to represent the field launched by the source inside the PPW. Considering the source defined over a surface , the field is given by (2)

is the “primary” dyadic Green’s function, is the where angular frequency, is the observation point, and is the source position. Since all the posts have a cylindrical shape, a CVW expansion [27] is adopted here to represent both incident and scattered fields, as also presented in [18]–[24]. The magnetic field scattered by each post is thus expressed as a linear combination of transverse (with respect to ) magnetic and electric outgoing CVW satisfying the boundary conditions on the metallic plates [27]

Following the procedure introduced by Felsen and Marcuvitz [28], the primary dyadic Green’s function can be obtained in symmetric form with respect to source and observation positions from two scalar TM and TE potentials

(5) denote a gradient operator with respect to the where and observation and source coordinates, respectively, and represents the transverse nabla operator. The scalar functions introduced above are related to the scalar Green’s functions by the equations (6) where

and

are solution of the scalar wave equation (7)

subjected to TM or TE boundary condition on the PEC plate surface, respectively, (8) Expressing (7) in cylindrical coordinates and using the separation of variables technique yields

(9)

where and . Solving (9) using a transmission line radial equation method [28] and imposing (8), we obtain

(3) where

(4) and , , , is the -order Hankel function of the second kind, and and are the mode indices along the - and -direction, respectively.

(10)

CASALETTI et al.: EFFICIENT ANALYSIS OF METALLIC AND DIELECTRIC POSTS IN PPW STRUCTURES

2981

where , , and is the Kronecker’s delta function. Since we have in cylindrical coordinates, the TE/TM scalar functions can then be obtained dividing (10) by . After inserting the expression of and in (5), we obtain the final expression

Fig. 2. Graphical representation of the cylindrical wave vectors expansion of the scattered field by perfect conducting cylinders.

A second kind dyadic Green’s function (associated to the electric field) can be obtained from (11) as

(14) (11) where

which is easily derived from the help of the wave-vector prop, . erties [27]

are defined as IV. DETERMINATION OF THE COEFFICIENTS THE SCATTERED FIELD EXPANSION

OF

A. PEC Post

(12) , can be obtained from (12) by interchanging and with . We emphasize that the delta–Dirac term in (11) is not found in other formulations [18]–[20], and it is indeed present in [29]. For , the delta–Dirac term cancels the spurious singularity coming from the differentiation of the scalar functions, thus lowering the singularity of the reminder and helping the self-admittance evaluation. Using the summation theorem [30], (11) can be rewritten in a reference system centered on the source

To determine the coefficients of the scattered field expansion and , we impose that the tangential electric field must be zero along the surface of the generic th post, namely,

(15) where is the unit vector normal to the surface and is the radius of the post (Fig. 2). Any cylindrical wave of the incident and scattered field expansions (3), (13) can be referred to the center of the local reference system associated to the th post by using the summation theorem [30]

(16)

(13)

. In which is valid under the assumption (16), the angles , , and are depicted in Fig. 3. Since both scattered and incident field components are conformal to the coordinate system, (15) can be solved separately

2982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Graphical representation of the cylindrical wave-vector expansion of the transmitted and scattered fields by the dielectric cylinders. Fig. 3. Local reference systems for the posts used in the summation theorem.

For the TE case, we have for the TM and TE case. Using relations (2), (3), (13), and (16) in (15), yields for the TM case

(19) where

and

(17) From the orthogonality of the two sets , , (17) has to be satisfied by each individual harmonic along and along separately, thus yielding the following set of equations for the TM case: (18) where

B. Dielectric Posts The generic geometry for dielectric posts is represented in Fig. 4. The transmitted field can be also expressed as a CVW expansion

and

(20) where a CVW incoming wave formulation has been selected [27]

(21) and , , and the constitutive parameters of the th cylinder.

,

are

CASALETTI et al.: EFFICIENT ANALYSIS OF METALLIC AND DIELECTRIC POSTS IN PPW STRUCTURES

The electric field associated to the expansions (4) and (20) are obtained, respectively, as

(22)

and

In an analogous way, using (14), (16), and (22) into (24) leads to

In order to determinate the unknown expansion coefficients and , the continuity of the tangential electric and magnetic fields is imposed along the surface of the th cylinder, namely, (23)

2983

(27) where

(24) Using (2), (13), (16), and (20) in (23) in association with the orthogonally properties of sine, cosine, and exponential functions leads to the two following equation systems:

and

(25) where

and

and (28) where

and

(26)

The formulation introduced above can be also used for the field scattered by metallic posts with losses. In this case, the relative permittivity is (29)

where where

is the finite conductivity of the metal.

2984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

C. Matrix Notation and Determination of the Expansion Coefficients Assuming that the structure under analysis is composed by metallic posts and dielectric posts, the total number of posts is given by . From a practical point of view, the modal expansion (3) has to be truncated to a finite number of terms. Let assume to use and azimuthally and vertical modes, respectively. A practical rule for the choice of and will be presented in Section VI. For each vertical mode , it is possible to cast (17), (26), and (27) and (19), (25), and (28) into the matrix form

(30) is the post interaction matrix of dimen, and are the unknown coefficient and excitation vector, respectively. The unknown field coefficients are then found by solving matrix equations as (30). The post interaction matrices for nonpropagative modes are, in general, sparse. An iterative method then has to be used for solving (30). The post interaction matrix can be used to evaluate the resonant frequencies of arbitrary SIW structures using the method proposed in [31]. where sions

Fig. 5. Coaxial port geometry.

Fig. 6. Rectangular waveguide port representation. (a) Top view of the waveguide-port geometry. (b) Exact equivalent problem. (c) Approximated equivalent problem. The black circles are metallic vias.

Noticing that and (32), shown at the bottom of this page, it follows for the excitation vectors:

(33)

V. MODELING OF THE FEED SOURCES Two standard sources have been considered: a coaxial excitation and a rectangular waveguide port.

Consequently, only TM modes are excited by the coaxial port. The admittance matrix is then defined as

A. Coaxial Excitation A coaxial port with radii and for the inner and outer conductors, respectively, is placed at on a PPW metallic plate (Fig. 5). Using the equivalence theorem, the port is modeled as a magnetic frill (31) where

is the voltage between the two conductors.

(34) The analytical form for (34) is provided in Appendix I. B. Rectangular Waveguide Port The approximation presented in [20] is used to extend to waveguide ports the method presented in this paper. Using the equivalence principle, the rectangular waveguide port shown in Fig. 6(a) is equivalent to a distribution of magnetic currents radiating over an infinite vertical conducting plate [see Fig. 6(b)].

if

if otherwise

(32)

CASALETTI et al.: EFFICIENT ANALYSIS OF METALLIC AND DIELECTRIC POSTS IN PPW STRUCTURES

2985

The field outside the pin-made waveguide channel can be neglected in well-designed SIW circuits. Therefore, the infinite conducting plate can be then substituted with a finite one with the same size of the waveguide. The plate can be now modeled by a narrow spaced array of metallic posts [see Fig. 6(c)]. The field in the waveguide is expressed as a modal expansion; each mode on the port is equivalent to a magnetic current distribution (35) is the electric field modal vector [32], and is the where unit normal vector to the waveguide port. It is straightforward to show that, using a waveguide mode excitation, we have

(36)

Fig. 7. Geometry of the low-reflection right-angled corner [11]. , mm, mm, mm, mm, mm, and mm.

, mm,

The admittance matrix is obtained as

(37) and are the magnetic field modal vectors where and the wave impedances, respectively [32]. VI. NUMERICAL RESULTS We have assessed the efficiency and accuracy of the proposed method by analyzing three structures recently introduced in the literature. These benchmark test examples have been selected since they allow drawing specific conclusions. The proposed algorithm has been implemented in a MATLAB code. The first example is a SIW low-reflection right-angled corner with one air hole [11]. The structure is excited by two coaxial ports, as shown in Fig. 7, where all the dimensions and the substrate properties are labeled. The black circles in Fig. 7 are metallic vias, whereas the grey one is the air hole. In order to derive a practical rule to choose the needed number of azimuthally and vertical modes, we introduce the average percentage incremental error defined as (38)

Fig. 8. Percentage incremental error as a function of: (a) . 35 GHz calculated using

and (b)

at

defined on the post surface with the same mode azimuthally dependence. Since the degrees of freedom for the induced current on the post are related to the ratio between the post radius and the considered wavelength, a good choice for is given by Floor where

Floor

rounds ,

(39) to

the

nearest

integer, , and

. where is the number of frequency points around the freare the scattering parameters obquency of interest, and tained using and azimuthally and vertical modes, respectively. Fig. 8(a) represents as a function of for different values of , at 35 GHz using . As can be seen after a certain values of , the expansion (3) can be safely truncated. A rule of thumb to find the optimal truncation values can be obtained from physical considerations. Each cylindrical mode in (3) can be considered as radiated by an equivalent current

as a function of for the optimal Fig. 8(b) shows value of . The index for the modes along the vertical direction is physically related to the radial wavenumber , thus a good practical choice for is given by (40) where is the number of propagating modes in the PPW. It is worth noting that in the special case where the structure is excited exclusively by waveguide ports, only the modes

2986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 9. Comparison between the magnitudes of the -parameters for the lowreflection right-angled corner obtained with the proposed method (blue line in online version) and HFSS (red dots in online version). The -parameter for the corner structure without the air hole is also reported (black dashed line).

Fig. 11. Comparison between the magnitudes of the SISW waveguide represented in Fig. 10.

Fig. 12. Phase shifter with 27 air holes. mm, mm, mm,

Fig. 10. SISW realized with three different holes size [3]. , mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm.

-parameters for the

, mm, and

, mm.

, mm, mm,

with can be considered , as expected from (36). The -parameters obtained using (39) and (40) are plotted in Fig. 9. The results for the corner structure without the air hole are also reported. An excellent agreement is obtained with HFSS. The second example is a SISW waveguide using three different combinations of hole sizes [3], as shown in Fig. 10. Also in this figure, the black circles are metallic vias, whereas the white ones are air holes. This complex geometry represents a good benchmark for both the proposed analysis method and the provided rule of thumb (39). Here, the mode propagation is assumed for all the waveguide ports of the analyzed structures. The obtained results using a total of five cylindrical modes are validated in Fig. 11, thereby demonstrating our formulation with dielectric posts.

Fig. 13. -parameters for a phase shifter (Fig. 12) with 27 air holes. In the inset, comparison between the simulated phase shifts obtained with the proposed method and numerical results obtained with HFSS.

The third example (Fig. 12) is a phase shifter with 27 air holes presented in [12]. The air holes are the white circles, whereas the black ones are metallic vias. This structure represents a good benchmark for the phase of the -parameters. The simulation has been carried out using five modes. The -parameters are shown in Fig. 13, where in the inset a comparison between the obtained phase shifts is presented. We report in Tables I and II the CPU time and used memory for the considered cases and compare them to those of HFSS. The data have been generated using a PC with a 2.83-GHz Intel Xeon E5440 CPU. These results demonstrate that the proposed

CASALETTI et al.: EFFICIENT ANALYSIS OF METALLIC AND DIELECTRIC POSTS IN PPW STRUCTURES

TABLE I CPU SIMULATION TIME ON A XEON E5540 2.83 GHz WITH 16-GB RAM

at

2987

While the cross-admittance between ports and , respectively, is found as

and

centered

TABLE II MEMORY USED ON A XEON E5540 2.83 GHz WITH 16-GB RAM

algorithm is very efficient in terms of both computational and memory requirements. (A.2)

VII. CONCLUSION A mode-matching method has been proposed for the accurate and fast analysis of structures composed by metallic and dielectric posts inside a PPW. The field scattered by each post is expressed as a cylindrical mode expansion. The coefficients of the expansion are the solution of a set of matrix equations obtained by imposing the opportune boundary on the considered structure. Numerical results relevant to SIW and SISW making use of dielectric posts have been presented and validated by full-wave simulations with commercial software (HFSS). An excellent agreement is obtained for all cases at a reduced computational time and memory occupation. APPENDIX The self-admittance of a coaxial port centered at tained as

is ob-

(A.1) where

.

ACKNOWLEDGMENT The authors wish to acknowledge Prof. M. Albani, University of Siena, Siena, Italy, for useful suggestions. REFERENCES [1] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [2] S. Park, Y. Okajima, J. Hirokawa, and M. Ando, “A slotted post-wall waveguide array with interdigital structure for 45 linear and dual polarization,” IEEE Trans. Antennas Propag., vol. 53, no. 9, pp. 2865–2871, Sep. 2005. [3] D. Deslandes, M. Bozzi, P. Arcioni, and K. Wu, “Substrate integrated slab waveguide (SISW) for wideband microwave applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 1103–1106. [4] M. Bozzi, L. Perregrini, K. Wu, and P. Arcioni, “Current and future research trends in substrate integrated waveguide technology,” Radio Eng., vol. 18, no. 2, pp. 201–209, Jun. 2009. -band substrate integrated [5] C. Zhong, J. Xu, Z. Yu, and Y. Zhu, “ waveguide gunn oscillator,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 461–463, Jul. 2008. [6] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [7] M. Ettorre and R. Sauleau, “Antenne multicouche à plans parallèles de type pillbox et système d’antennes correspondants,” French Patent FR0952158, Apr. 2, 2009. [8] M. Ettorre, R. Sauleau, and L. Le Coq, “Multi-beam multi-layer leaky wave SIW pillbox antenna for millimeter-wave applications,” IEEE Trans. Antennas Propag., vol. 59, no. 4, pp. 1093–1100, Apr. 2011. [9] M. Ettorre, A. Neto, G. Gerini, and S. Maci, “Leaky-wave slot array antenna fed by a dual reflector system,” IEEE Trans. Antennas Propag., vol. 56, no. 10, pp. 3143–3149, Oct. 2008. [10] L. S. Wu, L. Zhou, X. Zhou, and W. Y. Yin, “Bandpass filter using substrate integrated waveguide cavity loaded with dielectric rod,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 491–493, Aug. 2009. [11] M. Kishihara, K. Yamane, and I. Ohta, “Analysis of post-wall waveguide by h-plane planar circuit approach,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, pp. 1931–1934.

2988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[12] I. Boudreau, K. Wu, and D. Deslandes, “Broadband phase shifter using air holes in substrate integrated waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2001, pp. 1–4. [13] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [14] T. J. Coenen, D. J. Bekers, J. L. Tauritz, and F. E. van Vliet, “Design of post-wall waveguides with metal and dielectric posts,” in Eur. Microw. Conf., Munich, Germany, 2007, pp. 20–23. [15] B. Wu, X. Gu, L. Tsang, and M. B. Ritter, “Electromagnetic modelling of massively coupled through silicon vias for 3-D interconnects,” Microw. Opt. Technol. Lett., vol. 53, no. 6, pp. 1204–1206, Jun. 2011. [16] E. D. Caballero, H. Esteban, A. Belenguer, and V. Boria, “Efficient analysis of substrate integrated waveguide devices using hybrid mode matching between cylindrical and guided modes,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 232–243, Feb. 2012. [17] A. Belenguer, H. Esteban, E. Diaz, C. Bachiller, J. Cascon, and V. E. Boria, “Hybrid technique plus fast frequency sweep for the efficient and accurate analysis of substrate integrated waveguide devices,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 552–560, Mar. 2011. [18] H. Chen, Q. Li, C. C. Huang, and V. Jandhyala, “Analysis of a large number of vias and differential signaling in multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 818–829, Mar. 2003. [19] L. Tsang and D. Miller, “Coupling of vias in electronic packaging and printed circuit board structures with finite ground plane,” IEEE Trans. Adv. Packag., vol. 26, no. 4, pp. 375–384, Nov. 2003. [20] E. Arnieri and G. Amendola, “Analysis of substrate integrated waveguide structures based on the parallel-plate waveguide Green’s function,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1615–1623, Jul. 2008. [21] B. Wu and L. Tsang, “Modeling multiple vias with arbitrary shape of antipads and pads in high speed interconnect circuits,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 12–14, Jan. 2009. [22] B. Wu and L. Tsang, “Full-wave modeling of multiple vias using differential signaling and shared antipad in multilayered high speed vertical interconnects,” Progr. Electromagn. Res., vol. 97, pp. 129–139, 2009. [23] H. Zairi, H. Baudrand, A. Gharsallah, and A. H. Gharbi, “An efficient iterative method for analysis of a substrate integrated waveguide structures,” Microw. Opt. Technol. Lett., vol. 52, no. 1, pp. 45–48, Jan. 2010. [24] B. Wu and L. Tsang, “Signal integrity of package and printed circuit board with multiple vias in substrate of layered dielectrics,” IEEE Trans. Adv. Packag., vol. 33, no. 2, pp. 510–516, May 2010. [25] E. Arnieri and G. Amendola, “Method of moments analysis of slotted substrate integrated waveguide arrays,” IEEE Trans. Antennas Propag., vol. 59, no. 4, pp. 1148–1154, Apr. 2011. [26] R. F. Harrington, Field Computation by Moments Method. New York: IEEE Press, 1992. [27] W. C. Chew, Waves and Field in Inhomogeneous Media. Piscataway, NJ: IEEE Press, 1995. [28] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Piscataway, NJ: IEEE Press, 1994. [29] M. Albani, G. La Cono, R. Gardelli, and A. Freni, “An efficient fullwave method of moments analysis for RLSA antennas,” IEEE Trans. Antennas Propag., vol. 54, no. 8, pp. 2326–2336, Aug. 2006. [30] I. S. Gradshteyn and I. M. Ryzhik, Tables of Integrals, Series and Products, 5th ed. New York: Academic, 1994, p. 993. [31] G. Angiulli, E. Arnieri, D. De Carlo, and G. Amendola, “Fast nonlinear eigenvalues analysis of arbitrarily shaped substrate integrated waveguide (SIW) resonators,” IEEE Trans. Magn., vol. 45, no. 3, pp. 1412–1415, Mar. 2009. [32] N. Marcuvitz, Waveguide Handbook, ser. Electromagn. Waves. Piscataway, NJ: IEEE Press, 1993.

Massimiliano Casaletti was born in Siena, Italy, in 1975. He received the Laurea degree in telecommunications engineering and Ph.D. degree in information engineering from the University of Siena, Italy, in 2003 and 2007, respectively. From September 2003 to October 2005, he was with the research center MOTHESIM, Les Plessis Robinson, Paris, France, working under the European Union (EU) Grant RTN-AMPER (RTN: Research Training Network, AMPER: Application of Multiparameter Polarimetry). From November

2006 until October 2010, he has been a Research Associate with the University of Siena. He is currently a Postdoctoral Researcher with the Institut d’Electronique et des Télécommunications de Rennes (IETR), Unité Mixte de Recherche (UMR), Centre National de la Recherche Scientifique (CNRS) 6164, University of Rennes 1, Rennes, France. His research interests include electromagnetic-bandgap structures, polarimetric radar, rough surfaces, numerical methods for electromagnetic scattering, and beam methods. Dr. Casaletti was a corecipient of the Best Poster Paper Award of the 3rd European Conference on Antennas and Propagation (EuCAP-2009), Berlin, Germany. He was the recipient of an Honorable Mention for Antenna Theory of EuCAP-2010, Barcelona, Spain, and the Best Paper Award on Antenna Theory of EuCAP-2011, Rome, Italy.

Ronan Sauleau (M’04–SM’06) graduated in electrical engineering and radio communications from the Institut National des Sciences Appliquées, Rennes, France, in 1995. He received the Agrégation degree from the Ecole Normale Supérieure de Cachan, Cachan, France, in 1996, and the Doctoral degree in signal processing and telecommunications and the Habilitation à Diriger des Recherches degree from the University of Rennes 1, Rennes, France, in 1999 and 2005, respectively. From September 2000 to November 2005 and from December 2005 to October 2009, he was an Assistant Professor and Associate Professor, respectively, with the University of Rennes 1. Since November 2009, he has been a Full Professor with the University of Rennes 1. In 2010 and 2011, he shared the responsibility of the research activities on antennas at IETR. He is currently co-responsible for the research Department Antenna and Microwave Devices, IETR. He is also the Deputy Director of IETR. He holds eight patents. He has authored or coauthored over 115 journal papers and 265 publications in international conferences and workshops. He has been involved in over 30 research projects at the national and European levels. He has co-supervised 14 post-doctoral fellows, 18 Ph.D. students, and 40 master students. His current research fields are numerical modeling [mainly finite difference time domain (FDTD)], millimeter-wave printed and reconfigurable [microelectromechanical systems (MEMS)] antennas, SIW antennas, lens-based focusing devices, periodic and nonperiodic structures (electromagnetic-bandgap materials, metamaterials, reflectarrays, and transmitarrays), and biological effects of millimeter waves. Prof. Sauleau was a guest editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPOGATION’s “Special Issue on Antennas and Propagation at Millimeter and Submillimeter Waves.” In September 2007, he was elevated to junior member of the Institut Universitaire de France. He was the recipient of the 2004 ISAP Conference Young Researcher Scientist Fellowship (Japan) and the first Young Researcher Prize in Brittany, France, in 2001 for his research work on gain-enhanced Fabry–Perot antennas. He was also the recipient of the Bronze Medal of the CNRS in 2008. He was the corecipient of several international conference awards along with some of his students (International School of BioEM 2005, BEMS’2006, MRRS’2008, E-MRS’2011, BEMS’2011, IMS’2012, Antem’2012).

Mauro Ettorre (M’08) was born in Tricarico, Matera, Italy, in 1979. He received the laurea degree (summa cum laude) in telecommunication engineering and Ph.D. degree in electromagnetics from the University of Siena, Siena, Italy, in 2004 and 2008, respectively. During his Master’s degree studies, he spent five months with the Technical University of Denmark (DTU), Lyngby, Denmark. A portion of his Ph.D. has been developed at the Defence, Security and Safety Institute of the Netherlands Organization for Applied Scientific Research (TNO), The Hague, The Netherlands, where afterwards he was an Antenna Researcher. From 2008 to 2010, he was a Postdoctoral Fellow with the Institut d’Electronique et de Télécommunications de Rennes (IETR), Université de Rennes 1, Rennes, France. From 2010 to 2011, he was a Visiting Scholar with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, University of Michigan at Ann Arbor. Since October 2010, he has been a permanent Researcher with the Institut d’Electronique et de Télécommunications de Rennes (IETR), Unité Mixte de Recherche (UMR), Centre National de la Recherche Scientifique (CNRS) 6164, University of

CASALETTI et al.: EFFICIENT ANALYSIS OF METALLIC AND DIELECTRIC POSTS IN PPW STRUCTURES

Rennes 1. His research interests include the analysis and design of leaky-wave antennas, periodic structures, compact planar antennas, and wireless power transmission systems. Dr. Ettorre was the recipient of the Young Antenna Engineer Prize of the 30th European Space Agency (ESA) Antenna Workshop 2008, Noordwijk, The Netherlands.

Stefano Maci (M’92–SM’99–F’04) is currently a Professor with the University of Siena (UNISI), Siena, Italy, where he is responsible for a group of 15 researchers. He is the Director of the UNISI Ph.D. School of Information Engineering and Science, which currently includes approximately 60 Ph.D. students. He has authored or coauthored ten book chapters, 110 papers published in international journals, (among which, 76 are IEEE publications), and approximately 300 papers in proceedings of international conferences. His h index is 24, with a

2989

record of over 2000 citations (source: Google Scholar). His research interests include high-frequency and beam representation methods, computational electromagnetics, large phased arrays, planar antennas, reflector antennas and feeds, metamaterials and metasurfaces. Prof. Maci is currently Director of the European Satellitel Operators Association (ESoA). He is a member of the Board of Directors of the European Association on Antennas and Propagation (EuRAAP), the Technical Advisory Board of the URSI Commission B, the IEEE Antennas and Propagation Society (AP-S) Administrative Committee (AdCom), the Governing Board of the European Science Foundation (ESF) Project “NewFocus,” the Governing Board of the FP7 coordination action “CARE” (Coordinating the Antenna Research in Europe), the Award Committee of the IEEE AP-S, the Antennas and Propagation Executive Board of the Institution of Engineering and Technology (IET), U.K., and the Focus Group on METAMATERIALS of the Finmeccanica project “Mind-Share.”

2990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Subgradient Techniques for Passivity Enforcement of Linear Device and Interconnect Macromodels Giuseppe C. Calafiore, Senior Member, IEEE, Alessandro Chinea, and Stefano Grivet-Talocia, Senior Member, IEEE

Abstract—This paper presents a class of nonsmooth convex optimization methods for the passivity enforcement of reduced-order macromodels of electrical interconnects, packages, and linear passive devices. Model passivity can be lost during model extraction or identification from numerical field solutions or direct measurements. Nonpassive models may cause instabilities in transient system-level simulation, therefore a suitable postprocessing is necessary in order to eliminate any passivity violations. Different from leading numerical schemes on the subject, passivity enforcement is formulated here as a direct frequency-domain norm minimization through perturbation of the model state-space parameters. Since the dependence of this norm on the parameters is nonsmooth, but continuous and convex, we resort to the use of subdifferentials and subgradients, which are used to devise two different algorithms. We provide a theoretical proof of the global optimality for the solution computed via both schemes. Numerical results confirm that these algorithms achieve the global optimum in a finite number of iterations within a prescribed accuracy level. Index Terms—Convex optimization, linear macromodeling, nonsmooth optimization, passivity, subgradient techniques.

I. INTRODUCTION

C

OMPUTER-AIDED design flows heavily rely on models for all those parts of a system that influence its performance. In common situations, such models are available through some identification process from input–output responses, which are available by direct measurements or by numerical simulations. Depending on the structure of the model, different identification strategies can be pursued. For linear structures, usually characterized by a state-space form [1], several well-consolidated time- and frequency-domain identification methods exist [2], [3]. Most prominent methods are based on rational approximation via iterative weighted least squares (vector fitting) [4]–[6]. The main subject of this study is passivity enforcement on the identified models [7], [8]. A given physical structure is passive if unable to generate energy. Examples of passive structures are the electrical interconnect networks that provide signal and Manuscript received December 22, 2011; accepted July 15, 2012. Date of publication September 04, 2012; date of current version September 27, 2012. This work was supported in part by the Italian Ministry of University (MIUR) under a under a PRIN Grant 2008W5P2K. G. C. Calafiore is with the Department of Control and Computer Engineering, Politecnico di Torino, Turin 10129, Italy (e-mail: [email protected]). A. Chinea was with the Department of Electronics, Politecnico di Torino, Turin 10129, Italy. He is now with IdemWorks s.r.l., Turin, 10129, Italy (e-mail: [email protected]). S. Grivet-Talocia is with the Department of Electronics, Politecnico di Torino, Turin 10129, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2211610

power distribution in any electrical and electronic system [9], [10]. Preserving passivity also in the extracted models is very important since numerical (transient) simulation of nonpassive models may lead to instability and fail [11], [12], even if the terminations or loads are passive. Model passivity may be lost due to numerical approximations during the identification stage, unless suitable passivity constraints are explicitly accounted for. This latter approach, however, requires very high computational costs both in terms of memory and CPU time, even for moderately complex models. Therefore, the most common approach in the literature is a twostep flow that first identifies an initial model, and then enforces passivity through a suitable perturbation stage. This work provides a new approach for this second perturbation step. Significant efforts have been devoted to the development of robust and efficient passivity check and enforcement methods. The most notable techniques can be classified in three groups. Direct methods enforce passivity through positive real or bounded real lemma [13] constraints [14]–[16]. The main advantage is the formulation of passivity enforcement as a convex optimization problem based on linear matrix inequalities (LMIs). This problem admits a unique global solution for which reliable optimization methods exist [17]. The main drawback of these methods, however, is the excessive computational cost, due to the introduction in the optimization problem of a large slack Lyapunov matrix variable, which prevents a good scalability to complex models characterized by a large dynamical order and/or number of inputs and outputs. A second class of methods is based on Hamiltonian eigenvalue extraction and perturbation [12], [18]–[24]. It can be shown that a model is not passive if and only if some associated Hamiltonian matrix has purely imaginary eigenvalues. Finding and perturbing such eigenvalues to move off the imaginary axis has been quite successful [12], [19], [24]. The main drawback of this technique is a nonconvex formulation, which does not guarantee convergence. The last class of methods is based on iterative perturbation of the frequency-dependent energy gain of the model [20], [21], [25], [26]. The corresponding schemes are based on the solution of suitably constrained linear or quadratic programs at each iteration. Such “local” problems, however, are only approximated and do not guarantee that the global optimum is found. Variants of the above schemes have been presented in [27]–[32]. A comprehensive comparison of main techniques is available in [33]. This paper presents a new approach to passivity enforcement. The passivity constraint is formulated as a unit bound on the norm of the model, and this constraint is tackled directly in the frequency domain, thus avoiding to resort to the bounded real lemma, which is the main source of difficulties in the usual

0018-9480/$31.00 © 2012 IEEE

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

LMI formulation, due to introduction of the large Lyapunov matrix. A closer look at the dependence of the norm on the model parameters in the frequency domain reveals a convex continuous, but nonsmooth behavior. Therefore, standard descent methods based on gradients and derivatives are ruled out since such quantities may not be defined everywhere in the parameter space. Therefore, we adopt a generalization of such methods based on subdifferentials and subgradients, which exist also in case of nondifferentiabile, but convex forms. A complete characterization of the norm subgradients with respect to model parameters is derived and used to construct two schemes for passivity enforcement. These schemes provide a convex optimization framework and are thus guaranteed to attain the global optimum in a finite number of steps and within a prescribed tolerance. This paper is organized as follows. Section II states the main problem. Section III presents preliminaries and background material. Section IV introduces a characterization for the subdifferential of the norm. Sections V and VI present a projected subgradient algorithm and an alternate subgradient algorithm, respectively, for passivity enforcement. Numerical results are presented and discussed in Section VII.

2991

where denotes the maximum singular value, and where the supremum of a set represents the smallest real number that is greater than or equal to every number in . In case (2) does not hold, we want to perturb the state-space matrices such that the resulting perturbed system is passive, under some minimal perturbation condition. As typical in the extensive literature on the subject (see [33] for an overview), we choose to perturb only the state-space matrix , which usually stores the residues of a partial fraction expansion of . Matrix is preserved in order to maintain the system poles, and matrix does not need perturbation since it provides a static input-to-state map. Matrix , which corresponds to the highfrequency response, is assumed to fulfill the condition , which is necessary for passivity. This condition is easy to enforce during the model identification stage. We define the perturbed system as (3) where the perturbation term is unknown. Supposing that the nominal system is not passive, our goal is to find the minimal perturbation that renders passive the perturbed system. Therefore, we need to solve the optimization problem (4)

II. PROBLEM STATEMENT We consider a nominal state-space macromodel characterized through its transfer matrix (1) where

is the Laplace variable with state-space matrices . The first argument of , which is set to in (1), will be used in the following to parameterize a perturbation of the transfer matrix. We suppose that the macromodel (1) is available through some identification or approximation process. A very common scenario in the microwave area is the availability of frequency samples of the scattering matrix for some linear device such as a filter or an electrical interconnect, coming from direct measurement or from a full-wave electromagnetic field simulation. Common rational approximation schemes such as vector fitting [4]–[6] can be applied to these samples in order to find the state-space macromodel (1) with minimal deviation from the raw data. In a least squares formulation, this amounts to solving

where the minimal perturbation condition is expressed without loss of generality in terms of the Frobenius norm. Other norms, including frequency-dependent and weighted norms, can be used as well [29], [30]. A more abstract formulation of (4) can be obtained by collecting all decision variables in a vector . Operator stacks in a single column all elements of its matrix argument. The reconstruction of , whose size is defined by the context, is obtained using the “inverse” operator . The optimization problem (4) can thus be restated in terms of the euclidean norm (5) Setting now

and defining

as the maximum singular value of the perturbed transfer matrix at frequency , we have the following characterization of its norm: (6)

for unknown matrices . This problem is well addressed in the literature, so we consider the nominal macromodel (1) as our starting point. System (1) is assumed to be asymptotically stable, and the state-space realization is assumed to be minimal. A stable system (1) is passive if and only if (2)

The above definitions lead the following two complementary formulations of (4). • The minimal perturbation on that is able to achieve system passivity according to (4) is obtained by solving the following optimization problem: (7) This problem admits a global optimum are convex and the problem is feasible.

if both

and

2992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

• A second alternative formulation is based on a predefined bound on the perturbation amount. We define a set

including all parameter configurations defining perturbed models that differ from the nominal system less than . Among all such models, we seek the one with minimal norm by solving problem (8) which admits a global optimum if is convex and is a compact nonempty convex set. We shall show in Section V-B how a simple bisection loop on achieves macromodel passivity with the least possible perturbation, thus providing a solution to our original problem (4). Since convexity plays a crucial role in the following derivations and in the global solvability of (7) and (8), we briefly review main definitions and concepts in Section III. III. PRELIMINARIES We next review some fundamental facts on convexity, subgradients, and standard subgradient-based iterative minimization algorithms for nonsmooth (i.e., nondifferentiable) convex optimization. This material is quite standard, but needs be synthesized for the purposes of our specific applications; relevant references include [34]–[37].

Fig. 1. Nondifferentiable convex function. The shaded region represents the set of all lines defined in (9). The subdifferential of at the two points and is the interval defined by the slopes of these lines.

If is convex and differentiable at , then is the unique subgradient. However, subgradients exist also at points where is nondifferentiable. The set is a subgradient of

at

is called the subdifferential of at . The set is always closed and convex; if is convex then, for any , is also nonempty and bounded. Fig. 1 provides a graphical illustration for function

A. Convexity A set

is convex if for any , we have

and for any

with if

The convex hull of a set , denoted as

, is the set

if The two points where is nondifferentiable are and , whose subdifferentials can be readily computed as and , respectively.

and it represents the smallest convex set containing . A function is convex if its domain is a convex set and if for any in the domain and for any with , we have

C. Example It turns out that the norm defined in (6) is convex, but nonsmooth as a function of the perturbation parameters . We illustrate this fact through a simple example. Consider the transfer function

In particular, it follows from the triangle inequality that any norm is convex, therefore both in (5) and in (6) are convex functions. B. Gradients and Subgradients is a subgradient of a convex function A vector , if for all in the domain of , it holds that

at (9)

with

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

2993

Direction is called a descent direction for at , if . The meaning is indeed intuitive: if one moves away from along a descent direction , then locally decreases. If is differentiable at , then it is immediate to verify that is a descent direction (actually, it is the steepest descent direction), and this fact is exploited in the well-known standard gradient descent algorithm for minimizing , where the solution is found by iteratively applying an update rule of the following type Fig. 2. Nondifferentiability of

-norm in case of multiple peaks.

Since is a diagonal matrix, the singular values coincide with the magnitudes of and ,

where is a suitable stepsize. Clearly, such a minimization scheme cannot be adopted as-is if is nonsmooth. The idea in subgradient algorithms is to use a subgradient instead of the gradient in the update step, thus obtaining an update rule of the type

where

(12)

A straightforward computation gives the locations of the maxima of the singular values

therefore, the

-norm can be evaluated as

The -norm, as function of , is depicted in the right panel of Fig. 2, while the left panel reports the plot of the two singular values for three different values of . In particular, when , that is when the parameter is

where . It is important to remark that this subgradient step does not in general decrease the objective value. This is due to the fact that a negative subgradient need not be a descent direction for (contrary to what happens in the smooth case, where the negative gradient is always the steepest descent direction). If desired, however, the method can be modified so that the subgradient step in (12) is indeed a descent step. In order to do this, we need to select an appropriate subgradient in the subdifferential. Indeed, if we have available the whole subdifferential of , we can search this set for a subgradient that is also a descent direction. To this end, it suffices to minimize over all directions, and check if the minimum is negative

using saddle point theorem min is achieved for the two maxima are equal, and the supremum over is attained at two different frequency points. As shown in Fig. 2, for , the is nondifferentiable. This example confirms that this case needs particular attention and motivates the introduction of subgradients and subdifferentials to be derived in Section IV.

For additional details and proofs, see [38]. Therefore, we may solve the convex optimization problem

D. Subgradients and Descent Directions

in order to find a subgradient, which is also a descent direction.

Let be a convex function. The directional derivative of in direction is defined as

at IV. SUBDIFFERENTIAL OF

(10) If is differentiable at , then nondifferentiable at , then

. If

(13)

is (11)

-NORM

In this section, we illustrate how to compute the subdifferential and a subgradient for the norm. To this end, we first state preliminary results on subgradients of functions defined as the supremum of parameterized functions. Let us thus consider a function defined, as in (6), as the supremum over a possibly infinite family of functions

2994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

). Finally, in order to make the notation more compact, we define (16) The top and bottom left panels in Fig. 3 provide a graphical illustration for the cases and , respectively. In the simplest case with , the function is differentiable and the gradient can be easily derived. Let us denote the maximum singular value as a function of design parameters as . If we apply a small perturbation , we obtain the following first-order expansion of transfer function: Fig. 3. Frequency-dependent trajectory of the singular values of a transfer norm. Four possible cases are depicted, corresponding function with unit to a largest singular value with unit and higher multiplicity (top and bottom rows) at single and multiple frequencies (left and right panels).

where

is continuous for all

A corresponding perturbation will be induced in the maximum singular value as

In other words, the subdifferential of at is the convex hull of the union of the subdifferentials of the functions that attain the supremum. If the supremum is attained at a unique , then the above statement reduces to

Standard results on eigenvalue perturbation can be applied in order to derive a first-order approximation of (see [41])

If is compact and the map , then [39]

(17) Let us now recall the definition of function

in (6) (14)

where

denotes the Kronecker product [42] and extracts where the real part. Expression (17) can be restated in terms of the vectorized decision variables as

where ential of gradient

We shall next derive explicit expressions for the subdifferential of under different cases. Some of the concepts presented next are adapted from [40] (see also the references therein), where the subgradient of is characterized for any general smooth operator . A. Simple Singular Value at a Single Frequency We start by assuming that the supremum over in (14) is attained at a single frequency point , and we will release this assumption later. Let

. This result implies that the subdifferhas only one element, which corresponds to the

(18) B. Simple Singular Value at Multiple Frequencies We now consider the more general case of the supremum in (14) being attained at more than one frequency, as depicted in the top right panel of Fig. 3. Let us define as the set of frequencies where

(15) be the singular value decomposition of the transfer function evaluated at frequency . Further, let be the dimension of the eigenspace of associated with the largest eigenvalue, and let , be the first columns of when and , respectively (we use the vector notation ,

As a generalization to (15), we denote the singular value decomposition of the transfer function at each frequency point as (19)

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

Let

be

the

dimension of the eigenspace of associated with its largest eigen, be the first columns of and value, and let , respectively. When the largest singular values have unit multiplicity with , the subdifferential can be computed following Section IV as the convex hull of the individual vectors computed as in (18) at each individual frequency . The result is

2995

E. Descent Direction in the Case of Simple Eigenvalues The most prominent case (i.e., the one that occurs in our practical application) is that either the norm is attained at a single frequency, or it is attained at multiple frequencies, but in both situations the maximum eigenvalue happens to be simple. It is seen from (20) that, in the case when , all subgradients are found as convex combinations (weighted average) of the individual ‘gradients’ at . Let and

(20) where the -tuple

belongs to the set In order to find the steepest descent direction we need to solve a simple quadratic optimization problem (13)

C. Multiple Singular Values at a Single Frequency The bottom left panel of Fig. 3 depicts the case where the supremum in (14) is attained at a single frequency, but the largest singular value has multiplicity larger than one. Thus, the singular value decomposition in (15) holds with . In this case, it can be shown (see [40, eq. (9)] and [43, theorem 3]) that the subdifferential of the norm can be expressed as (21)

and then choose as a descent direction, where are the coefficients of the optimal solution. By using the definition of Frobenius norm and the properties of vec operator, the optimization problem can be written as

where

is the matrix with the entries

is the set of positive semidefinite symmetric where matrices having unit trace (22)

V. PROJECTED SUBGRADIENT ALGORITHM A. General Description Consider the generic optimization problem

D. Multiple Singular Values at Multiple Frequencies The most general case, depicted in the bottom right panel of Fig. 3, occurs when the supremum in (14) is attained at multiple frequencies , and at those frequencies the multiplicity of the largest singular value is larger than one. Thus, the singular value decomposition (19) applies with for some . For this case, it can be shown by combining (20) and (21) that the subdifferential of the norm can be expressed as

(25) and are a convex function and a nonempty convex where compact set, respectively. This problem admits a global optimal solution that can be found with a simple iterative scheme, as illustrated in Fig. 4: we pick a generic initial point , and we generate the next point by performing a step in the direction

(23) where the -tuple

where is a subgradient of the function in and is a suitable step size [the specific rule for computing the step sizes is reported in (37)]. Generally, does not belong to the feasible set (highlighted in red (in online version) in Fig. 4); therefore, we project on the set obtaining the new candidate solution

ranges over the set

(24)

2996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Projected subgradient algorithm. The contour lines of the objective are depicted in gray and the feasible set is the red shaded function region (in online version). The first three iterations of the subgradient algorithm (black are depicted, distinguishing between the step toward the direction solid line) and the projection step onto the feasible set (black dashed line).

where is the operator that performs an orthogonal Euclidean projection of its argument onto . The above process is repeated following the iterative scheme:

(26) until convergence. Technical details on fundamental assumptions of this method and a proof of its convergence are reported in Appendix A. B. Passivity Enforcement via a Projected Subgradient Algorithm We illustrate in this section how the basic projected subgradient algorithm described in Section V-A can be applied in order to solve our main passivity enforcement problem (4). Recalling the problem statement (8), (27) that differ less than we consider the set of all macromodels from the nominal system, where is some prescribed and controlled accuracy. We search this set for the macromodel with the minimum norm by applying the above projected subgradient scheme, and we denote the optimal solution as . The following two cases may apply. • If , we have found a passive macromodel with controlled accuracy with respect to nominal macromodel; in other words, problem (27) with the additional passivity constraint is feasible. • If instead , we can conclude that there exist no passive macromodel, which deviates less than from the original model. We then argue that there exists an optimal accuracy such that problem (28)

Fig. 5. First three iterations of the outer bisection loop on the macromodel denotes the optimal solution of perturbation amount . For each iteration, problem (27). Bottom right panel depicts the situation at convergence, where denotes the optimal accuracy of the optimal passive macromodel .

is feasible. We will look for the optimal accuracy by an outer bisection loop, as illustrated in Fig. 5 and described below. Let us assume that at the first iteration (top left panel) problem (28) is not feasible. Therefore, the accuracy is too stringent and the set is too small. We then need to relax the accuracy to a larger value , which makes (28) feasible. The top right panel in Fig. 5 illustrates this situation, highlighting that the intersection of sets and is nonempty. The optimal accuracy is such that . We then define and solve (28) again (bottom left panel). This bisection process on is repeated until convergence (bottom right panel). We remark that we do not need to obtain the optimal solution of the projected subgradient problem (28). Rather, we need to determine only the feasibility of this problem. If the problem is feasible, we decrease . If the problem is infeasible, we increase . VI. ALTERNATE SUBGRADIENT ALGORITHM A. General Description Consider the following optimization problem: (29) and are convex functions. Fig. 6 depicts an alwhere ternate subgradient algorithm for solving this problem. We start from some initial point , which is assumed, for the purpose of the example, to be outside the feasible region highlighted in red (in online version). The first iteration step thus has the purpose of decreasing . We perform a step (30) at and is some suitwhere is a subgradient of may satisfy able step size [see (33)]. The updated point (as in the example depicted in the constraint Fig. 6), but it is not necessarily the point corresponding to the . The second step is thus minimum of the objective function

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

Fig. 6. Alternate subgradient algorithm. The level sets of and depicted with solid and dashed gray lines, respectively. The region is colored in red (in online version). The iteration steps in a direction in and are depicted with solid and dashed arrows, respectively.

performed, with a suitable step size jective

2997

are

so as to decrease the ob(31)

is a subgradient of the objective function where process is repeated by performing steps

. The

where the direction of each step is defined according to the alternate subgradient rule if if

(32)

Technical details on the implementation of this scheme, and a proof of its convergence are reported in Appendix B. B. Passivity Enforcement via Alternate Subgradients Macromodel passivity can be easily achieved by applying the alternate subgradient scheme described in Section VI-A. We can choose as the objective function the square of the Frobenius norm of the perturbation, (5): . Our main problem thus becomes

which is fully equivalent to (4). Since is smooth and differentiable, its subdifferential contains only one element, which coincides with its gradient . Each step of the alternate subgradient algorithm thus applies the following update:

Fig. 7. Comparisons between original data and model generated with vectorfitting algorithm [4]–[6].

The step size is computed by using the adaptive method described in Appendix C, i.e., (33) where

constants and are defined in (36) and is any constant such that . In other words, for each step, feasible or infeasible, it is necessary to calculate both subgradients in order to derive the correct value for the step size. VII. NUMERICAL EXPERIMENTS A. Printed Circuit Board (PCB) Interconnect

where if if

We applied the proposed passivity enforcement algorithms to a practical case. The four-port scattering matrix of a coupled PCB interconnect has been measured from dc up to 20 GHz

2998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 8. Trend of objective function for alternate subgradient algorithm of Section VI. Feasible and infeasible iterations are plotted in blue and red, respectively (in online version).

with resolution 10 MHz, obtaining the raw data and . These samples have been processed by the well-known vector-fitting algorithm [4]–[6] to obtain an initial macromodel (1) with states and inputs/outputs. Fig. 7 demonstrates the accuracy of this initial model by comparing its responses to the raw data. However, even with this aggressive accuracy, the model exhibits some nonpassive bands between 0–4 GHz, even if all singular values of the raw data are unitary bounded at all frequencies. The projected subgradient method in Section V-B has been applied to the model in order to enforce its passivity. The initial Frobenius norm of the state matrix under perturbation is . We then selected an accuracy on the unknown perturbation term in order to stop the outer bisection loop on . The algorithm required 22 outer iterations before reaching this accuracy. The number of inner iterations resulted highly dependent on the current value of . During early outer iterations, when the current solution estimate is still far from the optimal solution, only a few inner iterations (about 20) are sufficient to establish if the problem (27) is feasible or not. When approaches its optimal value , the number of inner iterations increases. This effect is intrinsic in the algorithm structure. The alternate subgradient algorithm is more efficient since significantly less total iterations are required. If a stopping condition is applied to enforce the theoretical error estimate [see (35)] to be less than , about 900 total iterations are needed. However, very accurate estimates are available after only a few tens of iterations. As a comparison, one of the best passivity enforcement algorithms available in the literature [12], [19], [24] obtains, for this case, a solution with . The presented scheme reaches a better accuracy after about 100 iterations, as depicted in Fig. 8. This figure reports with different colors [blue and red, respectively (in online version)] the values of the objective function for both feasible and infeasible iterations. Fig. 9 reports the evolution of the norm through iterations. Finally, Figs. 10 and 11 compare the frequency-dependent singular values of original and optimal perturbed models obtained by the two proposed schemes. The two figures are almost undistinguishable since the two algorithms provably converge to the same optimal solution.

Fig. 9. Trend of -norm for alternate subgradient algorithm of Section VI. Feasible and infeasible iterations are plotted in blue and red, respectively (in online version).

Fig. 10. Passivity enforcement of an electrical interconnect model via the projected subgradient algorithm of Section V. Singular values of original nonpassive [solid blue (in online version)] and perturbed passive [dashed red (in online version)] models are plotted versus frequency.

For this example, the computational cost is about 0.5 s per iteration on a standard laptop with a 2-GHz clock. Passivity enforcement is thus achieved in a few minutes with both projected and alternate subgradient techniques. It is important to note that the total runtime can be traded with accuracy with both schemes. Since upper bounds on the macromodel perturbation are available at each step of the algorithm, iterations can be stopped at any time as soon as this upper bound is satisfactory, even if successive iterations would further improve the solution. This possibility is ruled out for common nonconvex passivity enforcement schemes.

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

2999

Fig. 11. As in Fig. 10, but using the alternate subgradient algorithm of Section VI.

B. Guaranteed Convergence We show in this second example the reliability of the new proposed strategy by processing a model for which the state-ofthe-art passivity enforcement methods [12], [19], [24] fail. The nominal macromodel is obtained by applying the vector-fitting algorithm to the scattering responses of a sharp filter. The model order is , with a number of ports . We analyze first the strategy presented in [12], based on an iterative perturbation of the model, where the constraints are derived from a linearized expression of the singular values as a function of residues. Fig. 12 depicts the singular values trajectories during the first iterations of the tentative passivity enforcement. The top panel shows that the original singular values of the unperturbed model are only slightly larger than one, with a corresponding small nonpassive band at low frequencies. Starting from the first iteration, we see that very large perturbations of the singular values and model responses are induced throughout the frequency axis. This is a clear evidence of the ill-conditioned nature of this scheme, which diverges in very few iterations. We obtained the same negative results (not shown here) with the Hamiltonian perturbation scheme of [19]. Both these schemes solve a nonconvex formulation of the passivity enforcement. Therefore, convergence is not guaranteed. We then applied to this model the alternate subgradient algorithm presented in Section VI. After 30 iterations, we obtained a passive model with a very good accuracy, as demonstrated in Fig. 13, where the scattering parameters of the original and passive model are compared.

Fig. 12. Singular values trajectories of the first iterations of the passivity enforcement scheme [12]. Similar results are obtained with the scheme [19].

For this example, we also investigated an acceleration strategy on the algorithm, which is useful to improve the convergence speed. We implemented a method where the update direction is a conic combination of the current subgradient and the last search direction

In the literature, these acceleration techniques are referred as the “heavy ball” methods. In particular we use the solution proposed in [44], where

and with (as the authors suggest). This method guarantees that this update has a smaller angle toward the optimal set than the standard negative subgradient direction. Fig. 14 shows a comparison between the standard update method and the heavy ball method. A relative perturbation norm of 5.34 10 is reached at iteration 270 with standard negative subgradient and at iteration 75 with the heavy ball strategy, with a speed-up factor of about 3.6. This last example shows that the proposed algorithms based on subgradient techniques are able to manage cases where other state-of-art methodologies fail to converge.

3000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

The theoretical framework that we have discussed in the paper shows that the problem of finding the least perturbed macromodel under passivity constraints is convex. Therefore, when solved through a convex optimization scheme, such as the projected subgradient method, or the alternate subgradient method, there is a theoretical guarantee that the global optimal solution is found up to any prescribed accuracy within a finite number of steps or iterations. This fact is a distinctive advantage over most existing schemes in the literature. Some of these schemes are not convex at all, and are not guaranteed a priori to converge. Some other schemes perform some approximation such as linearization, projection, or similar, which lead to locally convex problems at each iteration. Yet, global convexity is lost during the approximation stage, thus loosing global optimality. The nice theoretical features of the proposed schemes come with a cost. Although convergence to the optimum is guaranteed, this convergence may require many iterations. This is mainly due to the global structure of the problem, ultimately to the nonsmooth behavior of the norm with respect to the decision variables in the optimization process. This lack of regularity called for generalizations of standard descent methods involving subgradients and subdifferentials. The result is a possibly slower convergence rate with respect to regular Newton-like methods for smooth problems. However, a substantial speedup of subgradient-based methods seems to be achievable via simple modifications, such as the heavy-ball technique, as demonstrated in the examples.

APPENDIX A CONVERGENCE RESULT FOR ALGORITHM (26)

Fig. 13. Comparison between original and perturbed scattering responses.

Proposition 1: Assume that a) problem (25) admits an optimal solution ; for b) there exist a finite constant such that all ; . c) a constant is known such that denote the best value achieved by algorithm (26) up to Let iteration (note that this need not be the value of at iteration ), Then (34) In particular, if the sequence is nonsummable and diminishing (i.e., as , and ; for example, for some ), then

Fig. 14. Relative error perturbation norm of the standard update technique [blue solid line (in online version)] and heavy ball method [red solid line (in online version)].

VIII. CONCLUSION AND DISCUSSION This paper introduced a novel formulation of passivity enforcement schemes for linear macromodels in state-space form.

Proof: A proof of the previous proposition can be found in [35] (see also [34]). It can be checked that, given the total number of iterations , the upper bound in (34) is minimized by the choice of a constant step size , for which we obtain

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

This means that if we stop the algorithm when exit accuracy is achieved, then we need at least iterations.

3001

and therefore,

APPENDIX B CONVERGENCE RESULT FOR ALGORITHM (32) Proposition 2: Assume that a) problem (29) admits an optimal solution ; b) there exist a strictly feasible point ; c) there exist a finite constant such that for all ; d) a constant is known such that and . We denote with the value of the best feasible point up to iteration in algorithm (32)

Suppose instead that sible. Then,

with

is such that , and

is infea-

Repeating the above derivation yields

Then, Therefore, for any iteration (feasible or infeasible), we have where where

exist

Proof: We proceed by contradiction. Assume that there such that for all so that

Applying this inequality recursively for

, we obtain

for all for which is feasible. We will show that this assumption leads to a contradiction. Let first From this it follows that: By convexity of , we have Hence, By choosing

i.e.,

, this implies that

is -suboptimal. Moreover,

Now, if the step-size sequence is diminishing and nonsummable, then the right-hand side of the previous expression goes to zero as , thus leading to a contradiction.

therefore, APPENDIX C ADAPTIVE STEP SIZES Consider now an and gives

for which is feasible. Then, , which, by results above,

We observe that if we use a constant step size in algorithm (26), then we can estimate a lower bound of the optimal solution, in particular

3002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

where is the optimal solution and is the best value of over the first iterations. To perform this estimation we have to determine explicitly the constants and . In particular, we have that , and an upper bound on the norm of the subgradient can be found from (23). Let be an element of , then

where the last inequality follows from the fact that belongs to the set defined in (24). Even if the constant step-size method is formally correct, it may require too many iterations to reach the requested accuracy. Therefore, we use in the implementation another strategy based on an adaptive selection of the step size. Since for the subgradient method it holds that

then at step

we can bound the optimality gap as

(35) where (36) and with when

. The uncertain , i.e., for

in (35) is minimized

(37)

REFERENCES [1] T. Kailath, Linear Systems. Englewood Cliffs, NJ: Prentice-Hall, 1980. [2] D. Luenberger, Optimization by Vector Space Methods. New York: Wiley, 1969. [3] R. Pintelon and J. Schoukens, Identification of Linear Systems: A Practical Guideline to Accurate Modeling. New York: Pergamon, 1991. [4] B. Gustavsen and A. Semlyen, “Rational approximation of frequency responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [5] B. Gustavsen and A. Semlyen, “A robust approach for system identification in the frequency domain,” IEEE Trans. Power Del., vol. 19, no. 3, pp. 1167–1173, Jul. 2004.

[6] D. Deschrijver, B. Haegeman, and T. Dhaene, “Orthonormal vector fitting: A robust macromodeling tool for rational approximation of frequency domain responses,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 216–225, May 2007. [7] M. R. Wohlers, Lumped and Distributed Passive Networks. New York: Academic, 1969. [8] P. Triverio, S. Grivet-Talocia, M. S. Nakhla, F. Canavero, and R. Achar, “Stability, causality, and passivity in electrical interconnect models,” IEEE Trans. Adv. Packag., vol. 30, no. 4, pp. 795–808, Nov. 2007. [9] M. Celik, L. Pileggi, and A. Obadasioglu, IC Interconnect Analysis. Norwell, MA: Kluwer, 2002. [10] M. Nakhla and R. Achar, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [11] S. Grivet-Talocia, “On driving non-passive macromodels to instability,” Int. J. Circuit Theory Appl., vol. 37, no. 8, pp. 863–886, Oct. 2009. [12] S. Grivet-Talocia and A. Ubolli, “On the generation of large passive macromodels for complex interconnect structures,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 39–54, Feb. 2006. [13] S. Boyd, L. El Ghaoui, E. Feron, and V. Balakrishnan, Linear Matrix Inequalities in System and Control Theory, ser. SIAM Studies Appl. Math. Philadelphia, PA: SIAM, 1994. [14] C. P. Coelho, J. Phillips, and L. M. Silveira, “A convex programming approach for generating guaranteed passive approximations to tabulated frequency-data,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 2, pp. 293–301, Feb. 2004. parameter [15] H. Chen and J. Fang, “Enforcing bounded realness of through trace parameterization,” in 12th IEEE Elect. Perform. Electron. Packag Top. Meeting, Princeton, NJ, Oct. 27–29, 2003, pp. 291–294. [16] B. Dumitrescu, “Parameterization of positive-real transfer functions with fixed poles,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 4, pp. 523–526, Apr. 2002. [17] S. Boyd and L. Vandenberghe, Convex Optimization. Cambridge, U.K.: Cambridge Univ. Press, 2004. [18] S. Boyd, V. Balakrishnan, and P. Kabamba, “A bisection method norm of a transfer matrix and related for computing the problems,” Math. Control Signals Syst., vol. 2, no. 3, pp. 207–219, Sep. 1989. [19] S. Grivet-Talocia, “Passivity enforcement via perturbation of Hamiltonian matrices,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 9, pp. 1755–1769, Sep. 2004. [20] D. Saraswat, R. Achar, and M. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 27, no. 1, pp. 57–70, Feb. 2004. [21] D. Saraswat, R. Achar, and M. Nakhla, “Global passivity enforcement algorithm for macromodels of interconnect subnetworks characterized by tabulated data,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 7, pp. 819–832, Jul. 2005. [22] A. Semlyen and B. Gustavsen, “A half-size singularity test matrix for fast and reliable passivity assessment of rational models,” IEEE Trans. Power Del., vol. 24, no. 1, pp. 345–351, Jan. 2009. [23] B. Gustavsen and A. Semlyen, “Fast passivity assessment for -parameter rational models via a half-size test matrix,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2701–2708, Dec. 2008. [24] S. Grivet-Talocia, “An adaptive sampling technique for passivity characterization and enforcement of large interconnect macromodels,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 226–237, May 2007. [25] B. Gustavsen and A. Semlyen, “Enforcing passivity for admittance matrices approximated by rational functions,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [26] B. Gustavsen, “Computer code for passivity enforcement of rational macromodels by residue perturbation,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 209–215, May 2007. [27] B. Gustavsen, “Fast passivity enforcement of rational macromodels by perturbation of residue matrix eigenvalues,” in 11th IEEE Signal Propag. on Interconnects Workshop, Ruta di Camogli, Genova, Italy, May 13–16, 2007, pp. 71–74. [28] A. Lamecki and M. Mrozowski, “Equivalent SPICE circuits with guaranteed passivity from nonpassive models,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 526–532, Mar. 2007. [29] S. Grivet-Talocia and A. Ubolli, “Passivity enforcement with relative error control,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2374–2383, Nov. 2007.

CALAFIORE et al.: SUBGRADIENT TECHNIQUES FOR PASSIVITY ENFORCEMENT OF LINEAR DEVICE AND INTERCONNECT MACROMODELS

[30] A. Ubolli and S. Grivet-Talocia, “Weighting strategies for passivity enforcement schemes,” in 16th IEEE Elect. Perform. Electron. Packag. Top. Meeting, Atlanta, GA, Oct. 29–31, 2007, pp. 55–58. [31] C. S. Saunders, J. Hu, C. E. Christoffersen, and M. B. Steer, “Inverse singular value method for enforcing passivity in reduced-order models of distributed structures for transient and steady-state simulation,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 837–847, Apr. 2011. [32] L. De Tommasi, M. de Magistris, D. Deschrijver, and T. Dhaene, “An algorithm for direct identification of passive transfer matrices with positive real fractions via convex programming,” Int. J. Numer. Model., vol. 24, no. 4, pp. 375–386, 2011. [33] S. Grivet-Talocia and A. Ubolli, “A comparative study of passivity enforcement schemes for linear lumped macromodels,” IEEE Trans. Adv. Packag., vol. 31, no. 4, pp. 673–683, Nov. 2008. [34] S. Boyd, “Lecture notes and slides for EE364b, convex optimization II.” Stanford Univ., Stanford, CA, 2010. [35] T. Polyak, Introduction to Optimization. Optim. Softw., New York, NY, 1987. [36] D. P. Bertsekas, A. Nedic, and A. E. Ozdaglar, “Convex analysis and optimization,” Athena Sci., Nashua, NH, 2003. [37] Yu. Nesterov, Introductory Lectures on Convex Optimization: A Basic Course. Norwell, MA: Kluwer, 2004. [38] H. Nikaidô, “On Von Neumann’s minimax theorem,” Pacific J. Math., vol. 4, no. 1, pp. 65–72, 1954. [39] F. H. Clarke, Optimization and Nonsmooth Analysis, ser. Classics Appl. Math.. Philadelphia, PA: SAIM, 1990. Synthesis,” IEEE Trans. [40] P. Apkarian and D. Noll, “Nonsmooth Automat. Control, vol. 51, no. 1, pp. 71–86, Dec. 2006. [41] J. H. Wilkinson, The Algebraic Eigenvalue Problem. Oxford, U.K.: Oxford Univ. Press, 1965. [42] C. F. Van Loan, “The ubiquitous Kronecker product,” J. Comput. Appl. Math., vol. 123, pp. 85–100, 2000. [43] M. L. Overton, “Large-scale optimization of eigenvalues,” SIAM J. Optim., vol. 2, no. 1, pp. 88–120, 1992. [44] P. Camerini, L. Fratta, and F. Maffioli, “On improving relaxation methods by modifying gradient techniques,” Math. Program. Study, vol. 3, pp. 26–34, 1975. Giuseppe C. Calafiore (M’08–SM’12) received the “Laurea” degree in electrical engineering and Ph.D. degree in information and system theory from the Politecnico di Torino, Turin, Italy, in 1993 and 1997, respectively. Since 1998, he has been with the faculty of the Dipartimento di Automatica e Informatica, Politecnico di Torino, where he currently an Associate Professor. He has held several visiting positions at international institutions, including the Information Systems Laboratory, Stanford University, Stanford, CA (1995), Ecole Nationale Supérieure de Techniques Avanceés (ENSTA), Paris,

3003

France (1998), University of California at Berkeley (1999, 2003 and 2007), Institute of Pure and Applied Mathematics, University of California at Los Angeles (UCLA) (2010), and John Von Neumann Institute, Vietnam National University, Ho Chi Minh City, Vietnam (2011 and 2012). He has authored over 130 journal and conference proceedings papers and seven books. He is an Associate Editor for the Journal Européen des Systèmes Automatisés. His research interests are in the fields of convex optimization, randomized algorithms, identification, and control of uncertain systems, with applications ranging from signals and systems, networks and finance to robust control, pattern recognition, and robotics. Dr. Calafiore is an associate editor for the IEEE TRANSACTIONS ON SYSTEMS, MAN, AND CYBERNETICS: SYSTEMS and the IEEE TRANSACTIONS ON AUTOMATION SCIENCE AND ENGINEERING.

Alessandro Chinea received the Laurea Specialistica (M.Sc.) and Ph.D. degrees in electronic engineering from the Politecnico di Torino, Turin, Italy, in 2006 and 2010, respectively. In 2009, he was with the Department of Information Technology (INTEC), Ghent University, Gent, Belgium. Since 2012, he has been a Senior Engineer with IdemWorks s.r.l., Turin, Italy. His research interests concern passive macromodeling of electrical interconnects for electromagnetic compatibility and signal/power integrity problems. Dr. Chinea was the recipient of the Optime Award of the Unione Industriale di Torino. he was also selected for the 2006 IBM EMEA Best Student Recognition Event.

Stefano Grivet-Talocia (M’98–SM’07) received the Laurea and Ph.D. degrees in electronic engineering from the Politecnico di Torino, Turin, Italy. From 1994 to 1996, he was with the National Aeronautics and Space Administration (NASA)/Goddard Space Flight Center, Greenbelt, MD. He is currently an Associate Professor of circuit theory with the Politecnico di Torino. He has authored or coauthored over 120 journal and conference papers. His research interests are passive macromodeling of lumped and distributed interconnect structures, modeling and simulation of fields, circuits, and their interaction, wavelets, time-frequency transforms, and their applications. Dr. Grivet-Talocia was an associate editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY (1999–2001). He was a corecipient of the 2007 Best Paper Award of the IEEE TRANSACTIONS ON ADVANCED PACKAGING. He was the recipient of the IBM Shared University Research (SUR) Award (2007–2009).

3004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Mode Analysis of the Corrugated Substrate Integrated Waveguide Kimberley W. Eccleston, Senior Member, IEEE

Abstract—The corrugated substrate integrated waveguide (CSIW) is compatible with substrate integrated waveguides (SIWs) but permits ease of integration of active devices. The CSIW differs from the SIW by using quarter-wave open-circuit stubs in place of vias to form the electric sidewalls. In this paper, an efficient analysis method has been formulated that distributes the loading effect of each stub across its width. The formulation was used to calculate CSIW dispersion characteristics and identify mode behavior in the vicinity of the desired TE10 mode. Simulations and measurements of -band CSIWs were used to validate the calculations. Apart from normal waveguide mode behavior, surface wave-like behavior was also identified. Index Terms—Periodic structures, substrate integrated waveguide (SIW), transverse electric modes.

I. INTRODUCTION

T

HE substrate integrated waveguide (SIW) permits a rectangular waveguide to be realized using economical printed-circuit technology [1], [2]. Variants such as half-mode SIW [3] and folded SIW [4] have also been proposed to reduce device size. To date, numerous passive devices (e.g., couplers, power dividers, and antennas) based on SIW and related technology have appeared in the literature. On the other hand, there has been only a limited number of active circuits based on SIW and related technology described. Microstrip and other planar transmission lines lend themselves to ease of integration with active devices because they inherently comprise two dc isolated conductors. In active waveguide circuits, the challenge is to provide both mechanical support and dc bias for the active device. Conventionally, a complex mechanical structure called a diode mount provides mechanical support and RF coupling between the active device (diode) and the waveguide and, importantly, facilitates application of the dc bias [5]. In the interest of cost, such complex mechanical structures are to be avoided in SIW technology. This typically means active circuitry is realized in microstrip technology separate from, but connected to, the SIW or passive SIW device [6]–[10]. However, Zhong et al. [11] implemented a SIW “diode mount” but require separate microstrip RF chokes (low-pass filter). PIN diode switches can be placed on the top of the SIW Manuscript received April 01, 2012; revised June 25, 2012; accepted June 28, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. The author is with the Department of Electrical and Computer Engineering, University of Canterbury, Christchurch 8140, New Zealand (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209453

to electronically tune an SIW filter, but another RF metal layer is required for biasing [12]. Open-circuit quarter-wave-length microstrip stubs can be used in place of vias to form the sidewalls of the SIW [13]. mode and is denoted the This structure can support the corrugated SIW (CSIW) [13]. The CSIW can be connected to the SIW with interdigital capacitors [14] resulting in a structure completely dc isolated from the ground plane permitting shunt connection of biased active devices [13]. The term “corrugated” is used since the stubs create an artificial boundary similar to the role of corrugations of a corrugated horn [15], although the term corrugated SIW has been recently used for entirely different structures with different goals [16]. Simulations using general-purpose full-wave solvers and experiments have established that CSIW will work as intended [13]. However, obscured in simulation and measurement results are artifacts arising from evanescent fields associated with the port feeds (e.g., tapered microstrips) and undesired propagating modes. Therefore, the purpose of this work is to identify and quantify various modes that can propagate on a CSIW. Such information allows the CSIW to be designed and used in a manner that avoids excitation of spurious modes. The CSIW is a periodic structure, and its propagation behavior can be efficiently obtained from the generalized transmission matrix of one period [17]. Essentially, the field is formulated for a host waveguide, and the periodic load is represented by its one-port admittance. This is in contrast to other mode matching formulations (e.g., [18] and [19]), where there are different sized waveguide sections within one period. Whereas Wu et al. [17] treated the periodic load as zero-dimensional, in this work, the effect of microstrip stub loading is uniformly distributed over the width of the stub in the direction of the waveguide longitudinal axis, thereby acknowledging wave interaction with the stub over its entire width and not just at its midpoint. This is important for closely spaced stubs where the stub width is comparable to the period and has a significant impact on the calculated propagation behavior and reduces the effect of mode-expansion truncation on calculated field plots. The effective width model of Lai et al. [20] was adapted to the CSIW to account for fringing fields at the sides of the host waveguide. Both simulations and experiment are used to validate the theoretical formulation described in this paper. Apart from lending insight into the propagation behavior of the CSIW, the theoretical calculations only take seconds to complete compared with hours for simulations. II. OVERVIEW OF THE CSIW The layout of the CSIW shown in Fig. 1(a) is etched on the top conductor of a substrate of height and relative permit-

0018-9480/$31.00 © 2012 IEEE

ECCLESTON: MODE ANALYSIS OF THE CORRUGATED SUBSTRATE INTEGRATED WAVEGUIDE

3005

Fig. 1. Corrugated SIW. (a) Top conductor layout of the CSIW. (b) Simulated surface current density on the top conductor of a CSIW at 11 GHz.

tivity . The ground plane is on the bottom. The width is about the same width as a compatible SIW to which it would be are connected. The microstrip open-circuit stubs of length quarter wavelength at the band center frequency, thereby artificially creating electric sidewalls. The pitch is normally much smaller than the guide wavelength and is similar to the via pitch of was shown to be suitable on SIWs. A stub width for an -band CSIW [13]. In practical application, the CSIW ports can be connected to SIWs by interdigital capacitors in the top metal layer [13], [14]. For the purposes of demonstration, Fig. 1(b) shows the simulated surface current density, at 11 GHz, for an -band CSIW that is directly fed by tapered microstrips [21]. Sonnet 13.54 [22] was used to obtain these results. The substrate thickness was 1.524 mm, and its relative permittivity was 3. The CSIW 13 mm, 5.5 mm, 1 mm, dimensions were 2 mm, and the microstrips taper from 4 to 7 mm over and a length of 17.5 mm [13]. The surface current density is consismode of an equivalent dielectric filled recttent with the angular waveguide. Included in this simulation is a “bias port” connected to the CSIW top conductor using a via to demonstrate minimal RF leakage to this port. From 8 to 12 GHz, the simulated insertion loss is less than 1 dB and the RF port (port 1 or 2) to the bias port isolation is greater than 19 dB. Although a via is used to facilitate the “bias port” connection in this simulation, in practice, a flying lead could be used.

Fig. 2. CSIW model. (a) Unit cell layout (not to scale). (b) Ttransverse cross section of the equivalent host waveguide. (c) Model of a unit cell.

III. THEORETICAL FORMULATION The top conductor of the CSIW comprises two distinct features: 1) host waveguide of width and 2) microstrip stubs connected to each side of the host waveguide. One unit cell is shown in Fig. 2(a). It is first assumed that the microstrip stubs behave as isolated open-circuit transmission lines with input admittance . This admittance is a function of the stub length, , and the microstrip stub characteristic impedance and effective relative permittivity given by quasi-static formulas for microstrip [23]. Fringing fields at the open end may be accounted for by a length extension [24]. The second assumption is that the host waveguide can be represented by a dielectric filled rectangular waveguide with perfect-magnetic-conductor (PMC) sidewalls and perfect-electric-conductor (PEC) top and bottom walls, as shown in Fig. 2(b). The relative permittivity is the same as the and the height and effective width are and , substrate respectively.

3006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

If the substrate thickness is very small compared with wavelength in the dielectric medium, the equivalent host waveguide modes. depicted in Fig. 2(b) will only support TEM and Nomenclature similar to Wu et al. [17] is used in this work. In general, a wave propagating in the equivalent host waveguide shown in Fig. 2(b) will be the superposition of all modes, both forward and backward travelling. With the - and -axes as shown in Fig. 2(b), the -axis in the longitudinal direction and neglecting conductor, dielectric, and radiation losses, the fields at a point along the -axis of the unloaded equivalent host waveguide are (1)

(2)

each other, attenuating modes associated with one stub will interact with the fields at adjacent stubs and will therefore need to be included in a solution [25]. If the physical width of the periodic load is small compared with the period , the periodic loading may be represented by a zero-dimensional lumped shunt admittance [17]. However, in the case of the CSIW, the period and stub width are comparable, and a zero-dimensional assumption is no longer valid. Moreover, interaction between host waveguide waves and a microstrip stub happens over the entire distance that they travel past the microstrip stub. Therefore, the complete unit-cell model shown in Fig. 2(c) is proposed in this work. In this case, the admittance of a stub is represented zero-dimensional lumped shunt admittances of by spread evenly over the microstrip stub width. This model assumes a uniform current distribution across the microstrip stub. This assumption will be most accurate for a very thin substrate. It is necessary to truncate the solution to a finite number of terms . We seek the generalized transmission matrix of the unit-cell shown in Fig. 2(c) as (8)

(3) where where and are the coefficients of the forward and backward traveling waves, respectively, for the th mode at the point along the -axis. The propagation constant of the th mode is given by (4) The cutoff wave-number of the

(9) (10) where is the transpose operator, and indicates port number (1 or 2). From the Floquet theorem [25], we have

th mode is

(11) (5)

and , and are, respectively, the intrinsic wave impedance, permittivity, and permeability of the substrate medium. The difference between the host waveguide and its equivalent are the sidewalls. There will be fringing of fields beyond the sides of the host waveguide. As the sides of the host waveguide are open-edges, we adopt the width correction used by Lai et al. [20] to account for the open-edge of the half-mode SIW as follows:

where is the propagation constant of the Floquet mode. Equations (11) and (8) form an eigenvalue problem of which the , and the corresponding eigenvectors eigenvalues are and give the field expansion coefficients for the Floquet modes. The Floquet mode propagation constants are easily obtained from the eigenvalues [17]. Following a method similar to that of Wu et al. [17], it can be shown that the generalized transmission matrix of the equivalent model of Fig. 2(c) is

(6)

(12)

where where (13)

(7) The fields described by (1)–(3) contain both propagating and attenuating modes. The presence of discontinuities causes interaction between the various modes. Since the stubs are close to

(14) (15) (16)

ECCLESTON: MODE ANALYSIS OF THE CORRUGATED SUBSTRATE INTEGRATED WAVEGUIDE

Fig. 4. Transverse variation of the TE mode at 10 GHz.

3007

H

magnitude midway along the unit cell for

Fig. 3. Comparison of dispersion characteristics to demonstrate the effect of and host waveguide width correction.

N

(17) (18) (19) (20) identity matrix, and is the Kronecker and is the and the other delta. The “T” matrices are . matrices are An important contribution of this work has been the distribution of the effect of stub loading over its width and the significance of this contribution will now be demonstrated. For a 13 mm, 5.5 mm, 1 mm, and CSIW with 2 mm on a substrate of 1.524 mm and , it was found that the dispersion characteristics obtained using the and . Inabove formulation converged for and above 40 and 10, respectively, did not sigcreasing nificantly change the calculated characteristics. Fig. 3 compares the dispersion characteristics for three cases: , , and with (no width was 40 for these three cases. The nature of correction). the modes will be discussed inSection IV. The discontinuities impacts around 8 GHz are numerical artifacts. The value of on all mode cutoff frequencies. The width correction impacts on the lower cutoff frequencies of the TE modes, but little impact on the upper cutoff frequency of the two lowest order modes. The calculation time for 280 frequency points was 17 s on a i7 CPU operating at 2.93 GHz with 4 GByte of RAM. Having solved the eigenvalue problem of (8) and (11), the fields over the host waveguide of the unit cell can be computed to be zero at from the eigenvector. However, (1) forces and . Therefore, the fields calculated from this formulation . This, along with truncation to are only valid for modes, causes ripples in the field solution due to Gibb’s phe, particularly at the nomenon [26]. This is most evident for junction of the stubs and the host waveguide. Fig. 4 shows the midway along the unit cell for the transverse variation of mode at 10 GHz calculated for and .

reduces ripple In both cases, ripple is evident, but by a factor of about 4. Moreamplitude compared with over, the tendency to the expected half-period cosine variation . is more evident for with the simulated transFig. 5 compares the calculated for the mode at 10 GHz over verse surface current one unit cell. The calculations have a plot resolution of 0.05 mm and 0.1 mm in the - and -directions, respectively, and were and . The CSIW was done for the cases of simulated for a length of 46 mm, and the grid resolution was 0.25 and 0.05 mm in the - and -directions, respectively. The calculated for the case is a closer match to the on the host waveguide compared with . simulated IV. IDENTIFICATION AND PROPERTIES OF CSIW MODES Here, we identify the various modes that propagate on the mode. The calcuCSIW in the vicinity of the desired lations are validated from simulation data obtained from both Sonnet [22] and Axiem [27]. Here, we consider a CSIW with a 13 mm, 5.5 mm, total length of 46 mm, 1 mm, and 2 mm, on substrate of 0.1 mm and . A thin substrate ensures that assumptions under-pinning the formulation, such as no coupling between stubs (other than via the host waveguide) and uniform current distribution on the stubs, are valid. In addition, relative to the width , the width correcis small. Section V will consider the case of a thicker tion substrate. The primary purpose of the CSIW is to propagate the same mode as a SIW of approximately the same width. Calculations using the formulation of Section III predict three other mode. There propagating modes in the vicinity of the is the expected mode, as anticipated by the geometry mode there is a quasi-TEM mode, and the other is a for a rectangular waveguide with PMC sidewalls. This latter in (1)–(3) and is denoted mode is essentially the term the mode to distinguish it from the desired mode. The quasi-TEM and modes propagate at fremode cutoff. At higher frequencies, quencies below the the behavior of the quasi-TEM mode will depart significantly from TEM, but for the purposes of labeling, we denote it the quasi-TEM mode regardless of the operating frequency. For simulation, these modes need to be excited. Both the quasi-TEM modes can be excited by the tapered microstrip feed and

3008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 6. Calculated and simulated (Axiem) dispersion characteristics for a CSIW on a 0.1-mm-thick substrate.

Fig. 5. Comparison of calculated and simulated fields over one unit cell for the TE mode at 10 GHz: (a) calculated with = 1, (b) calculated = 10, and (c) simulated (Sonnet).

N

N

[21]. The and modes require a differential-feed (odd mode) to excite these. This is achieved by using a pair of microstrips connected to the CSIW symmetrically about the longitudinal axis and excited equally but 180 out of phase. Calculations using the formulation of Section III can directly give dispersion characteristics. Simulations, on the other hand, give -parameters that contain artifacts due to the feeds, requiring de-embedding and subsequent propagation constant extraction. De-embedding was achieved by simulating two CSIWs of different lengths (46 mm and 80 mm) and then applying multiline de-embedding [28]. Therefore, simulation of four CSIW structures is required. Simulations for the odd-mode-excited CSIW yields four-port data. These data were imported to a circuit simulator where ideal center-tapped transformers are used as ideal baluns to obtain two-port data as well as perform odd-mode excitation. Calculations and simulations were conducted from 0.05 to 12 GHz, in steps of 0.05 GHz. The calculations using the formulation of Section III take 15 s on a i7 CPU operating at 2.93 GHz with 4 Gbyte of RAM. The simulation time, on the other hand,

takes approximately 30 min per CSIW structure or about 2 h to obtain a complete set of data for simulated dispersion characteristics. In the simulations, the grid size was 0.25 mm in the -direction and 0.5 mm in the -direction. Fig. 6 compares the dispersion characteristics ( versus frequency) obtained from the calculations using the formulation of Section III and simulations. There is very close agreement. Other calculations and simulations showed good agreement for a substrate thickness up to 0.2 mm. Having calculated the fields for one unit cell from the eigenvector, the fields of an arbitrary length of CSIW can be obtained by repeated transformation using the corresponding eigenvalue. Using the same machine, total time to calculate the fields of a 46-mm-long CSIW takes 0.3 s with a resolution of 0.05 mm in the -direction and 0.1 mm in the -direction. The calculated and are compared with simmagnetic field components ulated surface currents and , respectively. Sonnet was used to obtain simulated surface currents and the grid resolution was 0.25 mm in both the - and -directions. mode, Fig. 7 shows the Turning our attention first to the calculated and simulated fields for the mode at 10 GHz is not for a CSIW whose length is 46 mm. The calculated . Despite the simulations shown but has similar behavior to including evanescent fields associated with the feeds, there is very good agreement between the field plots, and, moreover, the mode in a (dielectric filled) fields are consistent with a rectangular waveguide. mode is the only propagating mode from 7.35 to The 10.3 GHz. This is less than an octave and is therefore less than conventional rectangular waveguide. This is to be expected as the stub admittance varies or, in other words, the stub short-circuit positions move away from the host-waveguide sides with increasing frequency effectively increasing the width of the waveguide, thereby decreasing the cutoff frequency of the mode. It is worth noting that the effective width of the SIW is also frequency-dependent, increasing by around 8% over a waveguide band [29]. Fig. 8 shows the corresponding coefficient spectrum (elemode in ments of the eigenvector). Although the CSIW this case travels in a forward (positive ) direction, both the

ECCLESTON: MODE ANALYSIS OF THE CORRUGATED SUBSTRATE INTEGRATED WAVEGUIDE

Fig. 7.

TE

-mode fields at 10 GHz: (a) calculated and (b) simulated (Sonnet).

Fig. 8.

TE

-mode coefficient spectrum at 10 GHz.

Fig. 10. Quasi-TEM mode fields at 5 GHz: (a) calculated and (b) simulated (Sonnet).

Fig. 11. (Sonnet).

Fig. 9.

TE

mode fields at 12 GHz: (a) calculated and (b) simulated (Sonnet).

host waveguide forward and backward waves are present. For , is the complex conjugate of . The host waveguide TEM mode plays an important role to form the CSIW mode. Inspection of (2) and (3) shows that all host waveguide modes contribute to power flow in the -direction since will be purely real for . Fig. 9 shows the calculated and simulated fields for the mode at 12 GHz. There is close agreement between calculations mode in a rectanwith simulations and is expected of a gular waveguide.

3009

TE

mode fields at 5 GHz: (a) calculated and (b) simulated

Below 3 GHz, the quasi-TEM mode displays field behavior very close to TEM behavior with a negligible longitudinal component of the magnetic field. This is also evident in Fig. 6 by the near constant slope of the dispersion characteristic below about 4 GHz. Fig. 10 shows the quasi-TEM mode at 5 GHz, and there is good agreement between calculations and simulations. It is apparent that its behavior has departed from TEM with a significant longitudinal field component. Fig. 11 shows the calculated and simulated fields for the mode at 5 GHz and there is close agreement between calculations with simulations. On the host waveguide, varies linearly with and is zero midway across, and is nearly constant. Moreover, the fields are consistent with the mode of a dielectric filled rectangular waveguide with PMC sidewalls. From Fig. 6, the cutoff frequency for this mode is 4.1 GHz and, with the aid of (5), corresponds to an effective width of 21 mm. The total width of the CSIW is 24 mm. Thus, another way to view the CSIW is two parallel conductors of that is periodically loaded by transverse slots width and period . of width

3010

Fig. 12. (Sonnet).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TE

mode fields at 7 GHz: (a) calculated and (b) simulated Fig. 14. Measured and simulated (Axiem) S -parameters for the 46-mm CSIW test structure with even-mode feed.

Fig. 13. CSIW test structures. (a) Even-mode feed. (b) Odd-mode feed.

As shown in Fig. 6, the quasi-TEM and modes have increasing identical phase constants with increasing frequency, and have the same upper-cut-off frequency. With increasing frequency, the fields of these two modes concentrate to the walls fields at of the host waveguide. Fig. 12 shows the 7 GHz. The fields are virtually zero over most of the host waveguide width. Moreover, they resemble surface waves with respect to the host waveguide. A similar result is obtained for the quasi-TEM mode at this frequency. The only difference beand quasi-TEM modes is that the surface tween the waves on each edge are 180 out-of-phase for the former and in-phase for the latter. V. EXPERIMENT We now consider a practical CSIW realization [13] on a whose thickness is 1.524 mm, Taconic RF30 substrate and all other CSIW dimensional parameters the same as in Section IV. In this case, fringing of fields is significant, and the currents on the stubs will not be uniform. Photographs of the 46 mm length test structures are shown in Fig. 13.

The even-mode fed CSIW [Fig. 13(a)] was designed with transitions optimized for the -band [13]. Fig. 14 shows the simulated and measured -parameters of the structure of Fig. 13(a). These simulations account for both conductor and dielectric losses. The effects of the SMA connectors were de-embedded from the measurements. There is good agreement, and low insertion loss is obtained above 8 GHz. The odd-mode feeds were not optimized, as the sole purpose of using a structure of Fig. 13(b) is to collect data to validate and behavior. There is no suggestion that one would normally feed CSIWs in this manner. To permit extraction of dispersion characteristics from measurements, another set of structures 80 mm in length were fabricated. Extraction of dispersion characteristics was conducted in the same manner as in Section IV for both measurements and simulations. However, for the measured odd-mode fed CSIW, measurement errors, along with the subsequent data processing, caused ambiguity when the multiline method [28] was applied. and characteristics were Hence, the measured of the 46-mm odddirectly obtained from the measured mode fed structure. In which case, the effects of connectors and microstrip feedlines were de-embedded, prior to use of ideal baluns to obtain two-port data. Fig. 15 shows the calculated and extracted dispersion characteristics. There is good agreement with the simulations and measurements for all modes. Contrary to calculations, simulations and measurements show overlap of the quasi-TEM modes with mode passband, and this meant that it was not possible the -mode phase behavior near its cutoff frequency. to extract The demarcation between these two regimes was identified at the inflexion point of the unwrapped de-embedded transmission phase and was 7 GHz for both measurements and simulations. - and -mode calcuThere is good agreement of lations, with simulations and measurements. A significant discrepancy, however, occurs between the calculated and simulated modes upper cutoff frequency of the quasi-TEM and cutoff frequency. Aside from this disand the lower crepancy, comparing field plots, the calculated spatial behavior

ECCLESTON: MODE ANALYSIS OF THE CORRUGATED SUBSTRATE INTEGRATED WAVEGUIDE

Fig. 15. Measured, simulated (Axiem), and calculated dispersion characterischaracteristics are drawn red to tics for the experimental CSIW. The TE distinguish them from the quasi-TEM mode.

of the fields for these two modes is consistent with the simulations apart from a differing guide wavelength. The above-mentioned discrepancy arises when the substrate height is increased above 0.2 mm (in this case, 1.524 mm), thereby reducing the validity of assumptions of uniform current distribution on the stubs and zero coupling between stubs and the application of an effective width to account for fringing of fields of the host waveguide. Using different weights for the distributed stub admittances in Fig. 2(c) did not significantly alter the outcome. If coupling between stubs was the cause, one and would have expected significant impact on both the modes; this was not the case. As noted in Section IV, the fields of the quasi-TEM mode with increasing frequency and mode are more concentrated towards the edge of the the host waveguide. In this case, due to the increased fringing of fields compared with a thinner substrate, the proportion of the fields in air will be greater. This would explain the larger and cutoff frequencies for the simulated and measured quasi-TEM modes, compared with calculations. The observed overlap of the two lowest order modes with the mode only extends to the lower end of the -band. However, due to the nature of these modes near their upper cutoff frequency (see Fig. 12 for example), the excitation of these is expected to be low. This is evident in the measurements and magnitude response simulations shown in Fig. 14, where the almost shows a stopband in the range 5 to 7.5 GHz. VI. CONCLUSION The CSIW is similar to SIW but uses quarter-wave open-circuit microstrip stubs in place of vias to artificially create electric sidewalls. This structure facilitates integration of active devices. In this paper, a CSIW structure has been modeled to permit characterization of its propagation behavior. The effect of each stub is distributed over a distance equal to its width. This model was validated with both measurements and simulations of a CSIW designed to operate at the -band. The model is computationally efficient compared to simulations, and was used to study modes that propagate at frequencies close to where the mode would be used.

3011

It was found that the effective width is frequency-dependent, -mode cutoff frequency, and two resulting in a reduced lower order modes that evolve into surface wave like behavior -mode cutoff frequency. In practical in the vicinity of the application, coupling to these surface like modes is, however, low. Experiments revealed that an effective width correction on its own to account for field fringing may be inaccurate when the CSIW substrate height is comparable to the CSIW width. Although the focus has been on a structure compatible with SIW, a half-mode version similar to a half-mode SIW is also possible. The formulation could easily be adapted to such a structure. Further, the formulation could be applied with modification, to other periodic structures that comprise closely spaced microstrip stubs. ACKNOWLEDGMENT The author would like to thank the RMIT University for hosting him whilst on sabbatical leave in 2011. The author would also like to thank N. Smith, M. Cusdin, and D. Healy, University of Canterbury, Christchurch, New Zealand for fabrication of the experimental test fixtures, and P. Kikstra (deceased), University of Canterbury, for maintaining software and PCs used to conduct this work. The author would also like to thank former University of Canterbury student D. G. Chen for the use of his code implementing multiline de-embedding. REFERENCES [1] H. Uchimura, T. Takenoshita, and M. Fujii, “Development of the “Laminated waveguide”,” in IEEg.E MTT-S Int. Microw. Symp. Di, 1998, pp. 1811–1814. [2] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoelectronics,” in Proc. 6th Int. Conf. Telecommun. Modern Satellite, Cable Broadcasting Service, 2003, pp. P-III–P-X, TELSIKS. [3] W. Hong, B. Liu, Y. Wang, Q. Lai, H. Tang, X. X. Yin, Y. D. Dong, Y. Zhang, and K. Wu, “Half mode substrate integrated waveguide: A new guided wave structure for microwave and millimeter wave application,” in Proc. Joint 31st Int. Conf. Infrared Millimeter Waves and 14th Int. Conf.Terahertz Electron., 2006, pp. 219–219, IRMMW-THz. [4] N. Grigoropoulos and P. R. Young, “Compact folded waveguides,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 973–976. [5] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992, pp. 835–837. [6] M. Abdolhamidi and M. Shahabadi, “ -band substrate integrated waveguide amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 815–817, Dec. 2008. [7] Y. Cassivi and K. Wu, “Low cost microwave oscillator using substrate integrated waveguide cavity,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 48–50, Feb. 2003. [8] J.-X. Chen, W. Hong, Z.-C. Hao, H. Li, and K. Wu, “Development of a low cost microwave mixer using a broadband substrate integrated waveguide (SIW) coupler,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 2, pp. 84–86, Feb. 2006. [9] F. F. He, K. Wu, W. Hong, H. J. Tang, H. B. Zhu, and J. X. Chen, “Suppression of second and third harmonics using 4 low-impedance substrate integrated waveguide bias line in power amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 479–481, Jul. 2008. [10] A. Georgiadis, S. Via, A. Collado, and F. Mira, “Push-push oscillator design based on a substrate integrated waveguide (SIW) resonator,” in Proc. 39th Eur. Microw. Conf., Rome, 2009, pp. 1231–1234. [11] C. Zhong, J. Xu, F. Yu, and M. Wang, “Design of half mode substrate integrated waveguide gunn oscillator,” IEEE Trans. on Compon., Packaging Manuf. Technol., vol. 1, no. 11, pp. 1790–1794, Nov. 2011. [12] M. Armendariz, V. Sekar, and K. Entesari, “Tunable SIW bandpass filters with PIN diodes,” in Proc. 40th Eur. Microw. Conf., Paris, France, 2010, pp. 830–833.

X

=

3012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[13] D. G. Chen and K. W. Eccleston, “Substrate integrated waveguide with corrugated wall,” in Proc. Asia–Pacific Microw. Conf., 2008. [14] M. Abdolhamidi, A. Enayati, M. Shahabadi, and R. Faraji-Dana, “Wideband single-layer DC-decoupled substrate integrated waveguide (SIW)—to—microstrip transition using an interdigital configuration,” in Proc. Asia–Pacific Microw. Conf., 2007. [15] C. A. Balanis, Antenna Theory: Analysis and Design. New York: Harper and Row, 1982, pp. 579–587. [16] X. Cheng, J. Kim, C. Kim, P. Jao, D. E. Senior, and Y.-K. Yoon, “Corrugated substrate integrated waveguide with dual band non-Bragg resonance,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011. [17] L.-S. Wu, X.-L. Zhou, W.-Y. Yin, C.-T. Liu, L. Zhou, J.-F. Mao, and H.-L. Peng, “A new type of periodically loaded half-mode substrate integrated waveguide and its applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 882–893, Apr. 2010. [18] J. Esteban and J. M. Rebollar, “Characterization of corrugated waveguides by modal analysis,” IEEE Trans. on Microw. Theory Tech., vol. 39, no. 6, pp. 937–943, Jun. 1991. [19] S. Amari, R. Vahldieck, J. Bornemann, and P. Leuchtmann, “Spectrum of corrugated and periodically loaded waveguides from classical matrix eigenvalues,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 453–460, Mar. 2000. [20] Q. Lai, C. Fumeaux, W. Hong, and R. Vahldieck, “Characterization of the propagation properties of the half-mode substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1996–2004, Aug. 2009. [21] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [22] Sonnet. ver. 13.54, Sonnet Software Inc., North Syracuse, NY. [Online]. Available: http://www.sonnetsoftware.com/

[23] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ: Wiley, 2005, pp. 143–146. [24] E. Hammerstad, “Computer-aided design of microstrip couplers with accurate discontinuity models,” in IEEE MTT-S Int. Microw. Symp. Dig., 1981, pp. 54–56. [25] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE, 1991, pp. 605–643. [26] P. H. Masterman and P. J. B. Clarricoats, “Computer field-matching solution of waveguide transverse discontinuities,” Proc. Inst. Electr. Eng., vol. 118, no. 1, pp. 51–63, Jan. 1971. [27] Axiem. ver. 10, AWR Corp., El Segundo, CA. [Online]. Available: http://web.awrcorp.com/ [28] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [29] C.-H. Tseng and T.-H. Chu, “Measurement of frequency-dependent equivalent width of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 1431–1437, Jun. 2006. Kimberley W. Eccleston (S’85–M’90–SM’07) received the B.E. degree from the Royal Melbourne Institute of Technology, Melbourne, Australia, in 1986, and the Ph.D. degree from the University of Queensland, Brisbane, Australia, in 1991. From 1990 to 1992, he was with the Defence Science and Technology Organization, Adelaide, Australia. From 1992 to 2004, he was with the Department of Electrical and Computer Engineering, National University of Singapore. Since 2005, he has been with the Department of Electrical and Computer Engineering, University of Canterbury, Christchurch, New Zealand. Dr Eccleston was the Technical Program Co-Chair of the IEEE 2011 Asia-Pacific Microwave Conference, and was a member of the IEEE 1999 Asia–Pacific Microwave Conference organizing committee.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3013

Polarization-Independent Metamaterial Analog of Electromagnetically Induced Transparency for a Refractive-Index-Based Sensor Fan-Yi Meng, Member, IEEE, Qun Wu, Senior Member, IEEE, Daniel Erni, Member, IEEE, Ke Wu, Fellow, IEEE, and Jong-Chul Lee, Senior Member, IEEE

Abstract—A polarization-independent metamaterial analog of electromagnetically induced transparency (EIT) at microwave frequencies for normal incidence and linearly polarized waves is experimentally and numerically demonstrated. The metamaterial consists of coupled “bright” split-ring resonators (SRRs) and “dark” spiral resonators (SRs) with virtually equal resonance frequencies. Normally incident plane waves with linear polarization strongly couple to the SRR, but are weakly interacting with the SR, regardless of the polarization state. A sharp transmission peak (i.e., the transparency window) with narrow spectral width and slow wave property is observed for the metamaterial at the resonant frequency of both, the bright SRR and the dark SR. The influence of the coupling strength between the SRR and SR on the frequency, width, magnitude, and quality factor of the metamaterial’s transparency window is theoretically predicted by a two-particle model, and numerically validated using full-wave electromagnetic simulation. In addition, it is numerically demonstrated that the EIT-like metamaterial can be employed as a refractive-index-based sensor with a sensitivity of 77.25 mm/RIU, which means that the resonance wavelength of the sensor shifts 77.25 mm per unit change of refractive index of the surrounding medium. Index Terms—Electromagnetically induced transparency (EIT), metamaterial, polarization independent, refractive index, sensor.

I. INTRODUCTION

E

LECTROMAGNETICALLY induced transparency (EIT) is a quantum interference effect that refers to the formation of a transmission window inside the absorption band of a

Manuscript received May 24, 2012; accepted July 05, 2012. Date of publication August 16, 2012; date of current version September 27, 2012. This work was supported by the National Natural Science Foundation of China under Grant 60801015 and Grant 60971064, and by the Fundamental Research Funds for the Central Universities under Grant HIT.IBRSEM.2009 and Grant HIT.IBRSEM. 201122. F.-Y. Meng and Q. Wu are with the Department of Microwave Engineering, Harbin Institute of Technology, Harbin 150001, China (e-mail: [email protected]; [email protected]). D. Erni is with the Faculty of Engineering, Laboratory for General and Theoretical Electrical Engineering (ATE) and the CENIDE-Center for Nanointegration Duisburg–Essen, University of Duisburg–Essen, D-47048 Duisburg, Germany (e-mail: [email protected]). K. Wu is with the Poly-Grames Research Center and the Department of Electrical Engineering, Center for Radiofrequency Electronics Research of Quebec, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]). J.-C. Lee is with the Department of Wireless Communication Engineering, Kwangwoon University, Seoul 139-701, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209455

three-level atomic media. Recently, a lot of attention has been paid to the fact that the EIT-like effect can occur in classical oscillator systems, such as coupled optical micro-resonators [1], mechanical spring-mass configurations [2], and optical waveguides side coupled to a resonator [3], [4]. Particularly, metamaterials can also be configured to induce the EIT-like effect [5]–[10]. Such EIT-like metamaterials usually consist of “bright” and “dark” resonant elements, which have almost equal resonance frequencies and are coupled with each other. The resonance of the bright element has a low quality factor and is directly excited by the incident waves. On the contrary, the dark element usually supports a sharper resonance profile and hardly interacts with the incident waves. Similar to EIT in an atomic system, the metamaterial-based EIT-like effect brings a significant reduction of the group velocity of electromagnetic waves. The slow-wave property has been widely applied in the design of phase shifters [5]–[10], traveling-wave tubes [11], [12], or optical buffers and storage [13]–[16]. Meanwhile, EIT-like metamaterials are capable of integrating the dual advantages of a narrow transmission window and a strong field confinement, which is crucial for sensing applications [17]–[19]. In addition, the excellent resonance quality factor, and hence, the narrow resonant spectral band of EIT-like metamaterials, are also helpful for developing frequency-selective surfaces [20], [21] and filters [22]–[25]. Several EIT-like metamaterials have been demonstrated at frequencies in microwave [26]–[28], near-infrared [6]–[10], terahertz [29]–[32], and visible [33]–[36] regimes. However, all EIT-like metamaterials presented thus far are highly sensitive to the polarization state of incident waves. Their characteristic electromagnetic response is only supported for a predefined linear polarization state. Compared to the polarization-sensitive metamaterials, polarization-insensitive ones are more convenient for practical use because they can endure changes in polarization states of the incident waves. Furthermore, for many applications, such as most circular microwave waveguide systems, frequency-selective surfaces, or fiber-optic systems, it is necessary to work with elliptically polarized waves or waves with varying linear polarization. For all these cases, the implementation of EIT-like metamaterials requires polarization-independent design concepts. In this paper, we experimentally and numerically demonstrate that a polarization-independent analog of EIT can be achieved in a planar electromagnetic metamaterial. Moreover, the influence of the physical parameters on EIT-like effects of the metamate-

0018-9480/$31.00 © 2012 IEEE

3014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 1. (a) Schematics of the polarization-independent EIT-like metamaterial cell consisting of the bright SRR and the dark SR separated by the distance . (b) Expanded view of the layout of the dark SR.

rial is theoretically analyzed by a two-particle model and then numerically validated. The numerical simulations also demonstrate the sensing ability of such metamaterial for refractiveindex changes of the surrounding medium. II. STRUCTURE DESIGN A schematic layout of the implemented unit cell of the metamaterial, including all geometrical parameters, is shown in Fig. 1. The topology consists of a metallic spiral resonator (SR) enclosed within a much larger metallic split-ring resonator (SRR) on a dielectric substrate. The SRR is square shaped and leaves two equal gaps on its upper and left side arms, which are furthest separated from the SR topology. The SR has a square shape too and is made of a single metallic strip rolled up to form a spiral. The SR and SRR are designed to have very close resonance frequencies. The SR is positioned close to the lower right corner of the SRR at the same separation distance from the right and lower side arms of the SRR. The distance determines the electromagnetic coupling strength between the SRR and SR. From [37], it is known that the SR acts as a magnetic resonator and its fundamental mode supports a circular current flow that has no direct contribution to an electric dipole moment. This means that the SR’s resonance can be excited only by the magnetic field penetrating through its plane. Hence, the SR weakly interacts with the normally incident plane wave (at any linear polarization) shown in Fig. 1, and is thus designated as the “polarization-independent” dark element in this study. In contrast to the SR, the SRR in Fig. 1 acts as an electric resonator. Due to the asymmetric alignment of the two gaps, the current flow of the SRR’s fundamental mode has an asymmetrical distribution, where the current in the lower and right arms of the SRR is much stronger than in the upper and left arms. This causes a significant electric dipole moment in both the - and -direction, and thus leads to strong coupling between the SRR and the normally incident plane wave at any linear polarization

state. Obviously, the SRR behaves like an electrically coupled resonator that is designated as the “polarization-independent” bright element. It is worth noting that the dark element, namely, the SR, supports a resonance with a significantly larger quality factor compared to the bright element (i.e., the SRR) at the same resonant frequency. Referring to the analyses in [38] for particles or unit cells with sizes much smaller than the free-space wavelength, it has been shown that both the SRR and SR topology operate still well below the quasi-static limit and are essentially behaving as lumped circuits. In the SR topology, the current lines are bridging the gap between adjacent rings in the form of a displacement current. Hence, the equivalent capacitance of the SR, which arises from the distributed capacitive coupling between the contiguous rings, is much larger than the equivalent capacitance of the SRR arising from the slit capacitance of the inline gaps [38]–[40]. This renders the resonance quality factor of the SR to be much larger than that of the SRR with the same resonant frequency. Due to its larger equivalent capacitance, the SR will also have a smaller equivalent inductance than the SRR with the same resonant frequency . Since the equivalent inductance is directly proportional to the strip length, the SR will be constructed from a shorter strip, which leads the two-turn topology to cover a much smaller area than the SRR. The huge difference between the two footprints provides the SR sufficient space for different positioning, and enhances the controllability of the EIT-like effect in the metamaterial. This is advantageous because the profile of the EIT-like transmission window can be easily adjusted while altering the separation distance between the SR and SRR, and hence, the electromagnetic coupling strength between the dark SR and bright SRR. III. DEMONSTRATION OF EIT-LIKE EFFECTS To demonstrate the polarization-independent EIT-like effects, numerical simulations with CST Microwave Studio software package [38] are performed. The geometry of the unit cell (Fig. 1) containing the coupled SRR and SR is designed as mm, mm, mm, mm, mm, and mm, where the conducting strips consist of copper with a thickness of 0.018 mm. The substrate has a permittivity of , a loss tangent of 0.002, and a thickness of 0.54 mm. The coupled SRR and SR are periodically arranged with a spacing of 38.2 mm in both the - and -direction. These geometric parameters are chosen to yield resonance frequencies of the SR and SRR around 1.56 GHz, where the corresponding quality factors are 99.8 for the SR and 8.8 for the SRR. The resonant frequency and the quality factor of the SRR (SR) are obtained from the simulated reflection spectrum of the single SRR (SR) structure [41]. It is worth mentioning that, in obtaining the resonance frequency and quality factor of the SR, the SR plane is rotated to be perpendicular to the incident magnetic field because the SR weakly interacts with the normally incident plane wave, which leads to an obscure profile of the SR’s resonance curve. Although such excitation for the SR is different from the case shown in Fig. 1, it is reasonable because the quality factor of a highly resonant linear system is supposed

MENG et al.: POLARIZATION-INDEPENDENT METAMATERIAL ANALOG OF EIT FOR REFRACTIVE-INDEX-BASED SENSOR

Fig. 2. Simulated transmission spectra of only the SRR (dashed line), only the SR (dashed–dotted line), and the overall metamaterial structure (solid line), together with the analytically calculated transmission spectrum of the overall metamaterial structure based on the two-particle model (circled line).

to be independent of the manner of excitation [5]. In the simulation, the analyzed structure is modeled by a single unit cell (containing an SR and/or SRR), which is periodically continued according to the corresponding periodic boundary conditions. Fig. 2 depicts the simulated transmission spectra of only the SRR (dashed line), only the SR (dashed–dotted line), and the spectral response of the overall metamaterial structure with mm (solid line), illuminated by a normally incident plane wave with vertical polarization (i.e., polarization). It can be observed that the SRR alone yields a broad stopband centered at 1.56 GHz, which is caused by the coupling between the SRR and the incident wave [5]. In contrast, the SR alone has a nearly perfect all-pass behavior—no stopband is visible at all—because its interaction with the incident wave is very weak. An interesting phenomenon occurs when the SRR and the SR are lumped together to form the metamaterial’s unit cell: a transmission peak (i.e., a transparency window) emerges in the overlapping frequency region of the stopbands of the SR and SRR because of the electromagnetic coupling between the SR and SRR. Such a transparency window caused by the coupling between the dark and bright elements is commonly regarded as an efficient metamaterial-based analog of the EIT effect. The transmission spectrum of the overall metamaterial structure excited by horizontally and 45 off axis polarized (i.e., ) impinging plane waves is also simulated (the results are displayed and discussed in detail in Section V). It is shown that both the central frequency and quality factor of the transparency window are independent on the polarization direction of the incident wave. Fig. 3 shows the transmission spectra of the cross-polarized waves generated by only the SRR and the overall metamaterial structure. The transmission spectrum is calculated as the ratio of the magnitude of the horizontal (or vertical) component of the transmitted electric field through the metamaterial to the magnitude of the electric field excitation, which is set up to be polarized in the vertical (or horizontal) direction. By comparing Figs. 3 and 2, one finds that the SRR alone causes a cross-polarized wave almost equivalent to the co-polarized wave at its resonant frequency of 1.56 GHz. This phenomenon can be easily understood from the current distribution, as shown in Fig. 4(a).

3015

Fig. 3. Simulated transmission spectra of the cross-polarized waves generated by only the SRR (dashed line) and the overall metamaterial structure (solid line).

It can be seen that the normally incident wave (either vertically or horizontally polarized) will induce almost the same current distribution on the left and the lower side arms of the SRR, and thus excite a transmitted wave with the same electric field contribution from the vertical and horizontal direction. In contrast to the single SRR structure, when the coupling between the SR and SRR is introduced, the cross-polarized wave at 1.56 GHz becomes quite weaker, which means that the resonance of the SRR is effectively suppressed because of the electromagnetic coupling between the SR and SRR, as depicted in Fig. 4(b)–(d). To visualize the underlying mechanism of the metamaterial’s transparency window, the induced current distributions at 1.56 GHz are simulated and compared for the uncoupled SRR [see Fig. 4(a)] and the SRR coupled with the SR [see Fig. 4(b)–(d)]. From Fig. 4(a), it is observed that without coupling to the dark SR, the SRR supports a large current flowing on its right and lower side arms and a very low current flowing in the left and the upper arms. From this point of view, the SRR can be approximately considered as a pair of crossed metal strips, whose resonance is excitable by a normally incident plane wave polarized in the - or -direction. At the same time, the resonance of the SRR blocks the electromagnetic wave transmission and leads to the stopband in the transmission spectrum because the re-radiated electric field by the resonant SRR is considerably enhanced and has opposite phase with respect to the incident electric field. Fig. 4(b)–(d) shows the induced current distribution in the integrated SRR and SR for three different separation distances . It can be seen that, first, placing the SR close to the SRR causes an increase of the suppressed induced currents in the SRR because of the coupling (yielding a destructive interference) between resonantly interacting eigenmodes of the SR and SRR [42]. To be specific: in this case, the induced current in the SRR are excited through two pathways; one undergoes the resonance that is directly excited by the incident wave, giving rise to the induced currents in a counterclockwise direction. The other pathway yields clockwise-induced currents caused by the coupling from the SR. The two pathways introduce antiparallel current in the SRR and consequently lead to a weakened overall induced current at the corresponding resonance. Next, while the distance is closest at 2 mm, the coupling from the SR

3016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Simulated surface current distributions at 1.56 GHz for the bright SRR, which is: (a) uncoupled and (b)–(d) coupled to the dark SR with different separation distances , and the surface current distributions at: (e) 1.67 GHz and mm. (f) 1.48 GHz for the SRR coupled to the SR with

provides the strongest modulation of the SRR’s resonance condition, thus rendering the induced currents in the SRR to become considerably weak compared to the other two cases. On the other hand, as the separation extends from 2 to 5 mm, the induced currents in the SRR increase due to the weakened destructive interference between the eigenmodes of the SR and the SRR, hence, leading to a reduced transparency peak that tends toward transmission values of the sole SRR, as shown in Fig. 2. To summarize, the induced transparency (window) of the metamaterial is excited by the coupling (destructive interference) between the dark resonance mode of the SR and the bright resonance mode of the SRR. In addition, the two dips that confine the transparency window in the transmission spectrum (Fig. 2, solid line) of the overall metamaterial structure can be interpreted by the concept of hybridization model [37]. The distributions of the induced currents at 1.67 and 1.48 GHz are simulated and depicted in Fig. 4(e) and (f). It has been observed that, at 1.67 GHz, the SR and SRR possess opposite induced currents, which is tantamount to the bonding mode in a hybridized

Fig. 5. Simulated time evolution of a Gaussian pulse propagating normally through: (a) an infinite monolayer of the metamaterial and (b) only through the metamaterial’s substrate layer.

molecular system. In contrast, at 1.48 GHz, the induced currents in the SR and SRR have the similar direction, which can be directly associated with the antibonding mode in the molecular system. Another characteristic feature of EIT-like metamaterials is the support of “slow wave” propagation. To demonstrate this property in our designed metamaterial, we simulate a Gaussianshaped pulse with a pulsewidth of 11 ns centered at 1.56 GHz that is transmitted normally through the infinite monolayer of the metamaterial with an overall thickness of 0.558 mm (adding up 0.54 mm of the substrate thickness and 0.018 mm of the metal strips). In the simulation, the distance between the reference planes of the incident and transmitted waves is set to 12 mm. From Fig. 5(a), it can be deduced that the peak of the incident Gaussian pulse appears at 24.9 ns, whereas the peak of the transmitted pulse emerges at 27.8 ns. The transmitted pulse is thus delayed by 2.9 ns, which is 72.5 times longer than the delay time (0.04 ns) of the pulse propagating the same distance in free space. From Fig. 5(a), it is also found that the transmitted pulse through the metamaterial becomes wider than the incident pulse, which is caused by the dispersion characteristics of the metamaterial.

MENG et al.: POLARIZATION-INDEPENDENT METAMATERIAL ANALOG OF EIT FOR REFRACTIVE-INDEX-BASED SENSOR

For a better comparison, Fig. 5(b) shows the transmitted pulse through only the metamaterial substrate with the thickness of 0.54 mm keeping the same distance between the reference planes of the incident and transmitted waves as 12 mm. The pulse peak appears at 24.942 ns, being thus delayed by 0.042 ns, which is much shorter than the transmission delay through the metamaterial. IV. INFLUENCE OF THE COUPLING STRENGTH BETWEEN THE SR AND SRR ON THE TRANSPARENCY WINDOW The influence of the coupling strength between the SR and SRR on the transparency window of the metamaterial can be theoretically analyzed by introducing a two-particle model—a particle (designated as “particle A”) represents the bright SRR, which is driven by the incident electric field , and a particle (designated as “particle B”) represents the dark SR, which hardly couples to the incident wave. The particles A and B both are supposed to have the same resonant frequency . Assuming that the coupling strength between the two particles is , and the loss factors of the two particles is much less than , then the displacements and of the particles A and B with respect to their respective equilibrium positions satisfy the following coupled differential equations:

3017

Here, is the proportionality factor. The weak coupling between the dark SR and the incident wave leads to a negligibly small . Therefore, (6) can be approximated by

(7) For the aforementioned weak coupling, the transmission of electromagnetic wave through the metamaterial slab with a thickness is given by (8), shown at the bottom of this page. In order to validate the two-particle model, we fit the analytical expression of the transmission spectrum [according to (7) and (8)] for a metamaterial with mm to the corresponding simulation in Fig. 2 and present the analytical results by a red-circled curve (in the online version) in the same figure for a direct comparison. It is evident that the fitted analytical model agrees perfectly well to the results obtained by the corresponding full-wave simulation. For fitting the transmission curve, first, we let , rad/s (1.56 GHz), and mm, and then used rad/s (1.48 GHz) (or rad/s (1.67 GHz) to get rad/s. Next, substituting the transmission values for the metamaterial at , , and into (8) leads to

(1) (2) Here, , , and are the effective charge, effective mass, and loss factor of the particle A (B), respectively. Solving (1) and (2), we obtain (3) (4) The effective polarization of the metamaterial is then (5) Hence, the effective electric susceptibility of the metamaterial can be written as

(9) Finally, combining and solving (7)–(9), we obtained rad/s, rad/s and . Also worth mentioning is that, in (7) and (8), we assume , which does not perfectly correspond to the actual case, as shown in Fig. 2. This leads to a little difference between the simulated results and the fitted results based on (7) and (8). For the ideal lossless structures with , (7) is further simplified to (10) Obviously, the two-particle system can support a transparency where with window at (11)

(6)

, which means that the Hence, one obtains transparency frequency is very close to the resonant frequency . This conclusion is verified by the simulated results shown in Fig. 2. It is worth noting that some special EIT-like metamaterials, such as the cut-continuous strips metamaterial

(8)

3018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[20], [43] and the split-closed rings metamaterial [9], encompass a “quasi-dark” element, which couples to the incident wave to a certain extent. For these metamaterials, cannot be neglected anymore because the quantity is proportional to the coupling strength between the quasi-dark element and the incident wave. In addition, (11) reveals that this residual coupling renders the transparency frequency of the metamaterial with such a quasi-dark element to slightly red-shift below the resonant frequency , where this detuning is weighted by the coupling strength between the two particles. The influence of the coupling strength on the spectral width of the transparency window follows from further analyzing (7). Here, the effective susceptibility approaches infinity as . Hence, the metamaterial yields a stopband that is defined by the two edge frequencies and , and the width of the transparency window is then simply given by

Fig. 6. Simulated transmission spectra of the metamaterial with different separations between the SRR and SR.

(12) The transparency window therefore narrows with decreasing inter-particle coupling strength . The effect of the coupling strength on the magnitude of the transparency window of the metamaterial also follows from the two-particle model. Substituting and into (6) leads to (13) It can be seen that increases as decreases. It deserves noting that, for much larger than and , gets very small and changes very slowly with . However, as decreases to a value comparable to and , the change of over becomes remarkable. A bigger will not only result in larger ohmic losses, but also a larger impedance mismatch between the metamaterial and the surrounding lossless medium, both of which contributes to a lower magnitude of the transparency window. Fig. 6 shows a fullwave simulation of the transmission spectrums for the metamaterial with different separation distance , which determines the coupling strength . It can be observed that, with decreasing coupling (i.e., increasing separation), the transparency window narrows and becomes weaker, which is in perfect agreement with the results derived from the two-particle model. The influence of the coupling strength on the quality factor of the transparency window needs to be discussed along two different cases. For much larger than and , (12) and (13) imply that with decreasing , the transparency window narrows, where the transmission magnitude remains approximately constant. In this case, the quality factor of the transparency window increases as decreases. When is comparable to and , according to (12) and (13), decreasing brings not only a narrower transparency window, but also a lower transmission magnitude, which has a negative impact on the quality factor of the transparency window. Especially if is large enough, there will be an inflection point , from which the quality factor decreases with diminishing .

Fig. 7. Simulated quality factor of the metamaterial’s transparency window as a function of the separation distance between the SRR and SR.

Fig. 7 displays the simulated quality factor as a function of the separation distance . It is shown that, for large coupling strengths , an increase in the quality factor is observed for larger distance (i.e., for decreasing ). This trend persists up to mm (corresponding to the inflection point ), where the quality factor attains its maximum value of 175.4. When mm , the quality factor decreases with increasing (i.e., further decreasing ). It is worth mentioning that the maximum quality factor (175.4) of the metamaterial’s transparency window is much larger than that (99.8) of the single dark SR. V. DESIGN, FABRICATION, AND TESTING OF METAMATERIAL WORKING AT HIGHER FREQUENCY In order to further demonstrate and verify the EIT-like effect of the proposed metamaterial structure, a prototype sample of the metamaterial operating at a higher frequency around 5.8 GHz was designed, fabricated, and tested. Especially worth noting is that, in order to facilitate the fabrication process, the structure of the dark SR is slightly modified, as shown in Fig. 8(b). Referring to Figs. 1 and 8(b), the designed geometric parameters of the metamaterial with higher resonant frequency are as follows: mm, mm, mm,

MENG et al.: POLARIZATION-INDEPENDENT METAMATERIAL ANALOG OF EIT FOR REFRACTIVE-INDEX-BASED SENSOR

3019

Fig. 8. (a) Photography of the fabricated prototype of the EIT-like metamaterial with higher resonant frequency. (b) Geometry of the modified SR used for the fabricated metamaterial prototype.

Fig. 9. Experimental setup for measuring the transmission spectrum of the metamaterial.

mm, mm, and mm, where the conducting strips consist of copper with a thickness of 0.018 mm. The substrate has a permittivity of , a loss tangent of 0.002, and a thickness of 0.9 mm. The coupled SRR and SR are periodically arranged with a spacing of 15 mm in both the - and -direction. Simulated results show that, with these geometric parameters, both the dark SR and bright SRR resonates around 5.8 GHz, and the quality factors of the SR and the SRR are 91 and 2, respectively. The modified prototype of the metamaterial was fabricated as displayed in Fig. 8. The physical dimensions of the overall metamaterial structure are 300 mm 300 mm (20 20 unit cells), which correspond to electrical dimensions of with regard to the resonant frequencies of both the SR and SRR. The metamaterial prototype was tested using the free-space measurement setup, as shown in Fig. 9, which consists of two standard horn antennas operating at the -band with the metamaterial prototype placed in between. The line of sight between the two horns passes through the center of the metamaterial prototype and the horns are located about 800 mm apart from the metamaterial prototype to ensure the formation of a uniform plane wave impinging upon the metamaterial prototype. The experiments were performed in a microwave anechoic chamber, which emulates the free-space environment. An Agilent 8510B vector network analyzer (VNA) is employed to measure the electromagnetic transmission through the metamaterial prototype. A thru calibration was performed to calibrate the VNA within a frequency band of 4.5 GHz 7 GHz in the absence of the metamaterial prototype. Fig. 10(a) shows the measurement results of the transmission spectra of the metamaterial

Fig. 10. Measured and simulated transmission spectra of the metamaterial prototype for: (a) vertical polarized, (b) horizontal polarized, and (c) 45 off-axis polarized incident waves for different angles of incidence (with respect to the normal direction). The line with a circle, up-triangle, and square represents the transmission spectrum for 0 , 15 , and 30 angle of incident, respectively. The dashed line represents the simulated transmission spectra for 0 angle of incident.

prototype for vertically polarized incident waves with incidence angle of 0 (normal direction), 15 , and 30 . One can find a clear transparency window at 5.8 GHz nearly independent of the incidence angle. Fig. 10(a) also includes the corresponding full-wave simulation for the normally incident wave. It can be seen that the simulated results are in a good agreement with the measurement results. Fig. 10(b) shows the measurement results of the metamaterial prototype for horizontally

3020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I COMPARISON BETWEEN FOM VALUES OF DIFFERENT METHODS (AND ASSOCIATED SENSOR TOPOLOGIES) FOR SENSING REFRACTIVE INDEX CHANGES

Fig. 11. Simulated resonance shift of the EIT-like metamaterial with respect to a change in the relative permittivity of the surrounding medium.

polarized incident waves with incidence angle of 0 , 15 , and 30 , together with the corresponding simulated results for the normally incident wave. Fig. 10(c) shows the measurement results of the metamaterial prototype for 45 off axis polarized incident waves for incidence angle of 0 , 15 , and 30 including the simulated results for the normally incident wave. One can see that the metamaterial shows only a minor dependence of the frequency response against the angle of incidence. By comparing Fig. 10(a)–(c), it can be concluded that the metamaterial prototype exhibits polarization-independent EIT-like effects for normally incident plane waves with linear polarization, which is verified both experimentally and theoretically. Moreover, the metamaterial prototype shows only a minor dependence of the frequency response with respect to the incidence angle for values below 30 . VI. REFRACTIVE-INDEX-BASED SENSOR Once the dark SR and bright SRR are strongly coupled, the electromagnetic energy is predominantly localized inside the unit cell of the EIT-like metamaterial. In this case, the metamaterial essentially behaves as a resonant cavity and can be employed as a refractive-index-based sensor because its resonant frequency (i.e., the transparency frequency) substantially depends on the dielectric condition of surrounding media [35]. In the following, we demonstrate the sensing ability of our prior EIT-like metamaterial structure discussed in Section II by simulating the transmission spectrums of the single-layer metamaterial in the correspondingly lower frequency range. The metamaterial is introduced into middle of a 4-mm-thick dielectric slab with a relative permittivity that stands for the different dielectric loading of the intended sensor structure. Fig. 11 depicts the simulated results of the metamaterial’s generic sensor operation. A clear shift of the transmission peak to lower frequency is visible when increasing the of the metamaterial’s surrounding medium from 1.2 to 1.6. The sensitivity of the metamaterial sensor, which is defined as the shift in resonance wavelength per unit change of refractive index, amounts to 77.25 mm/RIU. The metamaterial sensor can be further evaluated by the figure of merit (FOM), which is the ratio of the sensitivity value to the full width at half maximum (FWHM) of the resonance [20]. In

case of Fig. 11, the FWHM of the transparency window is about 9.49 mm, which leads to a . Table I compares the corresponding FOM values from different methods of sensing refractive index according to sensor structures with nanobars [21], nanotubes [44], nano-SRRs [45], SRRs with an asymmetrically coupled resonance (ACR) [46], planar EIT-like metamaterial [20], and our proposed EIT-like metamaterial. It can be seen that the sensing performance of our EIT-like metamaterial competes with the best ones and is just slightly below the value of the nano-SRRs in [21]. It is worth mentioning that the FOM of 8.14 obtained at mm of our proposed EIT-like metamaterial can be further maximized just by increasing the separation distance because the quality factor of the transparency window grows with up to mm, as already demonstrated in Fig. 7. VII. CONCLUSION We have investigated polarization-independent EIT-like effects achieved in a planar metamaterial consisting of coupled SRs and SRRs. A sharp transparency window with narrow width and slow wave property was observed both experimentally and within full-wave simulations. The experimental and the simulated results are in good agreement with theoretical predictions. A two-particle model is introduced to theoretically analyze the influence of the coupling strength between the SR and SRR on the center frequency, bandwidth, magnitude, and quality factor of the transparency window. These analytical results are numerically validated using CST Microwave Studio full-wave electromagnetic simulation tools based on the finite integral time-domain method. Finally, a potential application of the EIT-like metamaterials as refractive-index-based sensors has been numerically demonstrated. Results show that the transmission resonant frequency of the metamaterial is very sensitive to the refractive index changes of the surrounding medium. This provides a remarkable sensing technique for practical applications in environmental, chemical, and biological diagnostics. ACKNOWLEDGMENT Author F.-Y. Meng would like to thank Prof. W. Hong, Southeast University, Nanjing, China, for very fruitful discussions. REFERENCES [1] Q. Xu, S. Sandhu, M. L. Povinelli, J. Shakya, S. Fan, and M. Lipson, “Experimental realization of an on-chip all-optical analogue to electromagnetically induced transparency,” Phys. Rev. Lett., vol. 96, no. 12, 2006, Art. ID 123901(4). [2] C. L. G. Alzar, M. A. G. Martinez, and P. Nussenzveig, “Classical analog of electromagnetically induced transparency,” Amer. J. Phys., vol. 70, no. 1, pp. 37–41, 2001.

MENG et al.: POLARIZATION-INDEPENDENT METAMATERIAL ANALOG OF EIT FOR REFRACTIVE-INDEX-BASED SENSOR

[3] E. Waks and J. Vuckovic, “Dipole induced transparency in drop-filter cavity-waveguide systems,” Phys. Rev. Lett., vol. 86, no. 15, 2006, Art. ID 153601(4). [4] M. F. Yanik, W. Suh, Z. Wang, and S. Fan, “Stopping light in a waveguide with an all-optical analog of electromagnetically induced transparency,” Phys. Rev. Lett., vol. 93, no. 23, 2004, Art. ID 233903(4). [5] P. Tassin, L. Zhang, T. Koschny, E. N. Economou, and C. M. Soukoulis, “Low-loss metamaterials based on classical electromagnetically induced transparency,” Phys. Rev. Lett., vol. 102, no. 5, 2009, Art. ID 053901(5). [6] V. A. Fedotov, M. Rose, S. L. Prosvirnin, N. Papasimakis, and N. I. Zheludev, “Sharp trapped-mode resonances in planar metamaterials with a broken structural symmetry,” Phys. Rev. Lett., vol. 99, no. 14, 2007, Art. ID 147401(4). [7] P. Tassin, L. Zhang, T. Koschny, E. N. Economou, and C. M. Soukoulis, “Planar designs for electromagnetically induced transparency in metamaterials,” Opt. Exp., vol. 17, no. 7, pp. 5595–5605, 2009. [8] K. L. Tsakmakidis, M. S. Wartak, J. J. H. Cook, J. M. Hamm, and O. Hess, “Negative-permeability electromagnetically induced transparent and magnetically active metamaterials,” Phys. Rev. B, Condens. Matter, vol. 81, no. 19, 2010, Art. ID 195128(11). [9] M. Kang, Y. N. Li, J. Chen, J. Chen, Q. Bai, H. T. Wang, and P. H. Wu, “Slow light in a simple metamaterial structure constructed by cut and continuous metal strips,” Appl. Phys. B, Lasers Opt., vol. 100, pp. 699–703, 2010. [10] N. Papasimakis, V. A. Fedotov, N. I. Zheludev, and S. L. Prosvirnin, “Metamaterial analog of electromagnetically induced transparency,” Phys. Rev. Lett., vol. 101, no. 25, 2008, Art. ID 253903(4). [11] B. Lakshminarayanan and T. M. Weller, “Design and modeling of 4-bit slow-wave MEMS phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 120–127, Jan. 2005. [12] S. Lim, “Slow-wave effect of electronically-controlled composite right/left-handed (CRLH) transmission line,” IEICE Trans. Commun., vol. E91-B, no. 5, pp. 1665–1668, 2008. [13] V. L. Christie, L. Kumar, and N. Balakrishnan, “Inverted slot-mode slow-wave structures for traveling-wave tubes,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1112–1117, Jun. 2007. [14] S. S. Jung, A. V. Soukhov, and G.-S. Park, “Effect of conductive perturber diameter on nonresonant measurement of interaction impedance for helical slow-wave structures,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2196–2198, Sep. 2002. [15] M. K. Alaria, A. Bera, A. K. Sinha, and V. Srivastava, “Analysis of Helix slow wave structure for high efficiency space TWT,” J. Infrared, Millimeter, Terahertz Waves, vol. 30, no. 3, pp. 211–216, 2009. [16] Z. Chen, Y. Wang, Y. Cheng, and H. Yin, “Fast design and cold-circuit properties simulation for the slow wave structure of a 0.14 THz broadband folded waveguide traveling wave tube,” J. Infrared Millim. Waves, vol. 31, pp. 926–933, 2010. [17] A. Kasapi, M. Jain, G. Y. Yin, and S. E. Harris, “Electromagnetically induced transparency: Propagation dynamics,” Phys. Rev. Lett., vol. 74, no. 13, pp. 2447–2450, 1995. [18] L. V. Hau, S. E. Harris, Z. Dutton, and C. H. Behroozi, “Light speed reduction to 17 metres per second in an ultracold atomic gas,” Nature, vol. 397, pp. 594–598, 1999. [19] M. Fleischhauer and M. D. Lukin, “Dark-state polaritons in electromagnetically induced transparency,” Phys. Rev. Lett., vol. 84, no. 22, pp. 5094–5097, 2000. [20] C.-Y. Chen, I.-W. Un, N.-H. Tai, and T.-J. Yen, “Asymmetric coupling between subradiant and superradiant plasmonic resonances and its enhanced sensing performance,” Opt. Exp., vol. 17, no. 17, pp. 15 372–15 380, 2009. [21] N. Liu, T. Weiss, M. Mesch, L. Langguth, U. Eigenthaler, M. Hirscher, C. S. Nnichsen, and A. H. Giessen, “Planar metamaterial analogue of electromagnetically induced transparency for plasmonic sensing,” Nano Lett., vol. 10, pp. 1103–1107, 2010. [22] Z. L. Wang, K. Hashimoto, N. Shinohara, and H. Matsumoto, “Frequency-selective surface for microwave power transmission,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2039–2042, Oct. 1999. [23] F. Bayatpur and K. Sarabandi, “Single-layer high-order miniaturizedelement frequency-selective surfaces,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 774–781, Apr. 2008. [24] F. Bayatpur and K. Sarabandi, “A tunable metamaterial frequency-selective surface with variable modes of operation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 6, pp. 1433–1438, Jun. 2009.

3021

[25] F. Bayatpur and K. Sarabandi, “Multipole spatial filters using metamaterial-based miniaturized-element frequency-selective surfaces,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2742–2747, Dec. 2008. [26] J. Bonache, I. Gil, J. Garcia-Garcia, and F. Martin, “Novel microstrip bandpass filters based on complementary split-ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 265–271, Jan. 2006. [27] J. Garcia-Garcia, F. Martin, F. Falcone, J. Bonache, J. D. Baena, I. Gil, E. Amat, T. Lopetegi, M. A. G. Laso, J. A. M. Iturmendi, M. Sorolla, and R. Marques, “Microwave filters with improved stopband based on sub-wavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1997–2004, Jun. 2005. [28] X. Gong, T. Smyth, E. Ghaneie, and W. J. Chappell, “High- resonators and filters inside advanced low-temperature co-fired ceramic substrates using fine-scale periodicity,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 922–930, Apr. 2008. [29] Z.-G. Dong, H. Liu, J.-X. Cao, T. Li, S.-M. Wang, S.-N. Zhu, and X. Zhang, “Enhanced sensing performance by the plasmonic analog of electromagnetically induced transparency in active metamaterials,” Appl. Phys. Lett., vol. 97, no. 11, 2010, Art. ID 114101(3). [30] S. Chakrabarti, S. A. Ramakrishna, and H. Wanare, “Coherently controlling metamaterials,” Opt. Exp., vol. 16, no. 24, pp. 19 504–19 511, 2008. [31] N. Liu, L. Langguth, T. Weiss, J. Kastel, M. Fleischhauer, T. Pfau, and H. Giessen, “Plasmonic analogue of electromagnetically induced transparency at the Drude damping limit,” Nature Mater., vol. 8, no. 9, pp. 758–762, 2009. [32] K. Aydin, I. M. Pryce, and H. A. Atwater, “Symmetry breaking and strong coupling in planar optical metamaterials,” Opt. Exp., vol. 18, no. 13, pp. 13 407–13 417, 2010. [33] R. Singh, C. Rockstuhl, F. Lederer, and W. Zhang, “Coupling between a dark and a bright eigenmode in a terahertz metamaterial,” Phys. Rev. B, Condens. Matter, vol. 79, no. 8, 2009, Art. ID 085111(4). [34] J. Kim, R. Soref, and W. R. Buchwald, “Multi-peak electromagnetically induced transparency (EIT)-like transmission from bull’s-eyeshaped metamaterial,” Opt. Lett., vol. 19, no. 17, pp. 17 997–18 002, 2010. [35] S.-Y. Chiam, R. Singh, C. Rockstuhl, F. Lederer, W. Zhang, and A. A. Bettiol, “Analogue of electromagnetically induced transparency in a terahertz metamaterial,” Phys. Rev. B, Condens. Matter, vol. 80, no. 15, 2009, Art. ID 153103(4). [36] F.-Y. Meng, F. Zhang, K. Zhang, Q. Wu, J.-Y. Kim, J.-J. Choi, B. Lee, and J.-C. Lee, “Low-loss magnetic metamaterial based on analog of electromagnetically induced transparency,” IEEE Trans. Magn., vol. 47, no. 10, pp. 3347–3350, 2011. [37] S. Zhang, D. A. Genov, Y. Wang, M. Liu, and X. Zhang, “Plasmoninduced transparency in metamaterials,” Phys. Rev. Lett., vol. 101, no. 4, 2008, Art. ID 047401(4). [38] J. D. Baena, R. Marque’s, and F. Medina, “Artificial magnetic metamaterial design by using spiral resonators,” Phys. Rev. B, Condens. Matter, vol. 69, no. 1, 2004, Art. ID 014402(5). [39] R. Marques, F. Medina, and R. Rafii-El-Idrissi, “Role of bianisotropy in negative permeability and left-handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 65, 2002, Art. ID 144440(6). [40] R. Marques, F. Mesa, J. Martel, and F. Medina, “Comparative analysis of edge- and broadside-coupled split ring resonators for metamaterial design—Theory and experiments,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2572–2581, Oct. 2003. [41] “CST Microwave Studio Manual,” CST, Darmstadt, Germany, 2002. [42] F.-Y. Meng, Q. Wu, D. Erni, and L.-W. Li, “Controllable metamaterial-loaded waveguides supporting backward and forward waves,” IEEE Trans. Antennas Propag., vol. 59, no. 9, pp. 3400–3411, Sep. 2011. [43] H. Guo, N. Liu, L. Fu, T. P. Meyrath, T. Zentgraf, H. Schweizer, and H. Giessen, “Resonance hybridization in double split-ring resonator metamaterials,” Opt. Exp., vol. 15, no. 19, pp. 12 095–12 101, 2007. [44] J. Ye and P. V. Dorpe, “Improvement of figure of merit for gold nanobar array plasmon IC sensors,” Plasmonics, vol. 6, pp. 665–671, 2011. [45] S. Raza, G. Toscano, A.-P. Jauho, N. A. Mortensen, and M. Wubs, “Refractive-index sensing with ultrathin plasmonic nanotubes,” Phys. Opt. Mar. 2012. [Online]. Available: arXiv:1203.0575v1 [46] I. M. Pryce, Y. A. Kelaita, K. Aydin, and H. A. Atwater, “Compliant metamaterials for resonantly enhanced infrared absorption spectroscopy and refractive index sensing,” ACS Nano, vol. 5, no. 10, pp. 8167–8174, 2011.

3022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fan-Yi Meng (S’07–M’09) received the B.S. degree, M.S., and Ph.D. degrees in electromagnetics from the Harbin Institute of Technology, Harbin, China in 2002, 2004, and 2007, respectively. Since August 2007, he has been with the Department of Microwave Engineering, Harbin Institute of Technology, where he is currently an Associate Professor. He has coauthored four books, 40 international refereed journal papers, over 20 regional refereed journal papers, and 20 international conference papers. His current research interests include electromagnetic and optical metamaterials, plasmonics, and electromagnetic compatibility (EMC). Dr. Meng was a recipient of several awards including the 2010 Award of Science and Technology from the Heilongjiang Province Government of China, the 2010 “Microsoft Cup” IEEE China Student Paper Contest Award, two Best Paper Awards from the National Conference on Microwave and Millimeter Wave in China (2009 and 2007, respectively), the 2008 University Excellent Teacher Award of the National University of Singapore, the 2007 Excellent Graduate Award of Heilongjiang Province of China, and the Outstanding Doctor Degree Dissertation Award of the Harbin Institute of Technology.

Qun Wu (M’94–SM’05) received the B.Sc. degree in radio engineering, M.Eng. degree in electromagnetic fields and microwave technology, and Ph.D. degree in communication and information systems engineering from the Harbin Institute of Technology (HIT), Harbin, China in 1977, 1988, and 1999, respectively. From 1998 to 1999, he was a Visiting Professor with Seoul National University (SNU), Seoul, Korea. From 1999 to 2000, he was a Visiting Professor with the Pohang University of Science and Technology. Since 1990, he has been with Department of Electronic and communication Engineering, HIT, where he is currently a Professor. He has authored or coauthored over 50 international and regional refereed journal papers. His recent research interests are mainly in microwave active circuits, electromagnetic compatibility, monolithic microwave integrated circuits (MMICs), and millimeter-wave microelectromechanical systems (MEMS) devices. Dr. Wu was the recipient of two Third-Class Prizes and one Second-Class Prize of Scientific Progress Awards from the Ministry of Aerospace of China in 1989 and 1992, respectively.

Daniel Erni (S’88–M’93) received the Diploma degree in electrical engineering from the University of Applied Sciences (HSR), Rapperswil, Switzerland, in 1986, the Diploma degree in electrical engineering and Ph.D. degree from ETH Zürich, Zürich, Switzerland, in 1990 and 1996, respectively. Since 1990, he has been with the Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zürich. He was the founder, and from 1995 to 2006, the Head of the Communication Photonics Group, ETH Zürich. Since October 2006, he has been a Full Professor of general and theoretical electrical engineering at the University of Duisburg–Essen, Duisburg–Essen, Germany. His current research includes advanced data transmission schemes (i.e. O-MIMO) in board-level optical interconnects, optical on-chip interconnects, ultra-dense integrated optics, nanophotonics, plasmonics, electromagnetic and optical metamaterials, and quantum optics. On the system level, he has pioneered the introduction of numerical structural optimization into dense integrated optics device design. He is a member of the Editorial Board of the Journal of Computational and Theoretical Nanoscience and edited the 2009 “Special Issue on Functional Nanophotonics and Nanoelectromagnetics.” He has authored or coauthored over 300 publications. Dr. Erni is a Fellow of the Electromagnetics Academy. He is a member of the Center for Nanointegration Duisburg–Essen (CeNIDE). He is also as a member of the Swiss Physical Society (SPS), the German Physical Society (DPG), and the Optical Society of America (OSA). He is an associated member of the Swiss Electromagnetics Research Centre (SEREC).

Ke Wu (M’87–MS’92–F’01) is a Professor of electrical engineering and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the Ecole

Polytechnique (University of Montreal), Montreal, QC, Canada. He holds the first Cheung Kong endowed chair professorship (visiting) with the Southeast University and the first Sir Yue-Kong Pao chair professorship (visiting) with the Ningbo University. He also holds an honorary professorship with the Nanjing University of Science and Technology, Nanjing University of Post Telecommunication, and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center, and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also held guest and visiting professorships with many universities around the world. He has authored or coauthored over 800 referred papers and a number of books/book chapters. He holds numerous patents. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, wireless power transmission, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, Sigma Xi, and URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, international Steering Committees, and international conferences/symposia. In particular, he will be the general chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He has served on the editorial/review boards of many technical journals, transactions, and letters, as well as scientific encyclopedia including having been an editor and guest editor. He is currently the chair of the joint IEEE chapters of the IEEE MTT-S/AP-S/LEOS in Montreal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2015. He has served as chair of the IEEE MTT-S Member and Geographic Activities (MGA) Committee. He was an IEEE MTT-S Distinguished Microwave Lecturer (January 2009–December 2011). He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of IEEE Canada, and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada.

Jong-Chul Lee (S’91–M’96–SM’07) received the B.S. and M.S. degrees in electronic engineering from Hanyang University, Seoul, Korea, in 1983 and 1985, respectively. He received the M.S. degree from Arizona State University, Tempe, in 1989 and the Ph.D. degree from Texas A&M University, College Station, in 1994, all in electrical engineering. From June 1994 to February 1996, he was a Senior Researcher with the Photonic Devices Laboratory, System Integrated Circuit (IC) Research and Development Laboratory, Hyundai Electronics Industrial Company Ltd., where he was involved in the development of several high-speed laser diodes and photodiodes and transmitter/receiver modules. He then joined the Department of Radio Science and Engineering, Kwangwoon University, Seoul, Korea, where he is currently a Professor. He also serves as Project Director of the ITRC RFIC Center, Kwangwoon University, which, since Aug. 2000, is funded by the Ministry of Information and Telecommunication. Since December 2001, he has been a Guest Professor with the Department of Electronics and Communication, Harbin Institute of Technology. He is a Visiting Scholar with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla. He has authored or coauthored over 50 papers in international conference and journals. He currently participates in several government projects related to millimeter-wave devices. His research interests include optoelectronics, RF photonics, RF MEMS, RF applications for ferroelectric materials, millimeter-wave passive and active devices, MMICs, and OEMIC. Dr. Lee is a member of the Korea Electromagnetic Engineering Society (KEES) and the Korean Institute of Electrical, Electronic, and Materials Engineers (KIEEME).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3023

Common-Mode Suppression in Microstrip Differential Lines by Means of Complementary Split Ring Resonators: Theory and Applications Jordi Naqui, Student Member, IEEE, Armando Fernández-Prieto, Student Member, IEEE, Miguel Durán-Sindreu, Member, IEEE, Francisco Mesa, Senior Member, IEEE, Jesús Martel, Member, IEEE, Francisco Medina, Fellow, IEEE, and Ferran Martín, Fellow, IEEE

Abstract—This paper is focused on the application of complementary split-ring resonators (CSRRs) to the suppression of the common (even) mode in microstrip differential transmission lines. By periodically and symmetrically etching CSRRs in the ground plane of microstrip differential lines, the common mode can be efficiently suppressed over a wide band whereas the differential signals are not affected. Throughout the paper, we present and discuss the principle for the selective common-mode suppression, the circuit model of the structure (including the models under even- and odd-mode excitation), the strategies for bandwidth enhancement of the rejected common mode, and a methodology for common-mode filter design. On the basis of the dispersion relation for the common mode, it is shown that the maximum achievable rejection bandwidth can be estimated. Finally, theory is validated by designing and measuring a differential line and a balanced bandpass filter with common-mode suppression, where double-slit CSRRs (DSCSRRs) are used in order to enhance the common-mode rejection bandwidth. Due to the presence of DS-CSRRs, the balanced filter exhibits more than 40 dB of common-mode rejection within a 34% bandwidth around the filter pass band. Index Terms—Complementary split-ring resonator (CSRR), differential transmission lines, metamaterial-inspired lines, split-ring resonator (SRR).

I. INTRODUCTION N a recent work presented by the authors [1], it was demonstrated that complementary split-ring resonators (CSRRs) [2] are useful for the suppression of the even (common) mode in microstrip differential lines. The suppression of the even mode is of interest in high-speed digital circuits based on differential

I

Manuscript received March 05, 2012; revised June 25, 2012; accepted June 27, 2012. Date of publication August 28, 2012; date of current version September 27, 2012. This work was supported in part by MICIIN-Spain under Contract TEC2010-17512, Contract TEC2010-16948, and Contract TEC2011-13615-E, Generalitat de Catalunya under Project 2009SGR-421 and VALTEC08-1-0009, and by MICIIN-Spain Project CONSOLIDER EMET CSD2008-00066. The work of J. Naqui was supported by MICIIN-Spain through FPU Grant AP2010-0431. J. Naqui, M. Durán-Sindreu, and F. Martín are with GEMMA/CIMITEC, Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, 08193 Bellaterra, Spain (e-mail: [email protected]). A. Fernández-Prieto, F. Mesa, J. Martel, and F. Medina are with Grupo de Microondas, Universidad de Sevilla, 41012 Sevilla, Spain (e-mail: Medina@us. es). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209675

signals in order to reduce common-mode radiation and electromagnetic interference (EMI). Prior to our work [1], several approaches were reported to efficiently suppress the even mode while keeping the integrity of the differential signals in differential transmission lines. The common-mode filters based on lowtemperature cofired ceramic (LTCC) technology reported in [3] or the negative permeability structures of [4] are compact and provide efficient common-mode rejection over wide frequency bands, but they are technologically complex. Common-mode filters based on defected ground structures were also reported. In [5], dumbbell-shaped periodic patterns etched in the ground plane, underneath the differential lines, were used to suppress the even mode by opening the return current path through the ground plane. This has a small effect on the differential signals (odd mode), since relatively small current density returns through the ground plane for such signals. In [6], a wide stopband for the common mode was achieved by using U-shaped and H-shaped coupled resonators symmetrically etched in the ground plane. A comparison of the previous approaches, including our CSRR-based common-mode filters, was reported in [1], and it was found that the combination of size, bandwidth, and stopband rejection achievable by means of CSRR-loaded differential lines is very competitive. As reported in [1], tightly coupled CSRRs are necessary [7] to enhance the rejection bandwidth of the common mode. To gain insight on this, the dispersion relation of the equivalent circuit model for the common mode, including inter-resonator coupling, will be obtained. Due to the electric coupling between adjacent CSRRs, the equivalent circuit model of the unit cell for the common mode consists of a four-port network. By applying Floquet’s analysis to the circuit, the dispersion relation will be inferred, and it will be demonstrated that bandwidth enhancement is due to the destructive interference of complex modes (see, for instance, [8] and references therein). It was also shown in [1] that, to enhance the rejection bandwidth of an individual resonator (common mode), it is necessary to increase the coupling capacitance between the pair of lines and the CSRR and to decrease the inductance and capacitance of the CSRR. This was achieved in [1] by increasing the rings width and separation. Alternatively, it will be shown in this paper that bandwidth enhancement can be obtained by replacing the CSRRs with double-slit CSRRs (DS-CSRRs) [9]. The advantage of using DS-CSRRs is that the bandwidth can be enhanced while preserving the description of the particle

0018-9480/$31.00 © 2012 IEEE

3024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

through an LC resonant tank. The validity of the circuit model is important for synthesis purposes. This means that broadband common-mode filters based on largely separated and wide slot rings can be implemented (as was demonstrated in [1]), but not following a systematic approach, which is one of the main motivations of the present work. This paper is organized as follows. The principle for selective common-mode suppression in microstrip differential lines loaded with CSRRs is presented in Section II. The equivalent circuit model of the structure, as well as the models that result from even- and odd-mode excitation, are presented and used to validate the principle for common-mode suppression. As long as the proposed common-mode filters can be considered as truncated periodic structures, the knowledge of the dispersion relation of these CSRR-loaded differential lines is a powerful tool to estimating the maximum achievable common mode rejection bandwidth. Thus, Section III is devoted to infer the dispersion relation for the common-mode signals by considering inter-resonator coupling in the common-mode equivalent circuit model. Section IV discusses the effects of inter-resonator coupling and number of resonators on bandwidth enhancement and compares the common-mode filtering capabilities of CSRRs and DS-CSRRs. A methodology to designing CSRR or DS-CSRR common-mode filters and to estimating the achievable common-mode rejection bandwidth is presented in Section V. Section VI is focused on the characterization of two devices designed to demonstrate the selective mode suppression in DS-CSRR-based differential lines, and the enhanced rejection of the common mode in balanced filters loaded with DS-CSRRs. A comparison to other common mode filters is given in Section VII. Finally, the main conclusions are highlighted in Section VIII. II. SELECTIVE COMMON-MODE SUPPRESSION IN DIFFERENTIAL LINES BY MEANS OF CSRRS: PRINCIPLE AND MODELING SRRs and CSRRs magnetically and electrically coupled, respectively, to transmission lines have been used for the implementation of stopband filters in microstrip and coplanar waveguide (CPW) technology [10]–[13]. In the vicinity of their resonance frequency, an array of electrically small SRRs/CSRRs excited with the magnetic/electric field in the axial direction (i.e., normal to the SRR or CSRR plane) behaves as an effective medium with negative permeability/permittivity [14]–[16]. Under these polarization conditions such media are opaque and signal propagation is inhibited around resonance. The stopband characteristics of transmission lines periodically loaded with SRRs or CSRRs have been interpreted as due to the negative effective permeability or permittivity of these one-dimensional (1-D) effective structures [2], [15]. However, the stopband behavior of these artificial lines can also be explained from circuit theory, since the SRRs and CSRRs (coupled to the lines) introduce transmission zeros. Let us now discuss how CSRRs can be used to selectively suppress the even mode in microstrip differential transmission lines. As reported in [1], the strategy to suppress the common mode in microstrip differential lines, while keeping the fundamental (odd) mode unaffected, is to symmetrically etch an array

Fig. 1. (a) Typical topology of a microstrip differential transmission line with square-shaped CSRRs symmetrically etched in the ground plane to suppress the even mode. (b) Equivalent circuit model of the unit cell, including inter-resonator coupling. The ground plane is depicted in gray. The relevant dimensions are indicated.

of CSRRs in the ground plane, as shown in Fig. 1(a). The circuit model of the unit cell of this structure (including the electric coupling between adjacent resonators by means of a capacitor) is depicted in Fig. 1(b). As reported in [1], models accounts for the electric couthe inductance of the lines, pling between the lines and the resonator, the CSRR is mod, and and eled by the parallel resonant tank, model the mutual capacitance and inductance between the coumodels the electric coupling pled lines, respectively. Finally, between adjacent resonators. In the model reported in [1], such inter-resonator coupling was neglected. However, our intention is to study the effects of such coupling on the rejection bandwidth for the common mode. Therefore, the introduction of in the model is necessary, and the result is a six-port network. In view of Fig. 1(b), the differential signals are insensitive to the presence of the CSRRs, but these resonators prevent the transmission of the common mode at certain frequencies. The equivalent circuit models (unit cell) of the structure of Fig. 1(a) under even and odd mode excitation are depicted in Fig. 2(a) and (b), respectively. For the odd mode, the resonator is short-circuited to ground, and the resulting model is that of a conventional transmission line. For the even mode, we obtain the circuit of a conventional CSRR-loaded line [17], but with modified parameters and inter-resonator coupling (hence a four-port network). Thus, we do expect a stopband behavior for the common mode, enhanced by the presence of coupling between adjacent CSRRs (as will be shown later). In terms of field distributions, it is well known that the CSRR (first resonance) can be excited by means of a time-varying axial electric field [2]. For the common mode, there is a strong density of electric field lines in the same direction below both lines. This causes CSRR excitation and hence a stopband. For the odd mode, the axial components of the electric field distribution exactly cancel if the structure is symmetric, (i.e., the gaps of the

NAQUI et al.: COMMON-MODE SUPPRESSION IN MICROSTRIP DIFFERENTIAL LINES BY MEANS OF CSSRs

3025

with

(5a) (5b) (5c) (5d) Fig. 2. Circuit model (unit cell) of the differential line loaded with CSRRs for (a) the even and (b) odd modes.

CSRR are aligned with the symmetry plane of the differential line), and the CSRR is not activated. III. COMMON-MODE DISPERSION RELATION Here, we infer the dispersion relation for the common mode of a differential line periodically loaded with CSRRs. Let us consider an infinite structure formed by cascading the four-port blocks that describe the unit cell of a microstrip differential line , loaded with CSRRs for the common mode [Fig. 2(a)]. Let , , and be the voltages and currents at the ports (1 and , 2) of the left-hand-side (subscript ) of the unit cell, and , , and the variables at the right-hand-side ports. From multiconductor line theory [18], [19], it follows that the variables at both sides of the unit block are related by

(1) where , , and are 2 2 matrices while , , and are column vectors composed of the pair of port variables. As it follows from Bloch mode theory, the dispersion relation is obtained from the eigenmodes of the above system, which are inferred by forcing a given phase shift along the unit block, and setting the determinant of the resulting 4 4 matrix to be null: (2) where is the identity matrix, the phase-shift factor is the eigenvalue, is the complex propagation constant, and is the unit cell length. For reciprocal, lossless, and symmetric networks, the eigenvalue solution can be simplified to the solution of the following equation [20], [21]:

(3) The matrix elements for the network of Fig. 2(a) can easily be calculated as

(4)

where is the angular frequency. By introducing (4) into (3) and solving the determinant, we can obtain . In this four-port network, there are two solutions (denoted later by and ) for :

(6) The dispersion relation (6) will be used in Sections IV and V, respectively, to study the effects of inter-resonator coupling on bandwidth enhancement and to predict the maximum achievable bandwidth of periodic CSRR-loaded differential lines. IV. BROADENING THE COMMON-MODE REJECTION BANDWIDTH As pointed out in [1], there are three main strategies to enhance the rejection bandwidth in CSRR-based structures: 1) to tune the resonance frequency of several resonators in order to cover a wide band [10]; 2) to couple the resonators; and 3) to enhance the bandwidth of the individual resonators (and, obviously, a combination of the previous approaches). The multiple tuned resonators approach is efficient, but many particles are needed to cover a wide band if narrowband resonators are used. In the following subsections, the other two strategies for common-mode rejection enhancement in microstrip differential lines are discussed. A. Inter-Resonator Coupling The analysis of the dispersion relation is a convenient mean to gain insight on the rejection bandwidth for the common mode and, specifically, to analyze the effects of inter-resonator coupling on bandwidth enhancement. We have obtained the two modal propagation constants by considering the following ele6.3 nH, 1.1 pF, 2.1 nH, ment values: 3.2 pF, and 0.1 pF (i.e., those extracted from an electrically small CSRR-based structure considered in Section V). The results, shown in Fig. 3, indicate that, for each mode, there is purely real (evanescent mode), purely are regions where imaginary (propagating mode), or complex (complex mode). If complex modes are present, these modes must appear as conjugate pairs [8], and this is exactly the case in the region comand prised between 1.42 GHz and 1.61 GHz, that is , where . The forbidden band includes the region where complex modes are present (these modes do not carry net power [8], [22]–[24]), plus an additional region where , , and (between 1.61 GHz

3026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Topology of a square-shaped DS-CSRR.

Fig. 3. (a) Attenuation constant and (b) magnitude of the phase constant of l corresponding to the two modes of the network of Fig. 2(a). If inter-resonator coupling is cancelled (C = 0), the two modes degenerate in a single mode, also depicted. The evanescent and complex mode regions are highlighted in light and dark gray, respectively.

and 1.93 GHz) in which the modes are evanescent. As pointed out in [24], the pair of complex modes also inhibits signal propagation since such modes co-exist and have contra directional phase. The forward complex mode is related to microstrip wave propagation, whereas the backward complex mode resembles electroinductive waves [25] (the dispersion behavior is similar to that found in a shielded Sievenpiper structure [24]). The presence of coupling results in a wider stop band, as compared to the structure without electric coupling between adjacent resonators. This has been corroborated from the dispersion relation that re(also depicted in Fig. 3). However, sults by forcing ), by magnifying inter-resonator coupling (large value of the forbidden band does not substantially increase as compared 0.1 pF. Thus, inter-resonator couwith the case with pling helps to broaden the stopband of the common mode in CSRR-loaded differential lines, but the sensitivity in bandwidth based on CSRR-to-CSRR coupling is limited, which makes apparent the convenience of implementing the common-mode rejection filters with broadband resonators. The dispersion relation of common-mode filters described by a similar circuit to that of Fig. 2(a) is reported in [4], where the four-port -matrix of the circuit model and periodic boundary conditions related with the Bloch–Floquet theorem are used. maOur reported approach is based on the transmission trix and multiconductor transmission line theory, and the complex modes are pointed out as the cause of rejection bandwidth enhancement for the common mode. B. Wideband Resonators: DS-CSRRs As was illustrated in Section IV-A, inter-resonator coupling enhances bandwidth but, in practice, such effect is limited.

Therefore, it is important to increase the bandwidth of the individual resonators as much as possible. Here, we consider an alternative to that reported in [1] to obtaining wideband resonators. In order to obtain a wide stopband response with a single CSRR coupled to a transmission line, it is necessary to increase the coupling capacitance between the line and the resonator and to reduce the CSRR inductance and capacitance. In [1], this was achieved by increasing the rings width and inter-rings space, with the result of a structure with tiny coupled slot rings. Under these circumstances, the CSRR is properly described by an LC resonant tank capacitively coupled through the main line in a very narrow region in the vicinity of the transmission zero (nevertheless, it was demonstrated in [1] that, for CSRRs with narrow and closely spaced rings, the equivalent circuit of Fig. 2(a) is valid within a wide band). As an alternative to obtain wideband resonators, the DS-CSRR can be considered [9] (see Fig. 4). Like the CSRR, the DS-CSRR is topologically symmetric, which makes it appropriate for the selective common-mode suppression. In comparison to a CSRR with the same dimensions and etched in the same substrate, the DS-CSRR has almost the same capacitance, but four times smaller inductance [9]. Hence, the DS-CSRR resonance frequency and electrical size are twice those of the CSRR. Since for a given (required) transmission zero, the DS-CSRR is larger than the CSRR, the coupling capacitance can also be larger, and, by virtue of a smaller inductance (as compared to that of a CSRR), we can obtain a wider bandwidth. Notice that since the DS-CSRR is electrically larger than the CSRR, it is expected that the circuit model of Fig. 2(a) provides an accurate description for the common mode over a wider band for the CSRR-loaded lines. This means that any prediction on common-mode rejection bandwidth based on the circuit model (Section V) is expected to be more accurate for CSRR-loaded lines. Nevertheless, it will be shown that approximate estimations can also be made by considering DS-CSRRs. Obviously, DS-CSRRs with wide and distant slot rings can also be considered to further enhancing the common-mode rejection bandwidth, but in this case the circuit model cannot be used for predicting the stopband (the same occurs with CSRRs with wide and distant slot rings). Indeed, the accuracy of the model depends not only on the electrical size of the particles, but also on the slot width and the inter-slot distance. The electrical size is intimately related to the coupling (and hence to the separation ) between the inner and outer slot rings. In practice, the minimum printable slot and strip width

NAQUI et al.: COMMON-MODE SUPPRESSION IN MICROSTRIP DIFFERENTIAL LINES BY MEANS OF CSSRs

(in conventional PCB technology) is limited to 100 or 150 m, and this dictates the frequency limits where the circuit model of Fig. 2(a) provides an accurate description of the structure. To compare the effects of both particles on common-mode rejection bandwidth and to study the effects of increasing their number (filter order), we have designed several CSRR- and DS-CSRR-loaded differential lines. For a proper comparison, to the same value for both particles, we have set and specifically to our minimum nominal value that guarantees acceptable tolerance deviations in the actual values of the 200 m . In addition, the fabricated prototypes side length of the particles has been adjusted in order to obtain the required filter central frequency . By means of the Agilent Momentum full-wave electromagnetic solver, we have computed the fractional rejection bandwidth for the common , where mode, and the upper and lower frequency limits of the stopband have been considered to be those frequencies where the common-mode rejection level is 20 dB (losses have been excluded in these simulations). The results for different orders and central filter frequencies are shown in Fig. 5(a). As expected, the common-mode rejection bandwidth is wider for DS-CSRR-loaded differential lines. Despite the fact that, for a given central frequency an individual DS-CSRR is larger than a CSRR, if we compare CSRR- and DS-CSRR-loaded lines with the same area [see Fig. 5(b)], we see that the rejection bandwidth is wider for the latter. With these results, it can be concluded that DS-CSRRs exhibit a good balance between common-mode filter size and achievable bandwidth. The results of Fig. 5 also show that the electrical size of the structures increases as the central frequency increases. That occurs because, in shifting the central frequency up, the particle dimensions have not been scaled down, that is, resonator side length has been decreased, but and have been kept constant (as well as the substrate height). It is also worth mentioning that the common-mode rejection bandwidth decreases as the central frequency increases. This aspect is attributed to a drastic decrease in the coupling capacitance between the differential line and the resonators due to the fact that the substrate thickness is not scaled down. V. COMMON-MODE FILTER DESIGN A. Filter Design The aim of this section is to provide a methodology for the design of microstrip differential lines with common-mode suppression and to predict the achievable rejection bandwidth on the basis of the common-mode equivalent circuit model and dispersion relation. For the reasons explained before, relative to the validity of the model of Fig. 2(a) and fabrication tolerances, 200 m (notice that this reduces we will consider the degrees of freedom and eases the common-mode filter design). We use square-shaped resonators (rather than circular) to enhance the electric coupling between the differential line and the resonators and between adjacent resonators as well. To further enhance inter-resonator coupling, the separation between adjacent resonators is also set to 200 m. The differential line

3027

0

Fig. 5. (a) Fractional rejection bandwidth (FBW) at 20 dB for the common mode given by electromagnetic simulation and (b) area as a function of the even mode guided wavelength of CSRR- and DS-CSRR-loaded differential lines. Dimensions are: for the CSRRs and DS-CSRRs, c = d = 0.2 mm, and inter-resonator distance = 0.2 mm; for the CSRRs, side length = 7.3 mm (f = 1.5 GHz), 4.3 mm (f = 3 GHz), and 2.6 mm (f = 6 GHz); for the DS-CSRRs, side length = 3.8 mm (f = 1.5 GHz), 7.5 mm (f = 3 GHz), and 4.3 mm (f = 6 GHz); for the differential line, 2W + S = side length 2(2c d) + 0.4 mm exhibiting a 50- characteristic impedance (odd mode). The considered substrate is the Rogers RO3010 with thickness h = 1.27 mm, and dielectric constant " = 10.2.

0

0

is designed to exhibit an odd-mode characteristic impedance of 50 . In order to achieve a strong electric coupling between the pair of lines and the resonator, the lines must be fitted inside the CSRR (or DS-CSRR) region and must be as wide as possible and, hence, as uncoupled as possible (line dimensions can easily be inferred from a transmission line calculator). The side length of the resonator is determined from the model of the CSRR reand ) and the per-unit length ported in [17] (which gives capacitance of the coupled lines for the even mode (which gives ). The transmission zero frequency, given by (7) is adjusted to the required central filter frequency, and this provides the CSRR side length (for a DS-CSRR the side length can also be determined by taking into account that the inductance is four times smaller than the inductance of the CSRR). Obviously, optimization of the resonator side length in order to fit the required transmission zero is necessary (notice that the transmission zero frequency provides a reasonable estimate of the central filter frequency).

3028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I EXTRACTED PARAMETERS AND MAXIMUM FRACTIONAL BANDWIDTH INFERRED FROM THE CIRCUIT MODEL

With the previous procedure, the common-mode filter dimensions are perfectly determined. To predict the maximum achievable bandwidth, i.e., the bandwidth obtained by considering an infinite number of cells, the dispersion relation is used. However, since optimization at the layout level is required, it is necessary to extract the parameters of the circuit model following the procedure reported in [26], where the element values are obtained from certain mapping conditions such as the transmission zero frequency and the intercept of the reflection coeffiwith the unit resistance circle. Thus, , , , cient and are first extracted by considering a single cell structure, is adjusted to fit the electromagnetic simulation of and then an order-2 common-mode filter. Once the circuit parameters are known, (6) can be evaluated, and the common-mode stopband can be determined. Following the previous approach, we have obtained the maximum achievable rejection bandwidth for different CSRR and DS-CSRR common-mode filters. To compare with full-wave electromagnetic simulations, we have considered those central frequencies and that substrate of Fig. 5. The extracted circuit parameters and estimated fractional bandwidths are shown in Table I. By comparing the maximum fractional bandwidths predicted by the reported approach with the saturation values of Fig. 5(a), we can conclude that the reported approach is more accurate for CSRR-loaded lines, as expected. Fig. 6 compares the circuit and electromagnetic simulation (common mode) of the order-1, -2, and -3 CSRR-based common-mode filters designed to exhibit a central frequency (actually the transmission zero for the single-stage structure) of 1.5 GHz. Note that, in the circuit simulation, the inter-resonator capacitance at input and output ports have been left opened since the CSRRs of the input and output cells are not externally fed, resulting in a two-port circuit. There is good agreement between the circuit and electromagnetic simulation. As can be seen in Fig. 5(a), six resonators are sufficient to nearly achieve the maximum rejection bandwidth. Obviously, filter size can be reduced by decreasing the number of resonators but at the expense of a reduced common mode rejection bandwidth. Thus, following a systematic approach based on the circuit model of the common mode, we can infer whether a specified rejection bandwidth and central frequency can be roughly fulfilled or not. If the required bandwidth is wider, we are forced and inter-slot disto consider resonators with wider slots , or, alternatively, multiple tuned resonators. In this tance case, however, filter design and maximum bandwidth estimation

j and insertion loss jS j given by Fig. 6. Common-mode return loss jS the electromagnetic and circuit simulation for the order-1, -2, and -3 commonmode filters (1.5 GHz central frequency) based on CSRRs. Dimensions and substrate are indicated in the caption of Fig. 5. Circuit parameters are given in Table I.

are not so straightforward. Notice that the reported approach allows us to infer the maximum achievable rejection bandwidth, rather than setting the common mode rejection bandwidth to a given value. B. Discussion on the Rejection Bandwidth Definition Finally, it is important to discuss if the meaning of the maximum fractional bandwidth inferred from the dispersion relation actually corresponds to the 20 dB bandwidth of a structure with a large number of cells. Indeed, the dispersion relation provides the frequency regions where signal propagation is allowed or forbidden for an infinite number of cells. For a finite number of cells, the most relevant effects that may occur are impedance mismatch in the allowed bands or small rejection within the forbidden bands. Therefore, an analysis to determine the rejection level that properly defines the forbidden band is very convenient. It is well known that the transmission coefficient in two-port periodic structures exhibits ripple in the allowed bands. Such ripple is caused by impedance mismatch, and maximum transmission is produced at those frequencies where either the Bloch impedance is matched to the impedance of the ports (impedance matching) or the electrical length is a multiple of (phase matching). Between adjacent transmission peaks, the transmission coefficient is a minimum at those frequencies . The transmission where the phase is an odd multiple of coefficient at these frequencies of minimum transmission can easily be obtained according to [27] (8) Indeed, the previous function gives the envelope of the transmission coefficient in the allowed bands. Expression (8) is the corresponds to a two-port structure, where the reference impedance of the ports Bloch impedance and 50 . However, the structure under analysis is described by a circuit model with two input and two output ports [Fig. 2(a)]. In a two-port structure, the Bloch impedance is obtained by the ratio between the eigenvector variables (voltage and current). For the circuit of Fig. 2(a), the pair of eigenvectors

NAQUI et al.: COMMON-MODE SUPPRESSION IN MICROSTRIP DIFFERENTIAL LINES BY MEANS OF CSSRs

3029

( and ) can be obtained by using (1) and (6), and we can infer from them the characteristic impedance matrix as follows [18]: (9) and are 2 2 matrices formed by column vectors where composed of the eigenvector voltages and currents

(10a) (10b) ( and ) are simply the ratios The diagonal elements of between the voltage and current in the corresponding port when the other port is left open, whereas the anti-diagonal elements determine the effects of the current injected in one port on the and voltage in the other port when it is open. Notice that are not the ratios of the voltage and current at ports 1 and 2 for each eigenvector. Let us denote such voltage-to-current ratios and , where and discriminate each for port 1 as with and (Fig. 7), it mode. If we now compare to the left of the forbidden can be appreciated that to the right of that band. This means band, whereas that, in the allowed bands, the propagation in an infinite structure resulting by cascading the networks of Fig. 2(a) with port L2 of the first cell left opened, can be described as if it was a two-port network that supports the eigenvector composed of the variables referred to port 1 (mode and to the left and right of . the stopband, respectively) and Bloch impedance given by This approximation is valid as long as mode mixing is negligible, as occurs in the whole allowed frequency region except in a narrow band to the left of the stopband, where modes and coexist (this leads to a complex value of in that region). From previous statements, it follows that the envelope function of the transmission coefficient of any finite structure with ports L2 and R2 of the first and last cell, respectively, left open (actual conditions), can be approximated by means of (8), con. The envelope function in the allowed bands sidering of Fig. 7 is compared with the inferred through (8) with response of a 12-cell structure in Fig. 8. It is confirmed that we can use (8) to obtain the envelope function to a very good approximation. With regard to the maximum bandwidth, it coincides with the region delimited by those frequencies where the envelope function exhibits a vertical slope. This vertical slope is clearly achieved at a rejection level of 20 dB. Hence, this is a good rejection level to define the common-mode rejection bandwidth. We would like to emphasize that the proposed procedure to infer the envelope function is approximate. However, (8) provides very good results because the coupling capacitance between res, is necessarily small in the considered structures. If onators, this condition is not fulfilled, mode mixing is more pronounced, and the envelope function that results when the ports L2 and R2 of the input and output cell, respectively, are opened, cannot be inferred following this simple procedure.

Fig. 7. (a) Real and (b) imaginary parts of Z , Z , and Z . The considered circuit parameters [refer to Fig. 2(a)] are those corresponding to the CSRR common-mode filter with 1.5-GHz central frequency (i.e., those indicated in the first row of Table I).

Fig. 8. Frequency response of the 12-cell structure resulting by cascading the four-port networks of Fig. 2(a) with L2 and R2 ports of stages 1 and 12, respectively, left opened, and envelope function in the allowed bands. The forbidden band is depicted in gray.

VI. EXPERIMENTAL VALIDATION AND APPLICATIONS Two application examples of the considered structures are presented in this section: 1) a DS-CSRR-loaded differential line with common-mode suppression and 2) a differential (balanced) bandpass filter with common-mode rejection enhanced by the presence of DS-CSRRs. A. Differential Line With Common-Mode Rejection Here, the common-mode suppression in microstrip differential lines loaded with DS-CSRRs is experimentally validated. We have designed a common-mode filter similar to that reported in [1], but in this case by using DS-CSRRs with tightly coupled slot rings. The target is to implement a common-mode

3030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 9. Photograph of the designed differential line with common-mode supd pression based on DS-CSRRs. Dimensions are: for the DS-CSRRs, c 0.2 mm, longitudinal side length 17.6 mm, and transverse side length 10.8 mm; inter-resonator distance 0.2 mm; for the differential line, W 1 mm, and S 2.5mm. The considered substrate is the Rogers RO3010 1.27 mm, dielectric constant " 10.2, and loss tangent with thickness h  0.0023.

=

= tan =

=

=

= = =

=

=

filter roughly centered at 1.35 GHz and exhibiting at least 35% fractional bandwidth (at 20-dB rejection level). According to the previous methodology, these specifications cannot be ful200 m. However, filled by using CSRRs with it is possible to achieve these filter requirements by means of DS-CSRRs. Indeed, the estimated maximum bandwidth for a common-mode filter centered at 1.35 GHz has been found to be 37.3%, but we do expect a larger value since the model tends to slightly underestimate the maximum achievable bandwidth for DS-CSRR-loaded lines [this can be appreciated by comparing Table I and Fig. 5(a)]. Moreover, for comparison purposes, a rectangular-shaped DS-CSRR has been considered with its transverse side length identical to that of the CSRR reported in [1]. This favors the electric coupling between the pair of lines and the DS-CSRRs and, hence, the common-mode stopband expansion (the reason is that the DS-CSRR longitudinal side is longer than the transverse one, and this increases the couas compared with that of a square-shaped pling capacitance DS-CSRR with identical transmission zero frequency). The longitudinal side length is thus the single design parameter, and this has been determined following the same approach applied to square-shaped particles (the geometrical parameters of the structure are given in the caption of Fig. 9). The photograph and frequency response of the device, an order-3 common-mode filter, are depicted in Figs. 9 and 10, respectively (this filter order has been found to be sufficient to satisfy the bandwidth requirements). As can be seen, the differential signal is almost unaltered whilst the common mode is rejected within a fractional bandwidth (41%) comparable to that achieved in [1] by using CSRRs with wide and widely spaced rings. The DS-CSRR-based structure is a bit larger than that reported in [1], but the design has been done following the systematic procedure explained in the previous section. Fig. 11 shows the measured differential eye diagrams with the excitation of 0.2-V amplitude in 2.5 Gb/s for the differential line of Fig. 9 with and without DS-CSRRs. The eye diagram quality in terms of eye height, eye width, jitter, and eye opening factor is compared for these two structures (see Table II). According to these results, the presence of the DS-CSRRs does not significantly degrade the differential mode. The peak-to-peak jitter varies notably, but it is still within very acceptable limits for the DS-CSRR-based structure. Moreover, the eye opening factor, which measures the ratio of eye height and eye amplitude, is identical.

Fig. 10. (a) Differential-mode return loss jS j and insertion loss jS j and (b) common-mode return loss jS j and insertion loss jS j for the structure of Fig. 9.

Fig. 11. Measured differential eye diagrams for the differential line of Fig. 9 (a) with and (b) without DS-CSRRs. The eye diagram in (a) reveals that the common-mode rejection does not degrade the differential signals.

B. Differential Bandpass Filter With Common-Mode Rejection Differential bandpass filters with common-mode rejection have been reported in the literature [28]–[32]. Here, we report a balanced filter consisting of a pair of coupled stepped-impedance resonators (SIRs) fed by a differential line,

NAQUI et al.: COMMON-MODE SUPPRESSION IN MICROSTRIP DIFFERENTIAL LINES BY MEANS OF CSSRs

3031

TABLE II MEASURED EYE PARAMETERS

Fig. 13. Simulated and measured differential-mode return loss jS j and insertion loss jS j, and common-mode insertion loss jS j for the structure of Fig. 12(b) with and without the presence of the DS-CSRRs.

Fig. 12. (a) Layout and (b) photograph of the designed differential bandpass filter with improved common-mode rejection. Dimensions are: for the d 0.2 mm, longitudinal side length 17.6 mm, and DS-CSRRs, c 1 mm, transverse side length 10.8 mm; for the differential line, W and S 0.5 mm, s 2.5 mm; for the differential filter, S 2 mm, w 1.2 mm, w 0.7 mm, w 3.7 mm, l 12.8 mm, l 7.5 mm, 5.4mm, and t : mm. The considered substrate is the Rogers RO3010 l 10.2, and loss tangent with thickness h 1.27 mm, dielectric constant "  0.0023.

= =

=

= = tan =

=

=

=

= =55

=

= = =

= = =

as shown in the layout of Fig. 12(a) (other balanced filters based on SIRs have been reported in [33] and [34]). The filter by itself rejects the common mode due to the symmetry of the structure, since the symmetry plane of the resonator exhibits an electric wall at the first SIR resonance. Therefore, such resonators cannot be excited by means of common-mode signals, and the even mode is reflected back to the source due to the presence of the slots between the pair of SIRs. However, the rejection level of the common mode in the region of interest is very limited since it depends on the distance between resonators, and such inter-resonator distance is dictated by filter specifications. Next, we show that the common mode can be further rejected by introducing (cascading) DS-CSRRs. The proposed differential filter is a second-order Chebyshev bandpass filter with a central frequency of 1.37 GHz, a fractional bandwidth of 10%, and 0.1-dB ripple. The considered substrate 1.27 mm, and dielecis the Rogers RO3010 with thickness 10.2. With these specifications and substrate, tric constant the layout of the filter is that depicted in Fig. 12(a) (the design of the filter has been done following the procedure described in [35]). The frequency response of the filter (differential mode, and ) is shown in Fig. 13. The common-mode insertion , also depicted in the figure, exhibits a rejection loss

Fig. 14. Group delay for the differential filter of Fig. 12(b).

level of about 20 dB in the passband region. In order to enhance the common-mode rejection, we have cascaded two identical DS-CSRRs as shown in Fig. 12(b). Such DS-CSRRs have been designed to generate a stopband for the common mode in the passband region of the differential filter and are identical to those of Fig. 9. The presence of the DS-CSRRs does not affect the filter response (odd mode). However, by merely introducing two DS-CSRRs, the common mode rejection is roughly increased up to 50 dB in the region of interest (see Fig. 13). To completely characterize the differential filter, the group delay for the differential mode is shown in Fig. 14. These results point out that DS-CSRRs provide an efficient path to enhance the common mode noise rejection in balanced filters. VII. COMPARISON WITH OTHER COMMON-MODE FILTERS The fabricated differential line with common mode suppression of Fig. 9 is compared with that presented in [1] and with other reported differential lines in Table III. The physical dimensions given in the table correspond to the active area (defected ground area in the defected ground structures), and is the one corresponding to the even the guided wavelength mode. As compared with other approaches, our CSRR and DS-CSRR based differential lines exhibit a competitive combination of size, bandwidth and rejection level. The filter reported in [4] is very competitive in terms of size and bandwidth, but the maximum rejection level is moderate. Moreover, such a common-mode filter is based on a multilayer structure, and this has extra cost and complexity. The filters reported in [5] and [6]

3032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE III COMPARISON OF SEVERAL DIFFERENTIAL LINES WITH COMMON-MODE SUPPRESSION

have larger dimensions than our CSRR- and DS-CSRR-based filters do. The filter reported in [6] exhibits a huge stopband considering a 10-dB bandwidth, but, again, the maximum rejection level is poor as compared with that of our proposed filters. In summary, the proposed common-mode suppression strategy is technologically simple and low cost (only two metal levels are used), and the resulting common-mode rejection filters are compact and provide wide and high-rejection stopbands, with a simple and systematic design procedure. VIII. CONCLUSION It has been demonstrated that CSRRs and DS-CSRRs are useful to selectively suppress the even (common) mode in microstrip differential lines. The lumped element equivalent circuit model (unit cell) of a differential line loaded with an array of symmetrically etched CSRRs (or DS-CSRRs) has been reported. From symmetry properties and the magnetic and electric wall concepts, the circuit models under even- and odd-mode excitation have also been obtained. Such models have been used to support the conclusions relative to the selective mode suppression (i.e., the suppression of the even mode maintaining the integrity of the differential signals) inferred from symmetry analysis and field distributions. Since the common-mode rejection bandwidth is a key parameter to common mode filters design, the main strategies for bandwidth enhancement have been considered. Concerning inter-resonator coupling, it has been justified from Bloch mode theory that bandwidth enhancement in common mode filters with tightly coupled resonators is related to the presence of complex waves. Although inter-resonator coupling can enhance the bandwidth, this effect is limited by technological restrictions and, therefore, the use of intrinsically wideband resonators is essential to achieve competitive common mode rejection bands. For this reason, DS-CSRRs have been considered in this work. A simple methodology for common-mode filter design (valid for CSRR and DS-CSRR) has been proposed, and several periodic common-mode filters with different central frequency and order have been designed and compared. Clearly, DS-CSRRs are more efficient in terms of rejection bandwidth, though the prediction of the maximum achievable bandwidth is more accurate for CSRR-loaded lines. It has also been found that the common-mode rejection bandwidth roughly saturates for order-6 filters. The theory has been validated against measurements through the fabrication of a DS-CSRR-loaded differential transmission

line and a balanced bandpass filter with common-mode suppression. In the DS-CSRR-loaded line, a measured common-mode rejection level better than 20 dB within a 41% fractional bandwidth has been obtained (whilst preserving the integrity of the differential signal). The reported differential bandpass filter exhibits a measured common-mode rejection better than 40 dB in a 34% bandwidth (including the differential passband region) thanks to the presence of the DS-CSRRs, which do not affect the differential filter performance.

REFERENCES [1] J. Naqui, A. Fernández-Prieto, M. Durán-Sindreu, J. Selga, F. Medina, F. Mesa, and F. Martín, “Split rings-based differential transmission lines with common-mode suppression,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011. [2] F. Falcone, T. Lopetegi, J. D. Baena, R. Marqués, F. Martín, and M. Sorolla, “Effective negative- " stop-band microstrip lines based on complementary split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 280–282, Jun. 2004. [3] B. C. Tseng and L. K. Wu, “Design of miniaturized common-mode filter by multilayer low-temperature co-fired ceramic,” IEEE Trans. Electromagn. Compat., vol. 46, no. 4, pp. 571–579, Nov. 2004. [4] C.-H. Tsai and T.-L. Wu, “A broadband and miniaturized commonmode filter for gigahertz differential signals based on negative-permittivity metamaterials,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 195–202, Jan. 2010. [5] W. T. Liu, C.-H. Tsai, T.-W. Han, and T.-L. Wu, “An embedded common-mode suppression filter for GHz differential signals using periodic defected ground plane,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 248–250, Apr. 2008. [6] S.-J. Wu, C.-H. Tsai, T.-L. Wu, and T. Itoh, “A novel wideband common-mode suppression filter for gigahertz differential signals using coupled patterned ground structure,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 4, pp. 848–855, Apr. 2009. [7] G. V. Eleftheriades, “Analysis of bandwidth and loss in negative-refractive-index transmission-line (NRI-TL) media using coupled resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 412–414, Jun. 2007. [8] F. A. Fernández, Y. Lu, J. B. Davies, and S. Zhu, “Finite element analysis of complex modes in inhomogeneous waveguides,” IEEE Trans. Magn., vol. 29, pp. 1601–1604, Mar. 1993. [9] R. Marqués, J. D. Baena, J. Martel, F. Medina, F. Falcone, M. Sorolla, and F. Martín, “Novel small resonant electromagnetic particles for metamaterial and filter design,” in Proc. Int. Conf. Electromagn. Adv. Applications, Torino, Italy, Sep. 8–12, 2003, pp. 439–443. [10] F. Martín, F. Falcone, J. Bonache, T. Lopetegi, R. Marqués, and M. Sorolla, “Miniaturized CPW stop band filters based on multiple tuned split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 511–513, Dec. 2003. [11] J. García-García, J. Bonache, F. Falcone, J. D. Baena, F. Martín, I. Gil, T. Lopetegi, M. A. G. Laso, A. Marcotegui, R. Marqués, and M. Sorolla, “Stepped-impedance low pass filters with spurious passband suppression,” Electron. Lett., vol. 40, pp. 881–883, Jul. 2004. [12] J. García-García, F. Martín, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marqués, “Spurious passband suppression in microstrip coupled line bandpass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004. [13] J. García-García, F. Martín, F. Falcone, J. Bonache, J. D. Baena, I. Gil, E. Amat, T. Lopetegi, M. A. G. Laso, J. A. Marcotegui, M. Sorolla, and R. Marqués, “Microwave filters with improved stop band based on sub-wavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1997–2006, Jun. 2005. [14] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, pp. 4184–4187, May 2000.

NAQUI et al.: COMMON-MODE SUPPRESSION IN MICROSTRIP DIFFERENTIAL LINES BY MEANS OF CSSRs

[15] F. Martín, F. Falcone, J. Bonache, R. Marqués, and M. Sorolla, “Split ring resonator based left handed coplanar waveguide,” Appl. Phys. Lett., vol. 83, pp. 4652–4654, Dec. 2003. [16] F. Falcone, T. Lopetegi, M. A. G. Laso, J. D. Baena, J. Bonache, R. Marqués, F. Martín, and M. Sorolla, “Babinet principle applied to the design of metasurfaces and metamaterials,” Phys. Rev. Lett., vol. 93, p. 197401, Nov. 2004. [17] J. D. Baena, J. Bonache, F. Martín, R. Marqués, F. Falcone, T. Lopetegi, M. A. G. Laso, J. García, I. Gil, and M. Sorolla, “Equivalent circuit models for split ring resonators and complementary split rings resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1451–1461, Apr. 2005. [18] R. Mongia, I. Bahl, and P. Barthia, “Multiconductor transmission lines,” in RF and Microwave Coupled Line Circuits. Norwood, MA: Artech House, 1999. [19] S. Gupta, A. Parsa, E. Perret, R. V. Snyder, R. J. Wenzel, and C. Caloz, “Group-delay engineered noncommensurate transmission line all-pass network for analog signal processing,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2392–2407, Sep. 2010. [20] J. Shekel, “Matrix analysis of multi-terminal transducers,” Proc. IRE, vol. 42, no. 5, pp. 840–847, May 1954. [21] R. Islam, M. Zedler, and G. V. Eleftheriades, “Modal analysis and wave propagation in finite 2-D transmission-line metamaterials,” IEEE Trans. Antennas Propag., vol. 59, no. 5, pp. 1562–1570, May 2011. [22] U. Crombach, “Complex waves on shielded lossless rectangular dielectric image guide,” Electron. Lett., vol. 19, pp. 557–558, Jul. 1983. [23] M. Mrozowski and J. Mazur, “Matrix theory approach to complex waves,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 781–785, Apr. 1992. [24] F. Elek and G. V. Eleftheriades, “Dispersion analysis of the shielded Sievenpiper structure using multiconductor transmission line theory,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 434–436, Sep. 2004. [25] M. Beruete, F. Falcone, M. J. Freire, R. Marqués, and J. D. Baena, “Electroinductive waves in chains of complementary metamaterial elements,” Appl. Phys. Lett., vol. 88, no. 8, Feb. 2006, Art. ID 083503. [26] J. Bonache, M. Gil, I. Gil, J. Garcia-García, and F. Martín, “On the electrical characteristics of complementary metamaterial resonators,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 10, pp. 543–545, Oct. 2006. [27] M. Gil, J. Bonache, I. Gil, J. García-García, and F. Martín, “On the transmission properties of left handed microstrip lines implemented by complementary split rings resonators,” Int. J. Numer. Model.: Electron. Netw., Devices Fields, vol. 19, pp. 87–103, Mar. 2006. [28] C. H. Wu, C. H. Wang, and C. H. Chen, “Novel balanced coupled-line bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 287–295, Feb. 2007. [29] B. L. Teck and Z. Lei, “A differential-mode wideband bandpass filter on microstrip line for UWB applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 632–634, Oct. 2009. [30] J. Shi and Q. Xue, “Novel balanced dual-band bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 19–21, Jan. 2010. [31] J. Shi and Q. Xue, “Dual-band and wide-stopband single-band balanced bandpass filters with high selectivity and common-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2204–2212, Aug. 2010. [32] J. Shin and Q. Xue, “Balanced bandpass filters using center-loaded half-wavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 970–977, Apr. 2010. [33] C.-H. Wu, C.-H. Wang, and C. H. Chen, “Stopband-extended balanced bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 507–509, Jul. 2007. [34] C.-H. Lee, C.-I. G. Hsu, and C.-C. Hsu, “Balanced dual-band BPF with stub-loaded SIRs for common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 70–73, Feb. 2010. [35] J.-S. Hong and M. J. Lancaster, “Lowpass and Bandpass Filters and Coupled Resonator Circuits,” in Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001.

3033

Jordi Naqui (S’11) was born in Granollers, Spain, in 1984. He received the Telecommunication Technical Engineering diploma and Telecommunication Engineering degree from the Universitat Autònoma de Barcelona, Belleterra, Spain, in 2006 and 2010, respectively, where he is currently working toward the Ph.D. degree. His research subjects are related to innovative passive microwave devices based on metamaterial concepts.

Armando Fernández-Prieto (S’11) was born in Ceuta, Spain, in 1981. He received the Licenciado degree in physics from the Universidad de Sevilla, Seville, Spain, in 2006, where he is currently working toward the Ph.D. degree in electronics and electromagnetism. His research focuses on printed passive microwave filters, couplers, and metamaterials.

Miguel Durán-Sindreu (S’09–M’11) was born in Barcelona, Spain, in 1985. He received the Telecommunications Engineering diploma, Telecommunications Engineering degree, and Ph.D. degree from the Universitat Autònoma de Barcelona, Belleterra, Spain, in 2007, 2008 and 2011, respectively. His research interests are microwave filters, metamaterials, and multiband components.

Francisco Mesa (M’93–SM’01) was born in Cádiz, Spain, in April 1965. He received the Licenciado and Ph.D. degrees from the University of Seville, Seville, Spain, in 1989 and 1991, respectively, both in physics. He is currently a Professor with the Department of Applied Physic 1, University of Seville, Seville, Spain. His research interests focus on electromagnetic propagation/radiation in planar structures.

Jesús Martel (M’08) was born in Seville, Spain, in 1966. He received the Licenciado and Ph.D. degrees from the University of Seville, Seville, Spain, in 1989 and 1996, respectively, both in physics. Since 1992, he has been with the Department of Applied Physics II, University of Seville, Seville, Spain, where, in 2000, he became an Associate Professor. His current research interests are focused on the numerical analysis of planar transmission lines, the modeling of planar microstrip discontinuities, the design of passive microwave circuits, microwave measurements, and artificial media.

3034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Francisco Medina (M’90–SM’01–F’10) was born in Cádiz, Spain, in November 1960. He received the Licenciado and Ph.D. degrees from the University of Seville, Seville, Spain, in 1983 and 1987 respectively, both in physics. From 1986 to 1987, he spent the academic year with the Laboratoire de Microondes de l’ENSEEIHT, Toulouse, France, as the recipient of a Spanish Ministry of Science and Education Research Scholarship. From 1985 to 1989, he was an Assistant Professor with the Department of Electronics and Electromagnetism, University of Seville, Seville, Spain, where, since 1990, he has been an Associate Professor of electromagnetism. Since July 2009, he has been a Full Professor of electromagnetism and Head of the Microwaves Group. His research interests include analytical and numerical methods for guiding, resonant, and radiating structures, passive planar circuits, periodic structures, and the influence of anisotropic materials (including microwave ferrites) on such systems. He is also interested in artificial media modeling and extraordinary transmission phenomena. He is on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Medina is a Fellow of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He is a reviewer of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and of many other journals. He has been a member of the Technical Programme Committees (TPC) of several international and local conferences and has organized a few conferences and workshops.

Ferran Martín (M’04–SM’08–F’12) was born in Barakaldo Spain, in 1965. He received the B.S. degree in physics and Ph.D. degree from the Universitat Autònoma de Barcelona, Belleterra, Spain, in 1988 and 1992, respectively. From 1994 to 2006, he was an Associate Professor in electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona (UAB), Belleterra, Spain, and since 2007 he has been a Full Professor of electronics. In recent years, he has been involved in different research activities including modelling and simulation of electron devices for high-frequency applications, millimeter-wave and terahertz generation systems, and the application of electromagnetic bandgaps to microwave and millimeter-wave circuits. He is now very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas. He is the head of the Microwave and Millimeter Wave Engineering Group (GEMMA Group) at UAB, and director of CIMITEC, a research Center on Metamaterials supported by TECNIO (Generalitat de Catalunya). He has authored and co-authored over 350 technical conference, letter and journal papers and he is coauthor of the monograph on metamaterials, entitled Metamaterials with Negative Parameters: Theory, Design and Microwave Applications (Wiley, 2008). He has filed several patents on metamaterials and has headed several Development Contracts. Dr. Martín received the 2006 Duran Farell Prize for Technological Research, he holds the Parc de Recerca UAB—Santander Technology Transfer Chair, and he was the recipient of an ICREA ACADEMIA Award. He has organized several international events related to metamaterials, including Workshops at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (years 2005 and 2007) and European Microwave Conference (2009). He has acted as a guest editor for three Special Issues on metamaterials in three international journals.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3035

Dual Composite Right-/Left-Handed Coplanar Waveguide Transmission Line Using Inductively Connected Split-Ring Resonators Ángel Belenguer, Member, IEEE, Joaquín Cascón, Alejandro L. Borja, Héctor Esteban, and Vicente E. Boria, Senior Member, IEEE Abstract—In this paper, a simple geometrical modification of the well-known split-ring resonator (SRR) topology is proposed. This modification consists of joining the opposite sides of the rings by means of an inductive connection. Due to this modification, it is possible to synthesize a dual composite right-/left-handed artificial transmission line from a host coplanar waveguide (CPW). In addition, it is quite straightforward to synthesize a dual balanced line showing a wider transmission bandwidth (around 13% for 3 GHz and 8% for 6 GHz) with this new SRR geometry. In fact, in order to design a balanced line, one must just modify the inductance of the direct connection between the rings, which can be derived from the equivalent circuit of this new ring, which is also presented in this paper. The final result is a balanced artificial CPW transmission line with a dual composite right-/left-handed behavior. In this case, the right-handed transmission band is placed below the left-handed one, and there is no frequency separation between both transmission bands. Index Terms—Composite right-/left-handed (CRLH), coplanar waveguide (CPW), double-negative materials, dual CRLH (D-CRLH), inductively connected split-ring resonator (IC-SRR), left-handed (LH) transmission lines, metamaterials.

I. INTRODUCTION ANY research efforts have been devoted to synthesize a left-handed propagating medium from a conventional transmission line, usually a microstrip line or a coplanar waveguide (CPW) line. The left-handed behavior is obtained when the host transmission line is either loaded with split-ring resonators (SRRs) [1]–[3] or their dual counterpart, the complementary split-ring resonator (CSRR) [4]–[7], as well as with reactive elements [8], [9]. The result is a composite right-/lefthanded transmission line (CRLH) with a left-handed propaga-

M

Manuscript received March 29, 2012; revised June 25, 2012; accepted July 02, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. This work was supported in part by the Ministerio de Ciencia e Innovación, Spanish Goverment, under Research Projects TEC2010-21520-C04-03 and TEC2010-21520-C04-01 and by the Autonomous Government of Castilla-La Mancha under Research Projects PPII10-0047-0220 and PPII10-0027-1277. Á. Belenguer, J. Cascón, and A. L. Borja are with the Departamento de Ingeniería Eléctrica, Electrónica, Automática y Comunicaciones, Universidad de Castilla-La Mancha, Escuela Politécnica de Cuenca, 16071 Cuenca, Spain (e-mail: [email protected]; [email protected]; [email protected]). H. Esteban and V. E. Boria are with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2210438

tion band and one or more right-handed bands at higher frequencies, since the background medium is itself a right-handed transmission line. Due to the resonant nature of SRR and CSRR, special efforts have been made for the synthesis of artificial transmission lines exhibiting improved (i.e., wider) bandwidths. A broadband response can be obtained when the right- and left-handed behaviors coincide. A balanced line is synthesized in this case. For example, in [10], the dimensions of the interdigital capacitor and the shunt short-circuited stub of [8] are modified to obtain a balanced line. Likewise, in [5], the gap capacitor of [4] is replaced by an interdigital capacitor to balance the line. Finally, in [11], the basic cell of [2] is modified by adding shunt open-circuited stubs and an interdigital capacitor to achieve the same goal. Moreover, in 2006, Caloz presented [12] a theoretical study of a structure that shows a dual behavior when compared with a CRLH line. This new structure exhibits its left-handed band at high frequencies and its right-handed band at low frequencies. Several implementations of this theoretical structure have been reported [13]–[16]. These preliminary studies show that this new structure introduces lower losses and provides larger bandwidths than its dual counterpart [15]. In this paper, a geometrical modification for the SRR is proposed. The opposite sides of the rings are directly connected with an inductive load. In addition, this inductively connected SRRs (IC-SRRs) are united to the CPW by metal vias, so that the interaction with the line is also modified in comparison with other previously published proposals [2], [11]. Because of these simple modifications, the new CPW loaded line behaves as a dual CLRH (D-CLRH) line. In addition, this new line can be balanced quite easily by appropriately adjusting the inductance of the connection between the two rings. This paper is organized as follows. Section II discusses the derivation of equivalent circuits for SRR-based particles. Section III reviews the equivalent circuit implementation with the new proposed IC-SRR particle. Section IV presents two specific designs of novel artificial transmission lines based on such IC-SRR particles and their related results. In Section V, fabrication issues related to these novel structures are discussed, and they are taken into account to redesign the artificial transmission lines of Section IV. Finally, the main conclusions of the study are outlined in Section VI. II. EQUIVALENT CIRCUIT The starting point for the equivalent circuits derivations is the original circuit from [17] and [18]. This circuit describes the

0018-9480/$31.00 © 2012 IEEE

3036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 1. Basic cell. Gray is top layer; black is bottom layer.

Fig. 4. New equivalent circuit with explicitly separated rings.

Fig. 2. Equivalent circuit for the SRR-based basic cell of [17] and [18].

Fig. 3. Ring equivalent circuit.

Fig. 5. Equivalent circuit with direct connection between the SRR rings.

behavior of a CPW coupled with two SRRs, and loaded with two parallel strips that connect the ground planes with the central line (see Fig. 1). The equivalent circuit of a SRR is deduced from its physical behavior [1], and then it is simplified to an LC resonator (see Fig. 2). However, in order to modify the SRR, this simplified equivalent circuit is not useful. A circuit model closer to the physical phenomena that give rise to the SRR resonance is needed. The physical process behind the SRR resonance is explicitly shown in Fig. 3. First, the current flows along the first ring. Since there is a capacitive coupling between the rings, this current is gradually transferred to the second ring. Then, when the current reaches the cut in the first ring, it entirely flows along the second one, and there, the process is exactly the opposite. The current flows along the second ring and is progressively transferred to the first ring. When it reaches the cut in the second ring, it entirely flows again along the first one, just as it was at the beginning of the process. Fig. 3 shows an equivalent circuit that fully describes this physical behavior. It can be noted that this new model is completely equivalent to the equivalent circuit shown in Fig. 2. In fact, the circuits of

Figs. 2 and 4 are the same ones if the circuit elements of the ring in Fig. 4 are adequately grouped. Since the contribution of each individual SRR ring is explicitly considered, it is possible to study what happens, for example, when the rings are directly connected using an inductance. An equivalent circuit that models this direct connection is shown in Fig. 5. Then, in order to analyze the influence of this new inductance ( in Fig. 5), a specific SRR-based basic cell, like the one shown in Fig. 1, has been designed. This cell has been implemented on a Rogers 4003C substrate and ) that is 1.524 mm thick and 35 ( m of copper metallization and designed to show a left-handed transmission band around 3 GHz. In order to obtain the dimensions and equivalent circuit elements of this cell, the following design procedure has been followed. 1) The ring strip width and gap are fixed, so that they can be fabricated with the milling machine used in the fabrication process. Since one of the main goals is to design a very compact unit cell (as small as possible), a reasonably short gap should be selected. This provides a quite high ring capacitance, and,

BELENGUER et al.: D-CRLH CPW TRANSMISSION LINE USING INDUCTIVELY CONNECTED SRRs

3037

TABLE I EQUIVALENT CIRCUIT ELEMENTS OF THE SRR LOADED CPW

TABLE II BASIC CELL DIMENSIONS OF THE SRR LOADED CPW Fig. 6. Parametric analysis of the equivalent circuit of Fig. 5.

therefore, a lower inductance is needed for achieving the desired resonant frequency. Besides, since the ring shows a serial resonance (see Fig. 3), the lower the inductance and the higher the capacitance, the wider the bandwidth. For both reasons of size and bandwidth, a shorter gap (physically feasible) is a good starting point. Therefore, and considering the characteristics of the milling machine, a gap of 0.4 mm is selected. 2) By applying the expressions of [1] and the aforementioned geometrical constraints, the ring radius is calculated as well as its equivalent inductance and capacitance. 3) The line is now designed. Since the ring radius is known, a sufficiently wide coplanar strip must be selected, for example, a 14-mm-wide strip. Then, applying the design formulas of [19], the dimensions of a 50- CPW are found. 4) By using these dimensions, an initial cell is simulated using a commercial software, Ansoft High Frequency Structural Simulator (HFSS). Since all of the expressions used to calculate the equivalent circuit parameters are approximate, the cell response is slightly frequency-shifted. Then, by adjusting the ring radius, the resonant frequency can be translated to its desired value. 5) New equivalent circuit values are calculated by optimization in order to obtain a response that is as close as possible to the response of the tuned cell. Following this procedure, a 6-GHz cell has also been designed. The values of the equivalent circuit elements are shown and can be calculated by multiplying the perin Table I. unit-length inductance and capacitance of the CPW by the line length . The remaining parameters are computed as indicated in [1]. The physical dimensions corresponding to these equivalent circuits (see Fig. 1) are shown in Table II. The values in the first column of Table I have been applied to the equivalent circuit of Fig. 5. Later, in order to evaluate the effect of a direct connection between rings, this equivalent cirvalues. The results cuit has been analyzed using different of this analysis are shown in Fig. 6. It can be seen how the original passband, which is around 3 GHz, is unaffected when

Fig. 7. Dispersion diagram for L

=

0.5 nH and L

=

2.9 nH.

is modified. However, a second passband appears because is increased, the central of this connecting inductance. As frequency of this band decreases, whereas the original band is hardly modified. Only when the connecting inductance value is really small is the original passband affected. In this case, the rings are short-circuited, and neither of the two bands exists. The original passband is a left-handed transmission band. On the other hand, the new band at lower frequencies is righthanded. Therefore, the result is a D-CRLH line. This fact can be confirmed observing the cell dispersion diagram, shown in Fig. 7, and computed using the following equation [20]: (1) III. IC-SRR IMPLEMENTATION In order to implement a real device showing nearly the same behavior as the equivalent circuit in Fig. 5, the SRR rings must be connected using an inductance, as shown in Fig. 8. By doing this, a new resonator is obtained, which is the proposed IC-SRR. This new composite ring behaves as the resonator of the equivalent circuit in Fig. 5. Then, applying the new equivalent circuit of Fig. 5 and using the commercial software Ansoft HFSS, a basic cell on Rogers 3.55 and ) 1.524 mm 4003C substrate ( thick and 35 m of copper metallization has been designed and tuned at 3 GHz. The design procedure is exactly the same as that followed to obtain a basic cell. The only difference appears in the tuning step. Now, in order to tune the response of the cell, the ring radius and the width of the new connecting strip are adjusted, while in the basic cell tuning only the ring radius was modified. The final dimensions and circuit elements (see Fig. 5)

3038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 11. Connected IC-SRR cell. Gray is top layer; black is bottom layer.

Fig. 8. IC-SRR and equivalent circuit.

Fig. 9. Nonconnected IC-SRR cell. Gray is top layer; black is bottom layer.

TABLE III NONCONNECTED IC-SRR CELL DIMENSIONS AND CIRCUIT ELEMENTS

Fig. 10. Nonconnected IC-SRR cell (Ansoft HFSS) versus equivalent circuit.

of this new IC-SRR cell are shown in Table III, and its geometry can be seen in Fig. 9. Finally, Fig. 10 shows the results for this cell. The results given by the commercial software HFSS are significantly different from the results given by the equivalent circuit of Fig. 5, above the resonances, because the parasitic capacitance connecting the SRR rings through the host CPW is not considered in the equivalent circuit of Fig. 5. This parasitic capacitance gives rise to an additional transmission band at higher frequencies (see Fig. 10). This is why HFSS results give a notably asymmetric response. The simulated results show that this asymmetry is not fully modeled with the equivalent circuit of Fig. 5 and requires proper treatment, since the aforementioned transmission band is not considered in this case.

In addition, a design at 6 GHz is not possible with this configuration. In this case, the inductance value required to connect 0.2 nH), which involves a the two rings is too small (i.e., 4 mm), thus making the very wide connecting strip (i.e., cell physically nonrealizable. In order to eliminate this undesired and uncontrolled transmission band at high frequencies, the parasitic capacitance that connects the rings through the host CPW has to be cancelled. To accomplish this objective, the rings have been directly connected to the CPW using plated via holes (see Fig. 11). This direct connection between the rings and the host CPW has an additional positive effect. It has been demonstrated before that the inductance of the connecting strip required to synthesize this kind of cell is quite low, i.e., the strip connecting the rings must be quite wide (see Table III). Unfortunately, such a wide connection blocks the magnetic field from the CPW. As a result, the coupling between line and ring is reduced, and, therefore, the cell bandwidth decreases [1]. Even the required width can be so wide that the cell can become physically nonrealizable. Fortunately, if the rings are connected to the CPW, a shunt inductance appears at the terminals of the direct connection. In this case, the direct inductance can be higher and, therefore, the width of the connecting strip will be narrower. Then, the coupling level increases and, as a result, bandwidth increases as well. Besides, with this configuration, it has been possible to design a 6-GHz cell. However, the circuit of Fig. 5 is not able to accurately describe the behavior of this cell, because it completely ignores the CPW-to-SRR direct connection. Therefore, to appropriately model this new direct connection, the circuit of Fig. 5 has been slightly modified. The via holes have been replaced by inductances (see Fig. 12). These inductances have been computed by using the empirical formula of [21]. Fig. 13 shows the simulated (HFSS) scattering parameters for the 3- and 6-GHz cells. In this case, since the parasitic capacitance has been cancelled, the results are quite similar to the results given by the final equivalent circuit of Fig. 12. Here, the nondesired transmission band of Fig. 10 disappears, and a more symmetric response is obtained, as expected. Finally, the values of the equivalent circuit elements for these cells can be seen in Table IV and the physical dimensions in Table V. IV. RESULTS A. First Designs The previously designed cells have been fabricated and measured. In order to connect the cells to the vector network ana-

BELENGUER et al.: D-CRLH CPW TRANSMISSION LINE USING INDUCTIVELY CONNECTED SRRs

3039

TABLE V BASIC CELL DIMENSIONS OF THE CONNECTED IC-SRR LOADED CPW

Fig. 12. Equivalent circuit of the new connected IC-SRR cell.

Fig. 14. Comparison between measurements and simulated results of the connected IC-SRR cell (overmilling not considered). (a) 3 GHz. (b) 6 GHz.

Fig. 13. Connected IC-SRR cell (Ansoft HFSS) versus equivalent circuit results. (a) 3 GHz. (b) 6 GHz. TABLE IV EQUIVALENT CIRCUIT ELEMENTS OF THE CONNECTED IC-SRR LOADED CPW

lyzer, a taper to a narrower CPW has been added, so that a nSMA connector can be soldered at the device ports. For both designs,

the input/output CPW line has a gap dimension of 0.44 mm and a central strip of 5 mm. The taper is a linear transition from this narrow line to the different, and always wider, CPW lines of the cells. -parameter measurements of these prototypes can be seen in Fig. 14. These results are compared with the HFSS data. These last results slightly differ from those shown in previous sections because, in this case, the devices have been simulated considering the tapers, the narrower feeding lines, and the SMA connectors. The passband is preserved (same frequency and bandwidth), and the insertion loss increases but remains acceptable. The transmission bandwidth achieved with these prototypes is notably higher, with a fractional bandwidth of 13% for the 3-GHz cell and 8% for the 6-GHz cell, in comparison with the bandwidth provided by the basic cell of Fig. 1, which is typically around 2% [22] for a single cell and a reasonably high rejection outside the transmission band. In addition, the fabricated prototypes present a moderate/low insertion loss level in the transmission band. The insertion loss is, for both designs, below 2 dB, even considering the contribution of tapers and SMA connectors.

3040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE VI BASIC CELL DIMENSIONS OF THE CONNECTED IC-SRR LOADED CPW CONSIDERING OVERMILLING

Fig. 16. Comparison between measurements and simulated results of the connected IC-SRR cell (overmilling considered). (a) 3 GHz. (b) 6 GHz.

prototypes, is the main responsible of this undesired frequency shift. B. Overmilling

Fig. 15. Photograph of two fabricated IC-SRR prototypes designed to work at 3 and 6 GHz. (a) Top. (b) Bottom.

It can be seen that measurements present a noticeable frequency shift when compared with simulations. This is due to the fabrication process. To fabricate these prototypes, a milling machine has been employed. It is very difficult to exactly control the milling depth when milling a circuit. By analyzing the fabricated prototypes, an overmilling of 100 m has been estimated. This overmilling, which is not considered when designing these

In order to confirm that this frequency shift is caused by overmilling, the cells have been redesigned considering an overmilling of 100 m in the HFSS simulations. The dimensions of these modified cells are shown in Table VI. These corrected cells have been fabricated (see Fig. 15). The comparison of simulations and measurements for these new prototypes is shown in Fig. 16. These new results confirm that the undesired frequency shift was indeed caused by overmilling. Results for these corrected cells have a much lower frequency shift than first designs. Even so, it is impossible to exactly determine the actual overmilling of every fabrication. In addition, there are other error sources that cannot be controlled, mainly machining tolerances and slight deviations, from their nominal values, of the substrate geometrical and electrical characteristics. This is why these results are still slightly shifted. Finally, Fig. 17 shows the dispersion diagrams and retrieved effective and for the last prototypes with overmilling correction. The dispersion diagrams have been computed, again, using (1). The effective constants and for an infinite cascade of unitary cells have been calculated applying [23]. In these last calculations, a cascading period of 19 mm has been considered for the 3-GHz prototype. Similarly, a 9.5-mm repetition period has been used for the cascade of 6 GHz cells. It can be observed that a right-handed propagation is achieved in the lower frequencies of the transmission band (phase augments as frequency in, ) followed by a left-handed transmiscreases and , sion band (phase diminishes as frequency increases and

BELENGUER et al.: D-CRLH CPW TRANSMISSION LINE USING INDUCTIVELY CONNECTED SRRs

3041

Finally, it is very important to remark that the new cell takes up the same area as the original one. Therefore, bandwidth has been increased (balanced cell) and losses reduced (dual line) without increasing the cell size. REFERENCES

Fig. 17. (a) Dispersion diagram for the fabricated prototypes: measurements (blue line with squared marks) versus HFSS (red line with triangular marks). (b) Effective : measurements (blue line with squared marks) HFSS (red line with triangular marks); effective : measurements (black line with rounded marks) HFSS (orange line with diamond-shaped marks).

). These results confirm that, because of the new proposed IC-SRRs, a D-CLRH line has been successfully designed for 3 and 6 GHz. V. CONCLUSION In this paper, a new cell for synthesizing D-CLRH lines has been successfully presented. This cell has been implemented by loading a CPW with IC-SRRs, which are obtained by modifying the conventional SRR geometry. In this new particle, opposite sides of both SRR rings are directly joined by means of an inductive connection. The IC-SRR-based cell is straightforward to balance and, therefore, it is possible to achieve wider passbands. In fact, a percent bandwidth of 13% has been achieved for the 3-GHz cell and of 8% for the 6-GHz cell. Previously, in order to balance these kind of cells, several strategies have been used. Most of these proposals modify the background line, in our case, a host CPW. This line is loaded with several reactive elements [4], [5], [8], [10], [11], so that the left- and right-handed behavior converge around the same frequency. In this paper, the strategy is completely the opposite. Instead of modifying the background line, the resonant element is modified. As a result a new resonator emerges, which is the IC-SRR. By using these modified rings, balancing a cell is quite easy, since one has simply to appropriately change the IC-SRR inductive connection. In addition, the fabricated prototypes show low/moderate insertion loss (below 2 dB including tapers and SMA connectors). In fact, this is one of the main advantages of D-CLRH lines.

[1] R. Marqués, F. Martín, and M. Sorolla, Metamaterials With Negative Parameters: Theory, Design and Microwave Applications. New York: Wiley, 2008. [2] A. L. Borja, J. Carbonell, V. E. Boria, and D. Lippens, “Highly selective left-handed transmission line loaded with split ring resonators and wires,” Appl. Phys. Lett., vol. 94, no. 14, pp. 143503-1–143503-3, 2009. [3] I. Gil, J. Bonache, J. Garcia-Garcia, F. Falcone, and F. Martin, “Metamaterials in microstrip technology for filter applications,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jul. 2005, vol. 1A, pp. 668–671. [4] M. Gil, J. Bonache, I. Gil, J. García-García, and F. Martín, “On the transmission properties of left-handed microstrip lines implemented by complementary split rings resonators,” Int. J. Numer. Modelling: Electron. Netw., Devices and Fields, vol. 19, no. 1, pp. 87–103, 2006. [5] M. Gil, J. Bonache, J. Garcia-Garcia, J. Martel, and F. Martin, “Composite right/left-handed metamaterial transmission lines based on complementary split-rings resonators and their applications to very wideband and compact filter design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1296–1304, Jun. 2007. [6] M. Gil, J. Bonache, and F. Martin, “Synthesis and applications of new left handed microstrip lines with complementary split-ring resonators etched on the signal strip,” IET Microw., Antennas Propag., vol. 2, no. 4, pp. 324–330, Jun. 2008. [7] M. Duran-Sindreu, A. Velez, F. Aznar, G. Siso, J. Bonache, and F. Martin, “Applications of open split ring resonators and open complementary split ring resonators to the synthesis of artificial transmission lines and microwave passive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3395–3403, Dec. 2009. [8] C. Caloz and T. Itoh, “Application of the transmission line theory of left-handed (LH) materials to the realization of a microstrip LH line,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., 2002, vol. 2, pp. 412–415. [9] A. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, no. 10, pp. 5930–5935, Nov. 2002. [10] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004. [11] A. Borja, A. Belenguer, J. Cascon, H. Esteban, and V. Boria, “Wideband passband transmission line based on metamaterial-inspired CPW balanced cells,” IEEE Antennas Wireless Propag. Lett., vol. 10, no. 12, pp. 1421–1424, Dec. 2011. [12] C. Caloz, “Dual composite right/left-handed (D-CRLH) transmission line metamaterial,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 585–587, Nov. 2006. [13] Y.-H. Ryu, J.-H. Park, J.-H. Lee, J.-Y. Kim, and H.-S. Tae, “DGS dual composite right/left-handed transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 434–436, Jul. 2008. [14] W. Tong, Z. Hu, H. Zhang, C. Caloz, and A. Rennings, “Study and realisation of dual-composite right/left-handed coplanar waveguide metamaterial in MMIC technology,” IET Microw., Antennas Propag., vol. 2, no. 7, pp. 731–736, Oct. 2008. [15] V. Gonzalez-Posadas, J. Jimenez-Martin, A. Parra-Cerrada, L. Garcia-Munoz, and D. Segovia-Vargas, “Dual-composite right-left-handed transmission lines for the design of compact diplexers,” IET Microw., Antennas Propag., vol. 4, no. 8, pp. 982–990, Aug. 2010. [16] C.-Y. Liu, Q.-X. Chu, and J.-Q. Huang, “A planar D-CRLH transmission line structure and its application to leaky-wave antenna,” in Proc. 9th Int. Symp. Antennas, Propag., EM Theory, Dec. 2010, pp. 345–348. [17] L. Rogla, J. Carbonell, and V. Boria, “Study of equivalent circuits for open-ring and split-ring resonators in coplanar waveguide technology,” IET Microw., Antennas Propag., vol. 1, no. 1, pp. 170–176, Feb. 2007. [18] F. Aznar, J. Bonache, and F. Martin, “Improved circuit model for lefthanded lines loaded with split ring resonators,” Appl. Phys. Lett., vol. 92, no. 4, pp. 043 512-1–043 512-3, Jan. 2008. [19] B. C. Wadell, Transmission Line Design Handbook. Norwood, MA: Artech House, 1991.

3042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[20] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley, 2005. [21] M. Goldfarb and R. Pucel, “Modeling via hole grounds in microstrip,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 135–137, Jun. 1991. [22] A. L. Borja, J. Carbonell, V. E. Boria, J. Cascón, and D. Lippens, “A 2% bandwidth c-band filter using cascaded split ring resonators,” IEEE Antennas Wireless Propag. Lett., vol. 9, pp. 256–259, 2010. [23] D. R. Smith, D. C. Vier, T. Koschny, and C. M. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, vol. 71, no. 1, 2005, Art. ID 036617.

Ángel Belenguer (M’04) received the telecommunications engineering and Ph.D. degrees from the Universidad Politécnica de Valencia, Valencia, Spain, in 2000 and 2009, respectively. He joined the Universidad de Castilla-La Mancha, Cuenca, Spain, in 2000, where he is now Profesor Titular de Universidad in the Departamento de Ingenieria Electrica, Electronica, Automatica y Comunicaciones. His research interests include methods in the frequency domain for the full-wave analysis of open-space and guided multiple scattering problems, the application of accelerated solving strategies to new problems or structures, EM metamaterials, and substrate integrated waveguide (SIW) devices and their applications.

Joaquín Cascón was born in Cuenca, Spain, in 1966. He received the telecommunications engineering degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1991, and the Ph.D. degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1999. From 1991 to 1999, he was with the Optical Communication Group, Universidad Politécnica de Madrid, Madrid, Spain, where he was involved with optical communications. In 1999, he joined the Departamento de Ingeniería Eléctrica, Electrónica, Automática y Comunicaciones, Universidad de Castilla-La Mancha, Cuenca, Spain. His current research interest include EM metamaterials, antennas, tunable structures, and their applications in microwave and millimeter-wave technologies.

Alejandro L. Borja received the M.Sc. degree in telecommunication engineering and Ph.D. degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 2004 and 2009, respectively. From 2005 to 2006, he was with the University of Birmingham, where he was involved with the development of metamaterial-based antennas. Then, from 2007 to 2008, he was with the Université de Lille 1, where his research activity included the design of metamaterial-based filtering structures. Since 2009, he is with the Universidad de Castilla-La Mancha, Cuenca, Spain, where he is an Assistant Lecturer. His current research interests include EM metamaterials, ultra-wideband antennas, substrate integrate waveguide devices, and their applications. Dr. Borja was the recipient of the 2008 CST Short Paper Award.

Héctor Esteban received the telecommunications engineering and Ph.D. degrees from the Universidad Politécnica de Valencia, Valencia, Spain, in 1996 and 2002, respectively. He was with the Joint Research Centre, European Commission, Ispra, Italy. In 1997, he was with the European Topic Centre on Soil (European Environment Agency). He rejoined the Universidad Politécnica de Valencia, Valencia, Spain, in 1998. His research interests include methods for the full- wave analysis of open-space and guided multiple scattering problems, computer-aided design of microwave devices, electromagnetic characterization of dielectric and magnetic bodies, and the acceleration of electromagnetic analysis methods using the wavelets and the fast multipole method.

Vicente E. Boria (S’91–A’99–SM’02) received the Ingeniero de Telecomunicación and Doctor Ingeniero de Telecomunicación degrees from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Universidad Politécnica de Valencia, Valencia, Spain, where he has been a Full Professor since 2003. In 1995 and 1996, he held a Spanish Trainee position with the European Space research and Technology Centre (ESTEC)-European Space Agency (ESA). His current research interests include numerical methods for the analysis of waveguide and scattering structures, automated design of waveguide components, radiating systems, measurement techniques, and power effects in passive waveguide systems. Dr. Esbert has served on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3043

Pseudo-Traveling-Wave Resonator With Magnetically Tunable Phase Gradient of Fields and Its Applications to Beam-Steering Antennas Tetsuya Ueda, Senior Member, IEEE, Shintaro Yamamoto, Yuichi Kado, Member, IEEE, and Tatsuo Itoh, Life Fellow, IEEE

Abstract—A pseudo-traveling-wave resonator with magnetically tunable phase gradient of field distribution is investigated, and a new type of beam-steering antenna based on the resonator is experimentally demonstrated for the first time. It is a short-ended transmission-line resonator and is composed of a nonreciprocal phase-shift composite right/left-handed transmission line using a polycrystalline yttrium–iron–garnet rod. The resonator operates as zeroth-order resonator if there is no dc magnetic field, and the radiation beam directs to broadside. By increasing an externally applied dc magnetic field normal to the substrate, the effective dc magnetization in the ferrite increases under the unsaturated regime. The phase gradient of the field distribution along the resonator is then continuously increased. As a result, the radiation beam direction changes obliquely with respect to broadside. Continuous backfire-to-endfire beam steering with more than 40° was achieved with almost constant gain of 5 dBi. In addition, numerical simulation results show considerably high radiation efficiency of 85%–95%, and the measured beam angle and gain were found almost constant within the relative bandwidth of 2%. Index Terms—Beam steering, ferrite devices, leaky-wave antennas, metamaterials, periodic structures.

I. INTRODUCTION

Z

EROTH-ORDER resonators [1], [2] have been proposed and developed as one of transmission-line resonators, based on the concept of composite right/left-handed transmission lines (CRLHTLs) [2]–[4]. Resonant frequencies of conventional transmission-line resonators are determined by the length of the lines [5], whereas those of the zeroth-order resonators are not determined by the length itself, but by the configuration of the unit cell. Another interesting characteristic of the zeroth-order resonators is found in the field profiles with spatially uniform phase and amplitude along the line, which

Manuscript received March 19, 2012; revised June 15, 2012; accepted June 17, 2012. Date of publication July 27, 2012; date of current version September 27, 2012. This work was supported in part by the Japan Society for the Promotion of Science (JSPS) under KAKENHI Grant 23560454 and by the Ministry of Education, Culture, Sports, Science and Technology (MEXT) under KAKENHI Grant 22109002. T. Ueda, S. Yamamoto, and Y. Kado are with the Department of Electronics, Kyoto Institute of Technology Kyoto 606-8585, Japan (e-mail: [email protected]; [email protected]; [email protected]). T. Itoh is with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90095-1594 USA (e-mail: itoh@ee. ucla.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2207737

leads to applications in microwave filters, power dividers [6], [7], antennas [8]–[15], and oscillators [2]–[4]. Beam-steering leaky-wave antenna is another application of CRLHTL [16]–[27]. The beam direction can be continuously controlled from backfire through broadside to endfire by changing the operational frequency [16]–[23] or by electronically changing the configuration parameters [24]. However, the beam direction essentially fluctuates with the operation frequency even for electronically controlled beam scanning. Therefore, it restricts the use for communication applications with modulated signals. Another problem of conventional leaky-wave antennas is the low radiation efficiency due to existence of the nonradiated power consumption at the matched termination, especially for the compact type. There have been several proposals of power-recycling systems to improve the low-efficient antennas by using additional feedback systems [25]–[27]. Based on the concept of the CRLHTL, one of the authors proposed nonreciprocal components/devices, such as microwave edge-guided-mode isolators that are constructed by replacing a dielectric substrate of CRLH microstrip lines by a normally magnetized ferrite substrate [28]–[30]. We note that most microwave components/devices based on metamaterials, in general, utilize their attractive phase characteristics of guided waves, such as forward/backward wave propagation. Recently, from a phase-controlling point of view, another type of nonreciprocal transmission lines was proposed, which supports a dominant right-handed (RH) mode with positive refractive index in one direction of the transmitted power, and a dominant left-handed (LH) mode with negative refractive index in the opposite direction [31]–[34]. The transmission lines were implemented into nonreciprocal leaky-wave antennas with obliquely unidirectional beam radiation [31] and with the gain enhancement due to constructive interference between leaky waves from an incident wave and the reflected waves at the terminal [35] and also in pseudo-traveling-wave resonators with both terminals open or shorted permitting multiple reflections [36]. The pseudo-traveling-wave resonator is a new-type of transmission-line resonator and has the field profiles with the uniform magnitude and gradient of the phase distribution along the resonator. We labeled it with “pseudo” because it is not a conventional pure traveling-wave resonator in that the transmitted power flow on the resonator for an ideal case without any losses vanishes after the cancellation due to

0018-9480/$31.00 © 2012 IEEE

3044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

multiple reflections at the terminals. The phase gradient of field distribution depends on the applied dc magnetic field and magnetization in the ferrite. Recently the pseudo-traveling-wave resonator is implemented into beam-scanning antennas [37] and beam-steering capability was numerically demonstrated by changing the applied dc magnetic field. However, numerical results were shown only for two cases: one without dc magnetic field, i.e., zeroth-order resonator antenna, and another case with saturated magnetization in the ferrite materials. Under the unsaturated magnetization regime for small externally applied dc field, nonreciprocal leaky-wave radiation from CRLHTL was investigated [38], but the resonator and the tunable phase gradient have not been investigated in detail. In this paper, the pseudo-traveling-wave resonator is investigated mainly experimentally under the unsaturated magnetization regime, and the new type of beam-steering antenna based on the resonator is demonstrated for the first time. It is a short-ended transmission-line resonator and is composed of a nonreciprocal phase-shift CRLH microstrip line using polycrystalline yttrium–iron–garnet (YIG) rod. The resonator operates as a zeroth-order resonator if there is no external dc magnetic field, and the radiation beam from the resonator directs to broadside. By increasing an externally applied dc magnetic field normal to the substrate, the effective dc component of magnetization in the ferrite increases under the unsaturated region. The phase gradient of the field distribution along the resonator is then also enhanced. As a result, the radiation beam direction changes obliquely with respect to broadside. Continuous backfire-to-endfire beam steering with more than 40° was demonstrated with almost constant gain of 5 dBi. In addition, it is verified from numerical simulation that the proposed beam-steering antenna has considerably high radiation efficiency of 85%–95% because the resonant system in the antenna corresponds to an efficient power-recycling system. Finally, robustness of the beam angle against modulated signals is also discussed with comparison to conventional leaky-wave antennas, and the measured beam angle and gain were found almost constant within the relative bandwidth of 2%. II. BASIC CONCEPT OF NONRECIPROCAL CRLHTL PSEUDO-TRAVELING-WAVE RESONATOR

AND

A. Geometry and Equivalent Circuit Model for CRLHTL Simplified equivalent-circuit models for a nonreciprocal phase-shift CRLHTL employed in the proposed resonator, the T-type unit cell, and schematic of the dispersion curves are illustrated in Fig. 1 [33]. The unit cell is composed of two transmission-line arm sections with nonreciprocal phase characteristics and series-capacitive and shunt-inductive elements. Quantities and in Fig. 1(a) and (b) denote phase constants for forward and backward propagation directions in the transmission-line arm section, respectively, and and denote the corresponding characteristic impedances. In Fig. 1(c) and (d), the dispersion curves for the nonreciprocal CRLHTL are shown. Fig. 1(c) and (d) denote the unbalanced and balanced cases with and without bandgap between upper and lower branches,

Fig. 1. Schematic of equivalent-circuit models: (a) for nonreciprocal CRLHTL and (b) for the T-type unit cell. Schematic of dispersion diagram: (c) for the unbalanced case with a bandgap between upper and lower branches and (d) for the balanced case without a bandgap.

respectively. As shown in Fig. 1(c) and (d), the dispersion curves of the dominant CRLH modes in the nonreciprocal CRLHTL has unique characteristics with a displacement of the symmetric axis originally aligned to the frequency axis to the left or right by the difference of phase constants between two propagation directions [31]. As a result, the nonreciprocal CRLHTL supports a dominant RH mode in a direction of the transmitted power and a dominant LH mode in the opposite direction along the line within the specific frequency region from to [31]. The practical geometry of the CRLHTL is shown in Fig. 2. The basic configuration is the same as in [33], [34], [36], and [37]. Series lumped capacitors and shorted inductive stubs are periodically inserted in a center microstrip line to achieve negative effective permeability and permittivity. A normally magnetized ferrite rod is embedded in the dielectric substrate just below the center microstrip, as shown in Fig. 2(b). The insertion of stubs are made only one side of the center microstrip edges in order to form an asymmetric geometry with respect to the plane including the propagation direction and the dc magnetization, leading to the nonreciprocal transmission

UEDA et al.: PSEUDO-TRAVELING-WAVE RESONATOR

3045

Fig. 2. Geometry of designed nonreciprocal CRLHTL and photo of the prototype. (a) Perspective view. (b) Cross section of center strip. (c) Photograph of top view of the fabricated CRLH line.

characteristics, as shown in Fig. 1(c) and (d). For the specific geometry in Fig. 2, the phase nonreciprocity in Fig. 1 is proportional to effective dc magnetization in the ferrite rod, as will be shown later. This ferrite-rod-embedded structure is employed because the insertion loss and the nonreciprocity in the magnitude of transmission coefficients are relatively small, whereas the nonreciprocal phase characteristics are still significant. In what follows, we consider polycrystalline YIG as the soft ferrite, and polystyrene as the dielectric substrates. Since the polycrystalline YIG shows significantly large magnetic loss below 4.5 GHz without externally applied dc magnetic field in our experimental setup, the operational frequencies are set to around 6 GHz to avoid significant insertion loss. The various configuration parameters designed in the numerical simulation are as follows; dimension of the ferrite rod is 1.6 mm 1.6 mm 30 mm, the relative permittivity of the ferrite rod and the dielectric substrates are and , respectively. Dielectric loss tangents of the ferrite and dielectric materials are and , respectively. Both thickness of the substrate and strip width of

Fig. 3. Dispersion diagram estimated from phase of measured and simulated transmission coefficients. Solid and broken lines represent measured and mT. (b) mT. simulated results, respectively. (a) mT. (c)

the center microstrip line are mm. The length of the unit cell is mm, and the total number of unit cells in the CRLHTL section is ten. The inserted series capacitance is pF. The inserted shunt inductive stub is composed of a shorted microstrip line with the width of 1.5 mm and the length of 2.0 mm. Conductors employed are assumed to be copper with conductivity S/m. The photograph of the top view

3046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

of the fabricated CRLHTL for measurement of the transmission characteristics is shown in Fig. 2(c). In Fig. 3, dispersion diagrams of the fabricated nonreciprocal phase shift CRLHTL are plotted with solid lines as a function of the externally applied dc magnetic field. The phase constant in Fig. 3 was converted from the phase of measured -parameters. The dc magnetic field in the experiment was varied from mT through 0 to 110 mT by mechanically changing a distance between the CRLH line and a permanent magnet. Dimension of the magnet is 60 mm 20 mm 10 mm, and was placed below the ground plane. The applied dc field distribution in the region of interest to be inserted with the ferrite rod was measured without the insertion. The distribution was found almost uniform in the longitudinal direction and the representative values given in the paper were measured at the position where the center of the ferrite rod would be located. It is noted that the measured range of the external dc magnetic fields is insufficient for magnetization in the ferrite rod to be saturated. Since it is difficult to analytically treat behavior of the unsaturated magnetization in the ferrite, the effective magnetization was numerically estimated by comparing the measured nonreciprocal transmission characteristics and the simulation results under the assumption of uniform distribution of the magnetization. The magnetic loss of the ferrite used in the numerical simulation was mT. The internal dc magnetic field used in the simulation was set to zero for simplicity since a further increase in the internal dc field does not change phase nonreciprocity so much, but leads to deviation from the measure transmission characteristics. The obtained relation among the measured external dc magnetic field, effective magnetization extracted, and the phase nonreciprocity is shown in Fig. 4. It is found from Fig. 4 that both the effective magnetization and phase nonreciprocity are approximately proportional to the applied dc magnetic field for the external dc magnetic field less than 50 mT. The simulated nonreciprocal dispersion curves adjusted to the measurement are also plotted with broken lines in Fig. 3. It is found from Fig. 3 that the measured frequency at the intersection of nonreciprocal RH and LH modes in the dispersion curves is relatively stable with deviation of the order of several dozen megahertz against small variation of the externally applied dc field. In what follows, we utilized the extracted effective magnetization to design and estimate the performance of pseudo-traveling-wave resonators and the antennas based on the nonreciprocal CRLHTL.

B. Pseudo-Traveling-Wave Resonator In this section, we discuss resonant condition and field profiles on the pseudo-traveling-wave resonator. In Fig. 5, the equivalent-circuit model, schematic of the current distribution along the CRLH section with the length of , and the phase matching condition are illustrated. The quantity denotes the number of the unit cells. In Fig. 5(a), the quantities and denote phase constants of these dominant CRLH modes, whose signs are taken so that the direction of the transmitted power is positive.

Fig. 4. Externally applied dc magnetic field versus effective magnetization and phase nonreciprocity.

Fig. 5. Pseudo-traveling-wave resonator. (a) Equivalent-circuit model. (b) Current distribution. (c) Automatic phase matching condition independently of resonator’s size.

The propagation constant and have been defined in Fig. 5 with the corresponding attenuation constant and . The current distribution on the resonator can be expressed with multiple reflections of an incident wave at the terminals, as shown in Fig. 5(b), and the total current distribution is given by

(1)

UEDA et al.: PSEUDO-TRAVELING-WAVE RESONATOR

3047

with

(2) and in (1) denote current reflection coefficients where at Terminal 1 and 2, respectively. In the same manner, the voltage distribution is given by

phase of the backward wave mode that is reflected at the terminal and going back to the left gets advanced. When both gradients of the phase distribution are exactly the same, the total phase shift always vanishes to achieve the resonant condition. In what follows, we consider short-ended resonators. For simplified cases with the relation (6), , , and 1, or , (1), (3), and (4) are reduced to (7)

(3) (8) where and denote the corresponding voltage reflection coefficients at Terminal 1 and 2, and are related to current reflection coefficients with

The quantities and represent Bloch impedance of the nonreciprocal CRLHTL in the positive and negative -direction. It is noted that the relation always holds. From (1) and (3), the transmitted power distribution along the resonator can also be formulated and given by (4), shown at the bottom of this page. The resonant condition is satisfied in a situation where the magnitude of denominator in (1) or (3) takes the minimum, and we have

i.e., (5) and denote the phase shift due to reflection at where terminals 1 and 2, and is the integer. The sum of the phase shift can be neglected for open- or short-end cases. When the relation (6) holds in (5), the resonant condition is independent of the resonator’s size and is automatically satisfied with [36]. Fig. 5(c) shows the automatic phase-matching condition describing the phase distribution for traveling waves experiencing round trip on the resonator. The phase of an incident forward wave mode propagating to the right is delayed, whereas the

and (9) The above assumption of reciprocal characteristic impedance approximately holds when we consider low-loss nonreciprocal transmission lines, as confirmed by the numerical simulation. Therefore, under the condition that a product of the attenuation constant and the total length of the resonator satisfies , the current distribution along the resonator has almost uniform magnitude and linearly varying phase distribution. On the other hand, in that case, the transmitted power distribution on the resonator in (9) could be cancelled by the multiple reflections. In Fig. 6, a practical configuration of the present pseudotraveling-wave resonator is shown. The short-ended condition of the CRLHTL section is realized by using an open-ended quarter-wavelength transmission line as the reflectors, as shown in Fig. 6(a) and (b). The feed line is inserted on one of the finite-length transmission line sections in the reflectors so that the input impedance is almost 50 . In Fig. 6(c), a photograph of the prototype resonator is shown. The feed lines that were used for connection to ports 1 and 2 in Fig. 2(c) have been removed in the circuit of Fig. 6(c). Measured return loss, operational frequency, and the 10-dB bandwidth satisfying the voltage standing-wave ratio (VSWR) less than 2 are shown in Fig. 7 along with the numerical simulation results. The internal dc magnetic field and effective magnetization used in the numerical simulation have been kept the same as in Fig. 3. In Fig. 7(a), the measured resonant frequency is 6.12 GHz and the simulated is 6.15 GHz, and both were found to be lower than the frequencies estimated from dispersion curves in Fig. 3 due to an insufficient number of cells, as can be confirmed from further numerical simulation with larger numbers showing their good agreement. It is found from Fig. 7(b) that the measured resonant frequency is

(4)

3048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 7. Simulated and measured resonant characteristics of pseudo-travelingwave resonator. (a) Reflection coefficients without dc magnetic field. (b) Resonant frequencies and bandwidths as a function of the applied dc field.

Fig. 6. Geometry of designed prototype pseudo-traveling-wave- resonator antenna. (a) Equivalent circuit. (b) Perspective view. (c) Photograph of the prototype resonator.

relatively stable with small variation from 6.12 to 6.19 GHz against the change in the externally applied dc magnetic field from 55 mT through zero to 34.5 mT in the linearly varying magnetization region. Measured relative bandwidth is almost constant with 2%, while the simulated result was 2.4%. The influence of number of unit cells on the resonant conditions of pseudo-traveling-wave resonator is numerically investigated. Fig. 8 depicts simulated magnetic field profiles on the ground plane of the resonator showing how well confined the fields inside the resonator are for the number of cells with and . For short-ended resonance, series resonance in the series branch in the CRLHTL is dominant, and the current flow on the center microstrip line is significant. It is noted that the resonant condition in the present case satisfies the condition of leaky-wave radiation from the line. Therefore, the influence of leaky-wave radiation is more significant for larger number of cells in the resonators. It is confirmed from Fig. 8(a)–(c) that

Fig. 8. (a)–(c) Simulated magnetic field profiles on the ground plane showing magnitude of current flow on the resonator as a function of the number of unit cells. Magnitude with: (a) five cells, (b) ten cells, and (c) 20 cells. (d)–(f) Corresponding phase profiles.

magnitude distribution is almost uniform along the resonator and that the resonator with a smaller number of cells has better confinement of the fields in the CRLH section. On the other hand, the phase gradient along the resonator is kept the same, independently of the number of cells in the resonators, as seen from Fig. 8(d)–(f). This result agrees well with predicted current distribution in (7).

UEDA et al.: PSEUDO-TRAVELING-WAVE RESONATOR

3049

Fig. 9. Simulated power distribution along the nonreciprocal CRLHTL and the resonator, as a function of number of unit cells.

The transmitted power flow distribution along the resonator is also numerically investigated. We calculated the total power flow across designated areas that are the same as transverse cross section of the substrate region including a ferrite rod and dielectric substrates on both sides. Therefore, the simulation results neglect part of the power flow transmitting in the air region. Another power flow for the nonreciprocal CRLHTL without reflectors is also calculated for comparison. The simulation results are shown in Fig. 9 as a function of the position along the resonators and transmission lines. In the present case, the fields significantly decay along the line due to leaky-wave radiation from the line designed for antenna application to be mentioned later. It is noted that power flow at the terminal of the CRLH section for the resonators vanishes due to the cancellation of incident and reflected waves, whereas the transmission lines without reflectors do not. The cancellation of the transmitted power flow is more clearly observed for smaller number of unit cells. Indeed, there exists net power flow on the resonators near the input port, due to insufficient cancellation with superposition of exponential functions. The power distribution approaches that of transmission lines as the resonator’s size becomes larger. It implies that a larger resonator has less confinement of fields resulting in conventional leaky-wave antennas without reflectors. This result is explained by the analytic approach in (9).

Fig. 10. Comparison of radiation patterns between numerical simulations and array factors, as a function of number of unit cells. (a) Without dc field with . (b) mT with .

where is the phase constant in free space. In order to analytically achieve radiation patterns from the current distribution along the resonator, the array factor can be formulated with the help of (1) as

III. APPLICATION TO MAGNETICALLY TUNABLE BEAM-STEERING ANTENNAS In this section, the proposed resonator is implemented into beam-steering antennas. As mentioned in Section II, the designed CRLHTL and the resonator showed significant leakywave radiation. The radiation angle from the leaky-wave transmission line can be roughly estimated from phase gradient of the field profile on the line, which is determined by the nonreciprocal phase at the intersection in the dispersion curves with . The angle is measured with respect to broadside, as shown in Fig. 6(b), and is given by (10)

(11) with

(12) The normalized radiation patterns obtained from array factors using a propagation constant estimated from simulated dispersion curves are shown in Fig. 10 with thick lines along with

3050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 11. Measured radiation patterns as a function of the external dc magnetic field. (a) mT. (e) mT. (f) mT.

radiation patterns directly obtained by numerical simulation with thin lines, as a function of the number of unit cells. Fig. 10(a) shows the no dc magnetic field case, or radiation from the zeroth-order resonator antenna, and the beam directs to broadside with the angle . Fig. 10(b) denotes the case with a dc field of mT, which corresponds to a nonreciprocal phase with . The angle was for and for . For both cases with/without dc magnetic fields, the attenuation constants dB per unit cell are assumed, which are obtained from simulated transmission characteristics of the ten-cell CRLHTL in Fig. 3. It is noted that radiation patterns estimated from array factors in Fig. 10 have been modified by taking into account additional leaky-wave radiation from current distribution on the reflectors, which is composed of an open-end quarter-wavelength microstrip line and inserted at both sides of CRLH section, which results in enhancement of the effective antenna size and narrower beamwidth. Still, the enhancement of directivity with an increase in the number of the cells is clearly confirmed from Fig. 10. The simulated gain for was 6.35 dBi, and 7.7 dBi for . Thus, the analytical approach based on array factors is in good agreement with the numerical simulation results for a different numbers of cells and a different degree of nonreciprocity. In Fig. 11, measured radiation patterns are shown with solid lines as a function of the externally applied dc magnetic field from 55 to 110 mT. The simulated radiation patterns are also plotted with broken lines. It is noted that for the short-ended resonator, the series resonance in the series branch in the ladder network for the equivalent-circuit model of the nonreciprocal CRLHTL section is dominant [36], in a similar manner to conventional zeroth-order resonators [1]. The current distribution is then concentrated on the center microstrip line contributing

mT. (b)

mT. (c)

mT. (d)

Fig. 12. Simulated and measured beam angles, beamwidth, and gains, as a function of the external dc magnetic field.

to the series branch. Therefore, co-polarized radiation mainly has the component of the electric fields, and the component is in cross-polarization. For negative dc field, effective magnetization in the ferrite rod becomes negative and nonreciprocal phase difference is also negative. On the other hand, the effective magnetization and the phase difference are both positive for the positive applied dc field, as shown in Figs. 3 and 4. The measured beam angle was continuously scanned from to with the variation of the external dc field restricted by the measurement setup. The simulated scanning angle of the antenna covers 30 . In Fig. 12, measured and simulated beam angles, beamwidths, and radiation gains are shown as a function of the externally applied dc magnetic field. The beam angles estimated from (10) with the help of dispersion curves in Fig. 3 is also plotted for comparison. It is found from Fig. 12 that the simulated and measured beam angles, as well as a prediction

UEDA et al.: PSEUDO-TRAVELING-WAVE RESONATOR

3051

TABLE I COMPARISON OF SIMULATED RADIATION EFFICIENCIES BETWEEN CRLHTL AND PSEUDO-TRAVELING-WAVE RESONATOR ANTENNAS

Fig. 13. Schematic of influence of modulated signals on the beam angles. (a) Conventional CRHLTL-based LWA. (b) Proposed pseudo-traveling-wave-resonator antenna.

from dispersion curves in Fig. 3, are all approximately proportional to the external dc field within the small magnitude of less than 50 mT. On the other hand, the simulated and measured gains were constantly about 6 and 5.5 dBi, respectively. The simulated and measured beamwidths were also stable with 50° and 60°, respectively. When the dc field is further enhanced and the effective magnetization approaches the saturation, the increase in the nonreciprocal phase is also saturated, as seen from Fig. 12. Finally, advantages of the proposed antenna will be mentioned. First of all, it has considerably high radiation efficiency. Fundamental mechanism of leaky-wave radiation from the resonator antenna is the same as conventional leaky-wave antennas, but the efficiency is significantly improved by using multiple reflections at both ends. In Table I, comparison of radiation efficiencies between the proposed resonator antenna and the one-way propagation along the same CRLHTL is shown as a function of the number of unit cells. The radiation efficiency for conventional one-way propagation along the CRLHTL is enhanced by increasing the total length of the antennas. The efficiencies for both cases with and without dc fields are approximately the same with each other, and only 17% for five cells, and about 70% for 20 cells. The radiation efficiencies of the proposed resonator antennas in Table I seem to be varied with different number of unit cells. However, the variation is mainly due to different return losses by using the same reflectors as the ten-cell case. For example, when the matching condition is well achieved for the five-cell case with another optimized reflectors, the efficiency without a dc field was improved up to 95.4% and the one for a dc field with mT was still 93.7%, as can be predicted from Table I, but the center frequency went down to 5.98 GHz. Of course, in these numerical simulation results, conductor loss, dielectric loss, as well as relatively large magnetic loss for polycrystalline YIG in the antennas have been taken into account.

The second advantage of the antenna is robust stability of steering beam direction against perturbation of the operational frequency or modulation of incident signals. For conventional CRLHTL-based leaky-wave antennas, beam direction is vulnerable to perturbation of the operational frequency . We take the center frequency and the phase constant , as shown in Fig. 13(a). The perturbation of beam direction can be derived from (10) and is given in terms of perturbation of the frequency by [39]

(13) , . A factor in (13) slowly varies with the operational frequency and is relatively constant, except for frequencies in the vicinity of singular points at the edge of the radiation region. Therefore, is almost proportional to another factor of a difference between reciprocal of the group velocity and that of phase velocity . In the fast wave region where leaky waves can be radiated, the phase velocity exceeds a speed of light in vacuum , whereas the group velocity is less than . Therefore, essentially remains finite. Still, it was successfully reduced by designing the CRLHTL with less dispersion and by selecting the operational frequency in the RH band near the air line [39]. However, especially for cases at frequencies in the vicinity of the intersection of dispersion curves, the fluctuation becomes significant and is approximately proportional to because is nearly zero with . On the other hand, the proposed antenna is basically resonanttype and the beam direction is much more robust against the perturbation of the frequency, compared to conventional leakywave antennas. In order to estimate perturbation of the beam angle, phase gradient of the current distribution on the resonator needs to be formulated. The current distribution in (1) is proportional to . Under the assumption of 1 and , the factor is expressed by where

(14)

3052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

derivatives of the phase nonreciprocity for simulated and measured results are both s/m, and they are much smaller than the group delay of the same CRLH modes with s/m in (13) by a factor of 1/20. The second term in parentheses of (16) is less than the first term. Thus, the robustness of the beam directions against modulated signals for the proposed resonator antenna is verified by the mechanism of the leaky-wave radiation and the nonreciprocal dispersion diagram. It should be emphasized that for the proposed pseudo-traveling-wave resonator antennas, the beam squinting can be drastically reduced without any additional efforts and could be set to precisely zero if the nonreciprocal dispersion is optimally designed, as predicted from (16). In Fig. 14, frequency dependence of measured and simulated radiation angles and gains are shown within the 10-dB bandwidths for two cases with and without external dc magnetic fields. In the numerical simulation, both the frequency dependences of radiation angle and gain are found very small, which implies that the proposed antenna has very promising potential for use in communication applications, where modulated signals must be treated. In the measurement, there exist frequency dependences to some extent, but the essential results have been achieved. IV. CONCLUSION

Fig. 14. Frequency dependence of simulated and measured radiation angles mT. and gains. (a) Without dc field. (b)

with

and

(15) It is found from (14) that the nonuniform magnitude is significant when either or is not satisfied, where the factor denotes the offset phase constant at the operational frequency, as shown in Fig. 13(b). The second term in phase of in (14), defined by (15), may generate nonlinearly varying phase distribution along the resonator. The nonlinearity in phase significantly appears when neither , nor holds. In the present case, is very small compared to the first term and can be neglected. Therefore, the perturbed beam angle is given in terms of and the perturbation by

A pseudo-traveling-wave resonator with magnetically tunable phase gradient of field distribution has been investigated, and a new type of beam-steering antenna based on the resonator has been experimentally demonstrated for the first time. It is a short-ended transmission-line resonator and is composed of a nonreciprocal phase-shift CRLHTL using a polycrystalline YIG rod. The resonator operates as zeroth-order resonator if there is no dc magnetic field, and the radiation beam directs to broadside. By increasing an externally applied dc magnetic field normal to the substrate, the effective dc magnetization in the ferrite increased under the unsaturated region. The phase gradient of the field distribution along the resonator was then continuously increased. As a result, the radiation beam direction changed obliquely with respect to broadside. Continuous backfired-to-endfire beam steering with more than 40° was achieved with almost constant gain of 5 dBi. In addition, numerical simulation results showed considerably high radiation efficiency of 85%–95%, and the beam angle and gain were found almost constant within the relative bandwidth of 2%. The proposed resonator will open up new applications to compact and high-efficient beam-steering antennas in wireless communications. ACKNOWLEDGMENT

(16) where in (16) denotes the phase nonreciprocity at the center frequency, , as illustrated in Fig. 13(b). From (16), the perturbation of beam angle for the proposed antenna is approximately proportional to a factor of the difference between the first derivative of the phase nonreciprocity with respect to the frequency and the ratio of phase nonreciprocity to the frequency. In the present case with mT, the

The authors would like to thank Y. Tanaka, Orient Microwave Corporation, Shiga, Japan, for his supply of the ferrite bulk, and J. Noda, Kyoto Prefectural Technology Center for Small and Medium Enterprises, Kyoto, Japan, for his support of antenna measurement. REFERENCES [1] A. Sanada, C. Caloz, and T. Itoh, “Zeroth-order resonance in composite right/left handed transmission line resonators,” in Proc. Asia–Pacific Microw. Conf., 2003, pp. 1588–1592.

UEDA et al.: PSEUDO-TRAVELING-WAVE RESONATOR

[2] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. Hoboken, NJ: Wiley, 2006. [3] G. V. Eleftheriades and K. G. Balmain, Negative-Refraction Metamaterials: Fundamental Principles and Applications. Piscataway, NJ: IEEE Press, 2005. [4] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Microw. Mag., vol. 5, no. 3, pp. 34–50, Sep. 2004. [5] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 223–231, Apr. 1958. [6] M. Antoniades and G. V. Eleftheriades, “A broadband series power divider using zero-degree metamaterial phase-shifting lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 808–810, Nov. 2005. [7] A. Lai, K. M. K. H. Leong, and T. Itoh, “A novel -port series divider using infinite wavelength phenomena,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, Paper WE4E-5. [8] A. Sanada, M. Kimura, I. Awai, C. Caloz, and T. Itoh, “A planar zeroth-order resoqnator antenna using a left-handed transmission line,” in Proc. 34th Eur. Microw. Conf., Sep. 2004, pp. 1341–1344. [9] A. Lai, K. M. K. H. Leong, and T. Itoh, “Infinite wavelength resonant antennas with monopolar radiation pattern based on periodic structures,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 868–876, Mar. 2007. [10] J.-G. Lee and J.-H. Lee, “Zeroth-order resonance loop antenna,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 994–997, Mar. 2007. [11] C. Caloz, T. Itoh, and A. Rennings, “CRLH metamaterial leaky-wave and resonant antennas,” IEEE Antennas Propag. Mag., vol. 50, no. 5, pp. 25–39, Oct. 2008. [12] T. Yoshida, T. Ueda, M. Akiyama, and T. Itoh, “Radiation characteristics of zeroth-order resonators composed of 2-D dielectric-based composite right/left handed metamaterial structures,” in Proc. 39th Eur. Microw. Conf., Sep. 2009, pp. 205–208. [13] S. Pyo, S.-M. Han, J.-W. Baik, and Y.-S. Kim, “A slot-loaded composite right/left-handed transmission line for a zeroth-order resonant antenna with improved efficiency,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2775–2782, Nov. 2009. [14] T. Ueda, G. Haida, and T. Itoh, “Zeroth-order resonators with variable reactance loads at both ends,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 612–618, Mar. 2011. [15] T. Ueda, G. Haida, Y. Kado, and T. Itoh, “Polarization-controllable zeroth-order-resonator antennas with reactance loads at both ends,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4, Paper TU2F-2. [16] T. Grbic and G. V. Eleftheriades, “A backward-wave antenna based on negative refractive index L–C networks,” in IEEE AP-S Int. Symp. Dig., Jun. 2002, vol. 4, pp. 340–343. [17] T. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, no. 10, pp. 5930–5935, Nov. 2002. [18] L. Liu, C. Caloz, and T. Itoh, “Dominant mode (DM) leaky-wave antenna with backfire-to-endfire scanning capability,” Electron. Lett., vol. .38, no. 23, pp. 1414–1416, Nov. 2002. [19] F. C. Miranda, C. C. Penalosa, and C. Caloz, “High-gain active composite right/left-handed leaky-wave antenna,” IEEE Trans. Antennas Propag., vol. 54, no. 8, pp. 2292–2300, Aug. 2006. [20] T. Ueda, N. Michishita, M. Akiyama, and T. Itoh, “Dielectric-resonator- based composite right/left-handed transmission lines and their application to leaky wave antenna,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2259–2268, Oct. 2008. [21] T. Ikeda, K. Sakakibara, T. Matsui, N. Kikuma, and H. Hirayama, “Beam-scanning performance of leaky-wave slot-array antenna on variable stub-loaded left-handed waveguide,” IEEE Trans. Antennas Propag., vol. 56, no. 12, pp. 3611–3618, Dec. 2008. [22] S. Paulotto, P. Baccarelli, F. Frezza, and D. Jackson, “Full-wave modal dispersion analysis and broadside optimization for a class of microstrip CRLH leaky-wave antennas,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2826–2837, Dec. 2008. [23] Y. Dong and T. Itoh, “Composite right/left-handed substrate integrated waveguide and half mode substrate integrated waveguide leaky-wave structures,” IEEE Trans. Antennas Propag., vol. 59, no. 3, pp. 767–775, Mar. 2011. [24] S. Lim, C. Caloz, and T. Itoh, “Metamaterial-based electronically controlled transmission line structure as a novel leaky-wave antenna with tunable angle and beamwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 161–173, Jan. 2005.

3053

[25] H. V. Nguyen, S. Abielmona, and C. Caloz, “Highly efficient leakywave antenna array using a power-recycling series feeding network,” IEEE Trans. Antennas Wireless Propag. Lett., vol. 8, pp. 441–444, Jun. 2009. [26] H. V. Nguyen, A. Parsa, and C. Caloz, “Power-recycling feedback system for maximization of leaky-wave antennas’ radiation efficiency,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1641–1650, Jul. 2010. [27] C.-T. M. Wu, Y. Dong, J. S. Sun, and T. Itoh, “Ring-resonator-inspired power recycling scheme for gain-enhanced distributed amplifier-based CRLH-transmission line leaky wave antennas,” IEEE Trans. Microw. Theory. Tech., vol. 60, no. 4, pp. 1027–1037, Apr. 2012. [28] M. Tsutsumi and T. Ueda, “Nonreciprocal left-handed microstrip lines using ferrite substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 249–252. [29] T. Ueda and M. Tsutsumi, “Nonreciprocal left-handed transmission characteristics of microstrip lines on the ferrite substrate,” IET Microw., Antennas, Propag., vol. 1, no. 2, pp. 349–354, Apr. 2007. [30] T. Ueda and M. Tsutsumi, “Left-handed transmission characteristics of ferrite microstrip lines without series capacitive load,” IEICE Trans. Electron., vol. E89-C, no. 9, pp. 1318–1323, Sep. 2006. [31] T. Ueda, K. Horikawa, M. Akiyama, and M. Tsutsumi, “Nonreciprocal phase-shift composite right/left handed transmission lines and their application to leaky wave antennas,” IEEE Trans. Antennas Propag., vol. 57, no. 7, pp. 1995–2005, Jul. 2009. [32] T. Ueda, “Transmission line microwave apparatus including at least one non-reciprocal transmission line part between two parts,” U. S. Patent App. Pub. US 2010/0060388 A1, Mar. 11, 2010. [33] T. Ueda and M. Akiyama, “Nonreciprocal phase-shift composite right/ left handed transmission lines using ferrite-rod-embedded substrate,” IEEE Trans. Magn., vol. 45, no. 10, pp. 4203–4206, Oct. 2009. [34] H. Kishimoto, T. Ueda, and Y. Kado, “Experimental demonstration of nonreciprocal phase-shift composite right/left handed transmission lines using a ferrite-rod-embedded substrate,” IEEE Trans. Magn., vol. 47, no. 10, pp. 3724–3727, Oct. 2011. [35] K. Horikawa, T. Ueda, and M. Akiyama, “Influence of reflected waves at a terminal of nonreciprocal phase-shift CRLH transmission lines on the leaky wave radiation,” in Proc. Asia–Pacific Microw. Conf., Dec. 7–10, 2009, pp. 151–154. [36] T. Ueda and H. Kishimoto, “Pseudo-traveling wave resonator based on nonreciprocal phase-shift composite right/left handed transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 41–44. [37] T. Ueda, S. Yamamoto, and Y. Kado, “Beam-scanning traveling-waveresonator antenna based on nonreciprocal phase-shift CRLH transmission lines,” in Proc. IEEE Int. Antennas Propag. Symp., Jul. 2011, pp. 1058–1061. [38] K. Horikawa, T. Ueda, and M. Akiyama, “Beam steering of leaky wave radiation from nonreciprocal phase-shift composite right/left handed transmission lines,” IEICE Trans. Electron., vol. E93-C, no. 7, pp. 1089–1097, Jul. 2010. [39] M. A. Antoniades and G. V. Eleftheriades, “A CPS leaky-wave antenna with reduced beam squinting using NRI-TL metamaterials,” IEEE Trans. Antennas Propag., vol. 56, no. 3, pp. 708–721, Mar. 2008.

Tetsuya Ueda (M’97–SM’10) received the B.E., M.E., and Ph.D. degrees in communication engineering from Osaka University, Osaka, Japan, in 1992, 1994, and 1997, respectively. Since 1997, he has been with the Department of Electronics, Kyoto Institute of Technology, Kyoto, Japan, where he is currently an Assistant Professor. From 2005 to 2006, he was a Visiting Scholar with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). His current research interests include metamaterials and their applications. Dr. Ueda is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1999 IEICE Young Engineer Award and the 2008 IEEE MTT-S Japan Chapter Young Engineer Award.

3054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Shintaro Yamamoto received the B.E. and M.E. degrees in electronics from the Kyoto Institute of Technology, Kyoto, Japan, in 2010 and 2012, respectively. His research interests include metamaterials and their antenna applications.

Yuichi Kado (M’08) received the M.S. and Ph.D. degrees in electronics from Tohoku University, Miyagi, Japan, in 1983 and 1998, respectively. In 1983, he joined the Electrical Communication Laboratories, Nippon Telegraph and Telephone Public Corporation (now NTT), Kanagawa, Japan, where he was engaged in research on silicon-on-insulator (SOI) structure formation by hetero-epitaxial growth. From 1989 to 1998, he was involved with the development of fully depleted CMOS/SIMOX large-scale integrations (LSIs) and ultra-low-power CMOS circuits. From 1999 to 2002, he was engaged in research and development on compact network appliances using ultra-low-power CMOS circuit technologies for ubiquitous communications. He led research and development projects on ultra-low-power network appliances, sub-terahertz-wave wireless communication, and intra-body communication as a Director of the Smart Devices Laboratory, NTT Microsystem Integration Laboratories (2003–2010). In July 2010, he joined the Department of Electronics, Kyoto Institute of Technology, Kyoto, Japan. Dr. Kado was the recipient of the 2009 Nikkei BP Technology Award, the 2009 Radiowave Achievement Award presented by the Association of Radio Industries and Businesses (ARIB), and the 2011 Session’s Best Paper Award presented by the International Institute of Informatics and Systemics (IIIS).

Tatsuo Itoh (S’69–M’69–SM’74–F’82–LF’06) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. After working for University of Illinois, SRI, and University of Kentucky, he joined the faculty of The University of Texas at Austin in 1978, where he became a Professor of electrical engineering in 1981. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at The University of Texas at Austin. In January 1991, he joined the University of California at Los Angeles (UCLA), as a Professor of electrical engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics (currently Northrop Grumman Endowed Chair). He has authored or coauthored 400 journal publications, 820 refereed conference presentations, and 48 books/book chapters in the area of microwaves, millimeter-waves, antennas and numerical electromagnetics. He has generated 73 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers of Japan, and Commissions B and D, USNC/URSI. He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He was president of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) (1990). He was the editor-in-chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was the chairman of Commission D, International URSI (1993–1996). He serves on Advisory Boards and committees of a number of organizations. He was a Distinguished Microwave Lecturer on Microwave Applications of Metamaterial Structures of the IEEE MTT-S (2004–2006). He was the recipient of a number of awards including the IEEE Third Millennium Medal (2000) and the IEEE MTT-S Distinguished Educator Award (2000). He was elected a member of the National Academy of Engineering in 2003. In 2011, he was the recipient of the Microwave Career Award of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3055

A Novel Dual-Band 3-dB Branch-Line Coupler Design With Controllable Bandwidths Kwok-Keung M. Cheng, Senior Member, IEEE, and Sung Yeung, Student Member, IEEE

Abstract—This paper presents, for the first time, the design and implementation of a dual-band branch-line coupler with unequal operating bandwidths. The control of bandwidths is accomplished by the use of dual-band quarter-wavelength impedance transformer with controllable phase slopes over the two designated frequency bands. The adjustment of phase slopes is attained by the proper selection of the circuit parameters of the transformer. For design purposes, closed-form expressions are derived for the determination of the electrical lengths and line impedances of the resulting coupler. For experimental verification, both simulated and measured results of dual-band couplers designed to operate at 1 and 2 GHz are shown. Index Terms—Bandwidth, branch-line coupler, dual band, impedance transformer, phase slope, quarter-wavelength.

I. INTRODUCTION

I

N MODERN communication systems, the demand for dualband or multiband operation is growing and the adoption of dual-band microwave devices [1]–[4] has become an attractive solution towards the size and cost reduction of RF frontend design. In recent years, different structures of dual-band couplers [5]–[11] have been reported widely in the literature. The construction of dual-band couplers is mainly composed of two steps [5]–[7]. Firstly, a single-band branch-line coupler is designed with sufficiently large bandwidth, which involves the proper selection of the number of sections and the line impedance values. All branch-lines are assumed to be a quarter-wavelength long evaluated at the center frequency. Next, the conversion of the coupler from single- to dual-band operation is obtained by using an appropriate dual-band quarter-wavelength impedance transformer to replace the individual branch-line. Theoretically, the available bandwidth of the coupler is, to a first approximation, inversely proportional to the transmission phase slope of the transformer. By design, these previous transformers inherently exhibit equal phase slopes over the lower and upper bands, and therefore the resulting dual-band coupler will have an equal bandwidth. For certain applications, such as wireless local area network (WLAN) systems operating at around 2.4–2.49 and 5.15–5.825 GHz, a much wider bandwidth is often needed in the upper band. If the equal-bandwidth approach is adopted for Manuscript received March 09, 2012; revised July 16, 2012; accepted July 17, 2012. Date of publication August 15, 2012; date of current version September 27, 2012. The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]. hk). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2210437

Fig. 1. Proposed dual-band transformer and its equivalent.

the above application, the number of sections required (coupler) will increase substantially with the major drawbacks of enlarged circuit size and losses. In this paper, the design of a dual-band branch-line coupler with unequal bandwidths is proposed for the first time. The control of bandwidths is attained by the use of dual-band quarterwavelength transformer with adjustable phase slopes over the two designated bands. In Section II, a systematic study of the proposed topology and the control mechanism of phase slope are explained. In Section III, without loss of generality, the design equations of a single-band two-section branch-line coupler are analytical derived for pre-specified requirements in amplitude mismatch and port isolation. These formulas illustrate the key relations between the various branch-line impedances and form the basis for subsequent single- to dual-band conversion. Finally, the realization of dual-band branch-line couplers with unequal and controllable bandwidths is described in Section IV. For verification, the simulated and measured performances of dual-band 3-dB branch-line couplers designed to operate at 1 and 2 GHz are shown in Section V. II. PROPOSED QUARTER-WAVELENGTH TRANSFORMER DESIGN Fig. 1 shows the schematic diagram of the proposed dualband impedance transformer (lossless and reciprocal) and its equivalent circuit. This topology is based on the pi-network reported previously [5], but having a different set of design parameters for the control of phase slopes. Note that and are elec, trical lengths of the branch-lines evaluated at and are the center frequencies of the lower and where upper bands, respectively. is made equal to 90 [5], the As illustrated in Fig. 2, if transformer will give equal phase slope over the two designated frequencies (1 and 2 GHz). On the other hand, when is different from 90 , unequal phase slopes will result. Also, un, the characteristic like the conventional approach of the equivalent circuit, evaluated at and impedance . In order to obtain , possesses two distinct values

0018-9480/$31.00 © 2012 IEEE

3056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

(10)

(11) In order to study the impact of different choices of upon the phase slopes of the transformer, the transmission coefficient of the proposed network is extracted via (12) and (13) as follows: (12) (13) Fig. 2. Simulated phase responses of the proposed transformer.

the mathematical relations between the various design variables, the coefficients of the proposed structure are derived, by the multiplication of transmission matrices, as follows:

The phase slope at and can simply be obtained by differentiating (13) with respect to frequency as given by (14) with the assumption that and

(14)

(1)

Inserting (4) into (14) in conjunction with the condition of (11), and after simplification, we have

where (2) (3)

(15)

(4) (5) (6)

For proper operation, the proposed transformer is forced to provide a transmission phase of 90 [5] at the two center frequencies, i.e., ; and . Subsequently, from (4) and (5), the following relations are established: (7) (8)

Based on (9) and (15), one can compute the phase slopes of the proposed transformer at and for different values of . For instance, with regard to the conventional design (i.e., ), the phase slopes at and are equal and may be determined by (16) as follows: (16)

On the contrary, if , the transformer will exhibit unequal phase slopes at and . Meanwhile, the scaling factors of these phase slopes with reference to , denoted as (lower band) and (upper band), are represented by (17) and (18) as follows:

For pre-specified values of , , , and , the unknown variables can now be derived by solving the above expressions as follows:

(9)

(17)

CHENG AND YEUNG: NOVEL DUAL-BAND 3-dB BRANCH-LINE COUPLER DESIGN

3057

(18)

, computed The variation of these ratios as a function from (9), (17), and (18), is plotted in Fig. 3, and depending on the choice of , they can be made to cover a wide range of values (both smaller and larger than unity). For instance, when , the phase slope at is increased while the one at is reduced in comparison to . In other words, it is possible to introduce an enlarged or compressed bandwidth in the realization of dual-band coupler.

Fig. 3. Variation of phase slope control factor versus frequency band ratio.

III. SINGLE-BAND COUPLER DESIGN Fig. 4 shows the schematic diagram of a branch-line coupler with bisymmetry in structure (vertically and horizontally). The multisection topology has been thoroughly studied [12], [13] over the past decades with different design objectives, such as bandwidth broadening, size miniaturization, and arbitrary power dividing ratio. Moreover, as all the branch-lines involved are quarter-wavelength long at midband, this structure processes symmetrical frequency characteristics. Without loss of generality, a two-section coupler is employed here for demonstration. Fig. 5 shows the simulated frequency response of a two-section coupler with a worst case scenario (amplitude mismatch, return loss, and port isolation) occurring at the band center, as well as band edges. Based on the bisymmetrical property, the coupler can be decomposed into various single-port networks (even/even, even/odd, odd/even, and odd/odd). Furthermore, owing to the quarter-wavelength assumption, the input reflection coefficients of the even/even- and even/odd- mode networks are simply equal to the complex conjugates of the others (odd/even and odd/odd). As a result, the -parameters of the coupler, evaluated at the center frequency, are directly related to the input admittances of these networks [14] through (19)–(23) as follows:

Fig. 4. Two-section 3-dB branch-line coupler.

Fig. 5. Simulated responses of 3-dB coupler

.

(19) (20) (21) (22) (23) Fig. 6 illustrates the graphical representation of (19)–(22). The output phase difference is inherently ideal 90 at the center frequency of operation.

Fig. 6. Graphical illustration: -parameters and reflection coefficients.

3058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 7. Simulated responses of 3-dB coupler

.

Accordingly, the amplitude mismatch, port isolation, and return-loss performance of the coupler can then be expressed in terms of the phase angles of as follows: (24) (25) Meanwhile, the input admittances (normalized) of the even/ even- and even/odd-mode circuits, evaluated at the band center, are given by (26) and (27) (26) (27) Subsequently, the above expressions may be combined to give the values of and in terms of the required performance (amplitude mismatch and port isolation), as depicted in (28)–(31). Figs. 5 and 7 show the simulation results of couplers designed to operate with an amplitude mismatch of 0.5 dB and port isolation of 17 dB (i.e., and ). Apparently, the choice of 30 40 seems to have only a slight impact on the frequency behaviors of the coupler within the operating bandwidth (28) (29)

Fig. 8. Dual-band coupler design. (a) Initial. (b) Final.

by the equivalent dual-band transformer (colored). As explained in Section III, the available bandwidth of the upper/lower band can be scaled up or down by proper transformer design. In order to maintain the same amplitude mismatch and port isolation performance over both frequency bands, the values of and have to be fixed. As a result, the branch-lines are replaced directly by the conventional dual-band transformers , whereas the and branch-lines are substituted by the newly developed transformer. To ensure that the effective value of is invariant over the lower and upper bands, the following condition is further enforced:

(30) (31)

IV. DUAL-BAND COUPLER DESIGN The conversion of the coupler from single- to dual-band operation can be accomplished in two steps (Fig. 8). Initially, individual branch-line in the single-band design (Fig. 4) is replaced

(32)

CHENG AND YEUNG: NOVEL DUAL-BAND 3-dB BRANCH-LINE COUPLER DESIGN

For a given , the corresponding value of by solving (33) numerically as follows:

3059

is calculated

(33)

In the second step, those shunt-stubs connected in parallel (boxed) are combined and replaced by single stub element of the same input admittance. Fig. 8(b) shows the schematic diagram of the final design. By using (10) and (11), the design formulas of the serial lines and shunt stubs can, therefore, be written as (34)

Fig. 9. Simulated results of dual-band coupler

.

(35)

(36)

(37)

(38) Finally, (37) and (38) are solved to give the values of , and in terms of , , and as follows:

,

Fig. 10. Simulated results of dual-band coupler

.

,

(39)

(40)

(41)

(42)

Figs. 9–11 show the simulated frequency responses (ideal circuit elements) of three different dual-band 3-dB couplers with equal and unequal bandwidths ( , , ). It can be observed that the in-band performance (amplitude mismatch, port isolation, and return loss) of the coupler are apparently the same as expected. Furthermore, the bandwidths of the upper and

Fig. 11. Simulated results of dual-band coupler

.

lower bands may be scaled up/down by the proper choice of . Among all three cases, the sum of the bandwidths (upper and lower bands) remains almost unchanged. In fact, one can start with the design of an equal-bandwidth coupler by using the standard approach, and scale the bandwidths accordingly (by choosing ) to fulfill different specifications (fixed total bandwidth is the key). In practice, the construction of the coupler is also constrained by the tolerances of the manufacturing process. Fig. 12 shows the variation of impedance values versus for , obtained from (33)–(36) and (39)–(42). The usable range of the coupler is found to be roughly ,

3060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 12. Impedance values versus frequency band ratio

.

Fig. 14. Dual-band coupler (equal bandwidth): insertion loss and phase response.

Fig. 15. Dual-band coupler (equal bandwidth): return loss and port isolation. Fig. 13. Layout design of the prototype.

determined mainly by the fabrication limits of line impedance (e.g., ). V. EXPERIMENTAL RESULTS For experimental verification, dual-band couplers, designed to operate at 1 and 2 GHz with equal/unequal bandwidth, were prototyped and characterized. The circuits were built on a Duriod substrate with a dielectric constant of 3.55 and thickness of 0.813 mm. Fig. 13 shows the fabricated circuit with a substrate area of approximately by (evaluated at 1 GHz). In these designs, fine tuning of layouts were carried out by using an EM solver to take into the account the effect of junction discontinuities. Scattering parameter measurements were performed by using a four-port network analyzer (Agilent E5071A) over the frequency range from 0.5 to 2.5 GHz. Initially, a single-band coupler (Fig. 4) was designed with amplitude mismatch and port isolation of 0.5 and 17 dB, respectively. By (28)–(31), the line impedances were chosen to be , , and . Fig. 5 shows the simulated performance of this circuit with a center frequency of 1 GHz and operating bandwidth of approximately 400 MHz. Next, an equal bandwidth dual-band coupler was constructed with the following circuit parameters: , , , , ,

Fig. 16. Dual-band coupler (unequal bandwidth): insertion loss and phase response.

and . Fig. 14 and 15 show the simulated [electromagnetic (EM)] and measured results of the coupler with the center frequencies slightly shifted to 990 and 2040 MHz. The achieved bandwidths of the lower and upper bands were found to be approximately 210 (21%) and 200 MHz (10%), respectively. Measured insertion loss of 3.3 dB (3.3 dB), port isolation of 17 dB (19 dB), and return loss of 18 dB (16 dB) were observed at the center frequency of the lower (upper) band. For comparative purposes, a dual-band coupler with unequal bandwidth was also implemented. From (33)–(35)

CHENG AND YEUNG: NOVEL DUAL-BAND 3-dB BRANCH-LINE COUPLER DESIGN

Fig. 17. Dual-band coupler (unequal bandwidth): return loss and port isolation.

and (38)–(42), the circuit parameters were found to be , , , , , , , and . The simulated (EM) and measured results of the coupler were given in Fig. 16 and 17 with center frequencies of approximately 980 and 2040 MHz. The measured bandwidths (amplitude mismatch of 0.5 dB) of the lower and upper bands were approximately 140 MHz (14%) and 290 MHz (14.5%), respectively. Insertion loss of 3.3 dB (3.3 dB), port isolation of 18 dB (17 dB), and return loss of 19 dB (18 dB) were attained at the center frequency of the lower (upper) band. Output phase difference of 90 5 were achieved with a fractional bandwidth of over 25%. Excellent agreement between the simulated and measured results was observed. The small discrepancies between the simulated and measured results were mainly attributed to the tolerances of the fabrication process. VI. CONCLUSION A new approach to the design of a dual-band 3-dB branch-line coupler with unequal bandwidths has been presented. Closedfrom design equations have been formulated for the evaluation of circuit parameters. The scaling of bandwidth can be attained by the proper selection of the electrical lengths and line impedances of the proposed coupler. Excellent performances have been demonstrated including low insertion loss, small amplitude and phase imbalance, as well as high levels of port isolation and return loss. The proposed method may easily be extended to the construction of a multisection branch-line coupler for further increase in total bandwidth. REFERENCES [1] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stop-bands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [2] R. V. Gatti, A. Ocera, S. Bastioli, L. Marcaccioli, and R. Sorrentino, “A novel compact dual-band reconfigurable power divider for smart antenna systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 423–426. [3] K. P. Yang and K. L. Wong, “Dual-band circularly-polarized square microstrip antenna,” IEEE Trans. Antennas Propag., vol. 49, no. 3, pp. 377–382, Mar. 2001. [4] I. H. Lin, C. Caloz, and T. Itoh, “A branch-line coupler with two arbitrary operating frequencies using left-handed transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 325–328.

3061

[5] K. K. M. Cheng and F. L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [6] K. S. Chin, K. M. Lin, Y. H. Wei, T. H. Tseng, and Y. J. Yang, “Compact dual-band branch-line and rat-race couplers with stepped-impedance stub lines,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1213–1221, May 2010. [7] H. Zhang and K. J. Chen, “A stub tapped branch-line coupler for dualband operation,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 106–108, Feb. 2007. [8] C. L. Hsu, J. T. Kuo, and C. W. Chang, “Miniaturized dual-band hybrid couplers with arbitrary power division ratios,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 145–155, Jan. 2009. [9] M. J. Park and B. Lee, “Dual-band, cross coupled branch-line couplers,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 655–657, Oct. 2005. [10] H. Kim, B. Lee, and M. J. Park, “Dual-band branch-line coupler with port extensions,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 651–655, Mar. 2010. [11] L. K. Yeung, “A compact dual-band 90 coupler with coupled-line sections,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 9, pp. 2227–2232, Sep. 2011. [12] R. Levy and L. J. Lind, “Synthesis of symmetrical branch-guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 12, pp. 80–89, Dec. 1968. [13] G. P. Riblet, “A directional coupler with very flat coupling,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 2, pp. 70–74, Feb. 1978. [14] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [15] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical fourport networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 10, pp. 246–252, Oct. 1956.

Kwok-Keung M. Cheng (S’90–M’91–SM’06) received the B.Sc. degree (with first-class honors) and Ph.D. degree in electronic engineering from King’s College, University of London, London, U.K., in 1987 and 1993, respectively. In 1996, he joined the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, as an Assistant Professor, becoming an Associate Professor in 2001 and Professor in 2006. From 2004 to 2006, he was the Associate Dean of Engineering (Student Affairs) with The Chinese University of Hong Kong. He has been the lead author of approximately 20 technical papers published in the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was a contributing author of MMIC Design (IEE Press, 1995) and RFIC and MMIC Design and Technology (IEE Press, 2001). His current research interests include the design of monolithic microwave integrated circuits, power amplifiers, advanced couplers, filters, and antennas. Dr. Cheng is a Chartered Engineer (Institution of Engineering and Technology). He has been an associate editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS since 2008. He was the recipient of the 1988 Convocation Susquicentennial Prize in Engineering presented by the University of London.

Sung Yeung (S’10) received the B.Eng. degrees in electronic engineering from The Chinese University of Hong Kong, Shatin, Hong Kong, in 2010, and is currently working toward the M.Phil degree at The Chinese University of Hong Kong. His research interests include dual-band microwave passive component design and power management integrated circuit (IC) design.

3062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Ultra-Wideband Single and Dual Baluns Based on Substrate Integrated Coaxial Line Technology Fang Zhu, Student Member, IEEE, Wei Hong, Fellow, IEEE, Ji-Xin Chen, Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—In this paper, ultra-wideband (UWB) Marchand baluns using substrate integrated coaxial line (SICL) technology are first proposed. SICL is a shielded planar coaxial line, which is very suitable for wideband and high-speed applications due to its broad unimodal operation band, low insertion loss, very small dispersion, and easy integration with other planar circuits. In order to achieve wider bandwidth and better VSWR performance of the SICL balun, a pair of quarter-wave impedance transformers are inserted into the balanced line. The impedance characteristics of the proposed SICL baluns are analyzed, and the parameters that determine the balun bandwidth are discussed. Then, curves of the balun resistance, reactance, and the SICL characteristic impedance are presented. According to these curves, the design parameters of the proposed SICL baluns can easily be determined. To verify these ideas, an UWB SICL single balun and an UWB SICL dual balun are designed, fabricated, and measured. Both full-wave simulated and measured results are presented, and good agreement between them is observed. The proposed SICL baluns have a simple structure and good performance, which are suitable for applications in UWB communication systems. Index Terms—Balun, quarter-wavelength impedance transformer, substrate integrated coaxial line (SICL), ultra-wideband (UWB).

I. INTRODUCTION

U

LTRA-WIDEBAND (UWB) wireless communication systems have attracted much attention since the authorization for the unlicensed use of the UWB from 3.1 to 10.6 GHz for indoor and handheld systems by the U.S. Federal Communications Commission (FSS) in 2002 [1]. For a UWB system, the shape of a data pulse needs to be tightly controlled, and, since the frequency spectrum of the modulated signals is spread across a very wide bandwidth, devices and components with low distortion and constant group delay are required [2]. Due to their high immunity to noise and crosstalk, balanced circuits are often preferred in the wideband and high-speed system design. Baluns are devices used for converting balanced

Manuscript received March 05, 2012; revised July 03, 2012; accepted July 05, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. This work was supported in part by the National Science Foundation of China under Grant 60921063 and by the National 973 Project of China under Grant 2010CB327400. F. Zhu, W. Hong, and J. X. Chen are with the State Key Laboratory of Millimeter Waves, School of Information Science and Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]; weihong@seu. edu.cn; [email protected]). K. Wu is with the Poly-Grames Research Center, Department of Electrical Engineering, Ecole Polytechnique de Montreal, Montreal, QC, Canada H3T 1J4 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209448

signals into unbalanced signals and vice versa, which represents an essential component for realizing critical building blocks such as balanced mixers [3], low-noise amplifiers (LNAs) [4], and antenna feed networks [5] for UWB applications. A variety of balun architectures have been reported [6]–[8]. Among them, the multisection half-wave baluns and 180 hybrids are frequently used, because they can easily be realized in many design procedures and fabrication processes. However, they fail to operate over a wide frequency range. In [9], a broadband substrate integrated waveguide (SIW) planar balun is proposed, which has a 42% bandwidth and can easily be designed and fabricated with printed circuit board (PCB) process. However, compared with microstrip or coplanar waveguide (CPW) components, SIWs are still large, especially for lower microwave frequency bands. Good phase balance performance is obtained using field transformation [10], but the operational bandwidth is limited by the bandwidth of the microstrip-to-CPW and microstrip-to-coplanar stripline (CPS) transitions. The microstrip version of Marchand balun is a known broadband solution, but the available design parameters are often limited by the width and space of the edge-coupled lines or the width and thickness of the broadside-coupled lines. The Marchand balun in coaxial implementation [11], [12] has the advantages of shielded structure, wide bandwidth, and low insertion loss. However, it is difficult to integrate with other planar circuits, due to its 3-D geometry. Recently, a novel substrate integrated coaxial line (SICL) was presented and experimentally verified [13]–[15]. SICL is a planar coaxial line, comprising a conductive signal strip sandwiched between two grounded dielectric layers and side-limited by two rows of metallic via-holes. Similarly to a strip line, the SICL allows the propagation of a TEM mode. The two rows of metallic via-holes can avoid the propagation of the unwanted parallel-plate mode, which could be excited by any discontinuity, causing leakage and interferences with other lines and circuits [13]. In addition, SICLs can be realized under the conventional multilayer PCB process and, therefore, can easily be integrated with other planar circuits. In this paper, an UWB Marchand single balun and an UWB Marchand dual balun using SICL technology are first proposed. For each balun, the normally balanced line is replaced by two unbalanced lines with equal amplitude and anti-phase, and each unbalanced line includes a quarter-wave impedance transformer. The impedance characteristic of the Marchand balun with a pair of quarter-wavelength impedance transformers in the balanced line is analyzed in detail, and curves of the balun resistance and reactance are presented. These results should be useful for engineers who are interested in designing Marchand

0018-9480/$31.00 © 2012 IEEE

ZHU et al.: UWB SINGLE AND DUAL BALUNS BASED ON SICL TECHNOLOGY

3063

Fig. 1. Top- and side-view diagrams of the SICL structure.

baluns either with or without quarter-wave impedance transformers in the balanced line. In Section II, a detail analysis, design, and experiments of the UWB SICL single balun is presented, and the characteristic impedance performance of SICL is also studied. Section III provides the synthesis, realization, and experiments of the UWB SICL dual balun. The conclusion is presented in Section IV. II. UWB SICL SINGLE BALUN A. Balun Description The SICL is implemented in a two-layer dielectric substrate with three metallic layers, as shown in Fig. 1. The inner conductor is realized on the middle metallic layer, while the outer shield is comprised by the top and bottom metallic layers and side-limited by two rows of metallic via-holes. In this study, both the top and bottom dielectric layers are Taconic TLY-5 material with a dielectric constant of 2.2 and a loss tangent of 0.0009. Each substrate has a thickness of 0.254 mm. A 0.12-mm-thick Taconic RF-30 layer with a dielectric constant of 3.0 and a loss tangent of 0.0014 is used for bonding the top and bottom dielectric layers. The diameter of the metallic via-holes is 0.4 mm and the space between two adjacent via-holes is uniformly arranged around 0.62 mm. Fig. 2 shows the top-view and cross-sectional diagrams of the proposed SICL single balun structure. As we can see, the normally balanced line is split into two unbalanced SICLs and with equal amplitude and anti-phase. The output SICLs and are formed from a single SICL with a gap in the outer shield at the balun center. Each of the output SICLs includes a quarter-wave impedance transformer and the two branches sum in series at the balun junction, which is formed at the center of the circuit by the gap. The power is coupled into the output SICLs from the input SICL by an electric field across the gap, which is similar to the microstrip balun proposed in [16]. By adjusting the width of the gap, the amount of phase shift between the output signals may be controlled. In order to achieve anti-phase outputs, the electric field across the gap should as uniform as possible, and the gap width should be much less than one-twentieth of a wavelength at the center frequency of

Fig. 2. Proposed SICL single balun structure and its A view.

0A

cross-sectional

the desired bandwidth of operation [16]. A bend between SICL and SICL is used to make the junction small and to avoid impedance transformation by line length between output and input lines. Two shielding walls formed by rows of metallic via-holes are provided to: 1) reduce radiation of energy from the gap into the surrounding environment; 2) make electrical connections among the SICL outer shields; 3) provide a shunt reactance that serves for increasing the operation bandwidth of the SICL Marchand balun. Three SICL-to-CPWG transitions at the input and output ports of the proposed SICL balun are introduced for measurement. These transitions are separately optimized to decrease the unwanted reflections during the measurement. B. Analysis The equivalent circuit of the proposed SICL single balun is shown in Fig. 3. and denote the , and , respeccharacteristic impedance of the SICLs is the characteristic impedance of the thick slot line tively. formed by the shielding wall and the outer shield of the SICL, is the as shown in Fig. 2. is the source impedance, and load impedance of each output port. equal to 50 are In practical applications, both and often required, i.e., is defaulted in the following. The associate electrical length of SICL , SICL , , and and half length of the shielding wall are defined by , respectively, and these lengths are assumed to be equal: , in which is defined by

where

is the center frequency of the balun bandwidth.

3064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 3. Equivalent circuit of the SICL single balun. Fig. 4. Balun resistance as a function of z and z .

If the transmission lines are lossless, the balun input impedance shown in Fig. 3 is given by

(1) where and are the resistive and reactive components of the balun input impedance , and (2) (3) (4) Simplify (1), we can write the resistive and reactive components (normalized by ) of as

Fig. 5. Balun reactance as a function of z and z

for z

= 1:0.

As a special case of (5) and (6), the resistive and reactive components (normalized by ) of the balun input impedance can be rewritten, respectively, as

(5) (6)

(8) (9)

where

At the center frequency of the balun bandwidth, the balun input impedance (1) can be reduced to

and

(7) Equation (5) indicates that the resistance of is independent of . To further clarify the relationship between and the parameters presented in (5) and (6), Figs. 4–7 are plotted. All of the curves are obtained using MATLAB.

. when It is interesting to notice that (8) and (9) indicate the resistive and reactive components (normalized by ) of a conventional Marchand balun without quarter-wave impedance transformers in the balanced line [17]. From Figs. 4 and 5, it is concluded and low impedance of are that both high impedance of needed to broaden the bandwidth of this kind of balun. However, is often difficult to obtain. In addition, high impedance of since and , the VSWR of the conventional Marchand balun equals to 2 at the center frequency of the bandwidth, which may not be sufficient to satisfy the input-matching requirement.

ZHU et al.: UWB SINGLE AND DUAL BALUNS BASED ON SICL TECHNOLOGY

Fig. 6. Balun reactance as a function of z and z

for z

= 0:9.

Fig. 7. Balun reactance as a function of z and z

for z

= 0:8.

3065

Fig. 8. Simulated SICL characteristic impedance with different inner and outer conductor widths.

Fig. 9. Simulated impedance of Z for various space values (W in Fig. 1).

However, if a properly designed pair of quarter-wave impedance transformers are introduced into the balanced line, both wider bandwidth and better VSWR may be obtained with . Comparing the dotted lines a relatively low impedance of and the solid lines with the same value of in Fig. 4, it is seen that wider bandwidth and better VSWR . are simultaneously obtained when C. Design Since the height of the SICL and the material of the substrates are determined (as discussed in Section II-A), the characteristic impedance of the SICL can only be adjusted by varying and the outer conductor width the inner conductor width of the SICL, as shown in Fig. 1. Fig. 8 indicates the simulated characteristic impedance of the SICL with different inner and outer conductor widths. It is seen that the characteristic impedance of the SICL is mainly determined by the inner conductor width and is almost independent of the outer conductor width. However, the outer conductor width should not be chosen too large, because a larger outer conductor width, reduces the unimodal bandwidth of the SICL [13]. as Fig. 9 shows the simulated characteristic impedance of (as shown in Fig. 2). As we can see, a function of the space

the impedance of ranges from 52 to 66 . Considering the 1.1 mm is chosen, which compactness of the SICL balun, . means is already determined, from Figs. 4 and 6, Since broadest bandwidth can be obtained when and . In order to meet the above-mentioned requirements, , and are chosen. The initial dimensions of the SICL balun can easily be obtained from Figs. 8 and 9 and then optimized with the aid of Ansoft High Frequency Structural Simulator (HFSS). The final dimensions are listed in Table I. Good agreement between the initial and optimized dimensions demonstrate the validity of the introduced theory. D. Experiments The proposed UWB SICL single balun was designed and fabricated on a two-layer substrate of Taconic TLY-5 with a bonding layer of Taconic RF-30 under the standard multilayer PCB process. The parameters of the substrates, the diameter of the metallic via-holes and the space between two adjacent via-holes are shown in Fig. 1. The photograph of the UWB

3066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I DIMENSIONS OF THE SICL SINGLE BALUN

Fig. 11. Simulated and measured S -parameter results of the UWB SICL single balun.

Fig. 10. Photograph of the UWB SICL single balun.

SICL single-balun prototype is shown in Fig. 10. If excluding the SICL-to-CPWG transitions, the core size of the proposed SICL balun is about 17 mm 6.5 mm. The SICL balun is measured by using an Agilent N5242A performance network analyzer (PNA), two ports at a time, with the unused port terminated in a 50- load, and these are used to reconstruct the three partial two-port -parameter measurements to the final three-port -parameter data. All of the curves of the measured results include the effects of the SMA connectors, because the calibration has been done at the terminal of the cables of the PNA. In order to illustrate the effects of the SMA connectors, the balun structure with the SMA connectors is also simulated for comparison. Fig. 11 shows the simulated and measured -parameter results of the proposed SICL single balun. It is seen that the operation bandwidth exceeds 3.1–10.6 GHz, and the insertion loss is less than 1.4 dB across 3.1–10.6 GHz (including the insertion loss of the SMA connectors and the SICL-to-CPWG transitions). The measured results agree well with the simulated results. Fig. 12 shows that the measured amplitude imbalance is less than 0.2 dB, and the measured phase imbalance is less than 3.1 within the 3.1–10.6-GHz band, respectively. These imbalances were calculated from the -parameter data of the balun, using the following equations: (10) (11)

Fig. 12. Simulated and measured amplitude and phase imbalance of the UWB SICL single balun.

In order to avoid signal distortion, a flat group delay across the UWB is needed. The simulated and measured results of group delay for the SICL single balun from port 1 to port 2 is shown in Fig. 13. The measured group delay is nearly constant across the 3.1–10.6-GHz band. Table II summarizes the measured performance of the proposed SICL single balun alongside some other planar UWB baluns, and this work shows wider bandwidth with good amplitude and phase balance. III. UWB SICL DUAL BALUN A. Analysis and Design A dual balun is also a key component in various communication systems for realizing components such as a star mixer [20] or a power combiner of a power amplifier [21]. The proposed UWB SICL dual balun is developed from two SICL single baluns in parallel. The configuration and the equivalent circuit of the proposed SICL dual balun are shown in Figs. 14 and 15, respectively. To analyze the balun input impedance , (5) and (6) are still effective for the SICL dual balun, except that (3) and (4) should

ZHU et al.: UWB SINGLE AND DUAL BALUNS BASED ON SICL TECHNOLOGY

3067

Fig. 13. Simulated and measured group delay of the UWB SICL single balun. Fig. 14. Configuration of the proposed SICL dual balun. TABLE II COMPARISON OF SEVERAL DIFFERENT PLANAR UWB BALUNS

Fig. 15. Equivalent circuit of the SICL dual balun.

TABLE III DIMENSIONS OF THE SICL DUAL BALUN

be replaced, respectively, by (12) (13) due to the parallel connection structure. is defaulted, according to (7) and (13), Since is chosen to realize a low VSWR at the center frequency , the of the bandwidth. As mentioned in Section II, if larger the value of , the larger the balun bandwidth. However, can only range from 52 to 66 because higher the value of is very difficult to realize. Considering the compactness of 1.1 mm is chosen, then equals the SICL dual balun, and have been determined, from 1.2. After should be guaranteed to achieve the best Fig. 5, reactance performance. Here, 0.8 mm and 33 are adopted. After the impedances are determined, the initial dimensions of the proposed SICL dual balun can easily be obtained from

Figs. 8 and 9. The final dimensions are listed in Table III, which are optimized with the aid of HFSS. B. Experiments Identically, the UWB SICL dual balun was designed and fabricated on a two-layer substrate of Taconic TLY-5 with a bonding layer of Taconic RF-30 under the standard multilayer PCB process. The photograph of the SICL dual-balun prototype is shown in Fig. 16. The core size of the proposed SICL dual balun is approximately 17 mm 8 mm (excluding the SICL-to-CPWG transitions).

3068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 18. Simulated and measured amplitude and phase imbalance of the UWB SICL compensated dual balun. Fig. 16. Photograph of the UWB SICL compensated dual balun.

Fig. 17. Simulated and measured S -parameter results of the UWB SICL compensated dual balun.

Fig. 19. Simulated and measured group delay of the UWB SICL compensated dual balun.

IV. CONCLUSION An Agilent N5242A PNA was used to measure the proposed SICL dual balun, two ports at a time, with the unused ports terminated with 50- loads. Due to the symmetry of the dual-balun structure, only half of the measured results are plotted to save space. Also, the curves of measured results include the effects of the SMA connectors, because the calibration has been done at the terminal of the cables of the PNA. Fig. 17 shows the simulated and measured -parameter results of the proposed SICL dual balun, showing less than 1.5 dB of insertion loss across the 3.1–10.6-GHz band (including the insertion loss of the SMA connectors and the SICL-to-CPWG transitions). The measured results agree well with the simulated results. Fig. 18 shows that the measured amplitude imbalance is less than 0.3 dB, and the phase imbalance is less than 2.7 within the 3.1–10.6-GHz band. The simulated and measured results of group delay for the SICL dual balun from port 1 to port 2 are shown in Fig. 19. The measured group delay is nearly constant across the 3.1–10.6-GHz band.

In this paper, the SICL technology is first introduced to balun design. In order to obtain wider bandwidth and better VSWR, a pair of quarter-wavelength impedance transformers are inserted into the balanced line. The impedance characteristic of the Marchand balun with a pair of quarter-wavelength impedance transformers in the balanced line is analyzed in detail, and the parameters that determine the balun bandwidth are discussed. Curves of the balun resistance and reactance are presented. By using these curves, an UWB Marchand single balun and an UWB Marchand dual balun using SICL technology are designed, fabricated, and measured. Measured results show that the SICL single balun has less than 1.4 dB of insertion loss, less than 0.2 dB amplitude imbalance, and less than 3.1 phase imbalance across the 3.1–10.6-GHz frequency band, while the SICL dual balun has less than 1.5 dB insertion loss, less than 0.3 dB amplitude imbalance, and less than 2.7 phase imbalance across the same frequency band. The group delays of both the SICL single and dual baluns are nearly constant across the 3.1–10.6 GHz frequency band, which make the SICL baluns ideal for UWB applications.

ZHU et al.: UWB SINGLE AND DUAL BALUNS BASED ON SICL TECHNOLOGY

ACKNOWLEDGMENT The authors would like to thank their colleagues in the State Key Laboratory of Millimeter Waves for their valuable discussions and support in measurement. REFERENCES [1] “Revision of Part 15 of the Commission’s rules regarding ultra-wideband transmission system,” U.S. Fed. Commun. Commission, Washington, DC, Tech. Rep. ET-Docket 98-153 FCC02-48, 2002. [2] A. C. Chen, M. J. Chen, and A. Pham, “Design and fabrication of ultra-wideband baluns embedded in multilayer liquid crystal polymer flex,” IEEE Trans. Adv. Packag., vol. 30, no. 3, pp. 533–540, Aug. 2007. [3] S. C. Tseng, C. Meng, C. H. Chang, and G. W. Huang, “SiGe HBT Gilbert downconverter with an integrated miniaturized Marchand balun for UWB applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 2141–2144. [4] M. Karlsson and S. Gong, “Circular dipole antenna for mode 1 UWB radio with integrated balun utilizing a flex-rigid structure,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 2967–2971, Oct. 2009. [5] C. I. Shie, C. H. Hsieh, and Y. C. Chiang, “UWB LNA and mixer with an active balun in 0.18 m CMOS process,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, pp. 1140–1143. [6] J. W. Duncan and V. P. Minerva, “100:1 bandwidth balun transformer,” in Proc. IRE, 1960, vol. 48, pp. 156–164. [7] C. Y. Ng, M. Chongcheawchamnan, and I. D. Robertson, “Analysis and design of a high-performance planar Marchand balun,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 1, pp. 113–116. [8] H.-T. Kim, S. Lee, J.-H. Park, Y.-K. Kim, and Y. Kwon, “Ultra-wideband uniplanar MMIC balun using field transformations,” Electron. Lett., vol. 42, no. 6, pp. 359–361, 2006. [9] Z. Y. Zhang and K. Wu, “A broadband substrate integrated waveguide (SIW) planar balun,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 843–845, 2007. [10] Y.-P. Hong, D.-F. Kimball, J.-G. Yook, and L.-E. Larson, “Decadebandwidth planar balun using CPW-to-slotline transition for UHF application,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, 2009, pp. 61–64. [11] W. K. Roberts, “A new wideband balun,” Proc. IRE, vol. 45, no. 12, pp. 1628–1631, Dec. 1957. [12] H. R. Phelan, “A wideband parallel-connected balun,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 5, pp. 259–263, May 1970. [13] F. Gatti, M. Bozzi, L. Perregrini, K. Wu, and R. G. Bosisio, “A novel substrate integrated coaxial line (SICL) for wideband applications,” in Proc. 36th Eur. Microw. Conf., Sep. 2006, pp. 1614–1617. [14] T. K. Kataria, A. C. Corona, and G. G. Rosas, “Metamaterial transmission line and resonator using a new concept of substrate integrated coaxial line,” in Proc. Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. [15] W. Liang and W. Hong, “Substrate integrated coaxial line 3 dB coupler,” Electron. Lett., vol. 48, no. 1, pp. 35–36, Jan. 2012. [16] G. J. Laughlin, “A new impedance-matched wideband balun and magic tee,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 3, pp. 135–141, Mar. 1976. [17] G. Oltman, “The compensated balun,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 3, pp. 112–119, Mar. 1966. [18] Y. L. Chen and H. H. Lin, “Novel broadband planar balun using multiple coupled lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1571–1574. [19] C. Zietz, G. Armbercht, and I. Rolfes, “A compact ultra-wideband double balun feeding network on a single layer PCB,” presented at the 4th Euro. Conf. Ant. Propag., Apr. 2010, pp. 1–5. [20] S. S. Kim, J. H. Lee, and K. W. Yeom, “A novel planar dual balun for doubly balanced star mixer,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 440–442, Sep. 2004. [21] T. Cheung and J. R. Long, “A 21–26 GHz SiGe bipolar power amplifier MMIC,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2583–2597, Dec. 2005.

3069

Fang Zhu (S’12) was born in Zhejiang Province, China, on April 5, 1987. He received the B.S. degree in electronics and information engineering from Hangzhou Dianzi University, Hangzhou, China, in 2009, and the M.S. degree in electromagnetic field and microwave technique from Southeast University, Nanjing, China, in 2011, where he is currently working toward the Ph.D. degree. His current research interests include microwave and millimeter-wave components, monolithic microwave integrated circuits (MMICs), and system.

Wei Hong (M’92–SM’07–F’12) received the B.S. degree from the University of Information Engineering, Zhengzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China, where, since 2003, he has served as the Director of the Lab. He is currently a Professor and the Associate Dean of the School of Information Science and Engineering, Southeast University. In 1993, 1995, 1996, 1997, and 1998, he was a short-term Visiting Scholar with the University of California at Berkeley and at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering, and RF technology for mobile communications. He has authored and coauthored over 200 technical publications and authored two books Principle and Application of the Method of Lines (in Chinese, Southeast University Press, 1993) and Domain Decomposition Methods for Electromagnetic Problems (in Chinese, Science Press, 2005). Dr. Hong was thrice awarded the First-Class Science and Technology Progress Prizes issued by the Ministry of Education of China and the Jiangsu Province Government. In addition, he also received the Foundations for China Distinguished Young Investigators and for “Innovation Group” issued by the NSF of China. He currently serves as the Vice-Presidents of the Microwave Society and Antenna Society of CIE, Chairperson of the IEEE Microwave Theory and Techniques/Antennas and Propagation/Electromagnetic Compatibility Joint Nanjing Chapter, and served as the Associate Editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES during 2007–2010.

Ji-Xin Chen (M’11) was born in Jiangsu Province, China, in 1976. He received the B.S. degree in radio engineering from Southeast University, Nanjing, China, in 1998, and the M.S. and Ph.D. degrees in electromagnetic field and microwave technique from Southeast University, Nanjing, China, in 2002 and 2006, respectively. Since 1998, he has been with the Sate Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China, and is currently an Associate Professor with the School of Information Science and Engineering. His current research interests include microwave and millimeter-wave circuit design and monolithic microwave integrated circuit (MMIC design. He has authored and co-authored more than 50 journal papers and presented invited papers at the 2011 Global Symposium on Millimeter Waves (GSMM2011), 2010 NFSC-FQRNT 2010 workshop, and the 2011 China Microwave and Millimeter Wave Conference. Prof. Chen has served as the reviewer for the IEEE MICROWAVE WIRELESS COMPONENTS LETTERS, APMC2008 and ICUWB2010, and session co-chair of APMC2007, ISSSE2010, and iWAT2011.

3070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Ke Wu (M’87–SM’92–F’01) was born in Liyang, Jiangsu Province, China. He received the B.Sc. degree in radio engineering (with distinction) from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees in optics, optoelectronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble, Grenbole, France, in 1984 and 1987, respectively. He is currently a Professor of electrical engineering and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the Ecole Polytechnique de Montreal, Montreal, QC, Canada. He also holds the first Cheung Kong endowed chair professorship (visiting) with Southeast University, Nanjing, China, the first Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with the Nanjing University of Science and Technology and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center and the Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has authored or coauthored over 630 referred papers and a number of books/book chapters. He holds numerous patents. He has served on the editorial/review boards of many technical journals, transactions and letters, as well as scientific encyclopedia as both an editor and guest editor. His

current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He will be the general chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He is currently the chair of the joint IEEE chapters of the IEEE MTT-S/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS), Montreal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and is the chair of the IEEE MTT-S Transnational Committee. He is an IEEE MTT-S Distinguished Microwave Lecturer (2009–2011). He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award and the 2004 Fessenden Medal of the IEEE Canada.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3071

Substrate Integrated Waveguide Quasi-Elliptic Filters With Controllable Electric and Magnetic Mixed Coupling Ke Gong, Student Member, IEEE, Wei Hong, Fellow, IEEE, Yan Zhang, Member, IEEE, Peng Chen, Member, IEEE, and Chang Jiang You, Student Member, IEEE

Abstract—This paper proposes a type of quasi-elliptic filter with controllable electric and magnetic mixed coupling based on substrate integrated waveguide cavity resonators using two-layer printed circuit board process. For the second-order mixed coupling filter, an embedded short-ended strip line is combined with a conventional inductive window between two cavities to create the mixed coupling, in which the electric and magnetic coupling can be separately controlled by adjusting the width of the strip line and the inductive window, and a controllable transmission zero can be produced below or above the passband. For local multipoint distribution service application, three directly coupled quasi-elliptic filters and two cross-coupled quasi-elliptic filters are designed, simulated, and fabricated using the mixed electric and magnetic coupling second-order filtering units at the -band. -order filter, a maximum of finite transmission For the zeros can be realized. The proposed filters show the advantages of good selectivity with controlled transmission zeros, wide upper stopband, and easy integration with other planar circuits. Good agreements have been observed between the measured results and the simulated ones. Index Terms—Cross coupling, direct coupling, electric and magnetic mixed coupling, quasi-elliptic filter, substrate integrated waveguide (SIW).

I. INTRODUCTION ECENTLY, much attention has been drawn to the wireless communication systems for local multipoint distribution services (LMDS) in microwave and millimeter-wave bands. In these systems, elliptic or quasi-elliptic filters with finite transmission zeros are finding increasing applications because of their good selectivity. To achieve elliptic filtering functions, cross-coupling, bypass-coupling, and source-load-coupling topologies are widely employed to

R

Manuscript received January 17, 2012; revised June 19, 2012; accepted July 02, 2012. Date of publication August 22, 2012; date of current version September 27, 2012. This work was supported in part by the National 973 Project of China under Grant 2010CB327400, the National Nature Science Foundation of China under Grant 60921063, the National Science and Technology Major Project under Grant 2010ZX03007-001-01, and the Research Fund for the Doctoral Program of Higher Education of China under Grant 20100092120013. K. Gong, W. Hong, Y. Zhang, and P. Chen are with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Chang-Jiang You was with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China. He is now with the GreatingUESTC Joint Experiment Engineering Center, School of Communication and Information Engineering, University of Electronic Science and Technology of China, Chengdu 610054, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209437

introduce finite transmission zeros by constructing physical multiple coupling paths between nonadjacent resonators or between the input and output ports, and the relative phase shifts of the multiple paths are observed [1]–[11]. The general coupling matrix can be used to represent the coupling relationship in these filters. It can be found that only electric or magnetic coupling exists between any two adjacent resonators in the coupling matrix. The mixed coupling can be used for realizing coexistent electric and magnetic coupling between two adjacent resonators, and it provides a useful method to design quasi-elliptic filters [12]–[18]. The coexistence of electric coupling and magnetic coupling can be adopted to build dual-coupling paths between two adjacent resonators to introduce transmission zeros, which are usually controllable by adjusting the mixed coupling coefficients. Quasi-elliptic filters based on planar mixed electric and magnetic coupling resonators have been reported with good performances [12]–[16]. For the coupled microstrip-line resonators, the mixed electric coupling and magnetic coupling can be constructed with separate coupling paths or one common coupling path. To explore the coupling mechanism for generating transmission zeros, a second-order mixed coupling filter was modeled with an equivalent lumped-elements circuit, which is used to further analyze the impact of the electric and magnetic mixed coupling on the transmission zero location and the filter bandwidth [12], [15], [16]. The electric and magnetic coupling components of the mixed coupling have been extracted from some measured frequencies in [16]. Based on the second-order mixed electric and magnetic coupling filters, fourth-order quasi-elliptic filters have been obtained by cascading two filtering units. Besides the planar structure, inline coaxial quasi-elliptic filter at -band [17] and inter-digital -band [18] were also helical resonator quasi-elliptic filter at realized by mixed electric and magnetic coupling with good performances. Substrate integrated waveguide (SIW) technology has been successfully employed to design elliptic or quasi-elliptic filters with low insertion loss, easy fabrication, and potential integration with planar circuits using standard printed circuit board (PCB) or low-temperature co-fired ceramic (LTCC) process [19]–[29]. Likewise, only electric or magnetic coupling exists between any two adjacent resonators in their coupling matrix. The mixed electric and magnetic coupling has been recently constructed by introducing an inter-digital slot-line between SIW resonators [30], and the mixed cross coupling was implemented into the development of SIW-based filters at -band. It is shown that less mixed coupling is needed to obtain

0018-9480/$31.00 © 2012 IEEE

3072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 2. Equivalent circuit of the two mixed coupled SIW cavity resonators.

Fig. 1. Configuration of two electrically and magnetically mixed coupled SIW cavity resonators.

Fig. 3. Second-order filter with mixed electric and magnetic coupling. , , . Unit: mm.

the same number of transmission zeros in comparison with cascaded filters. The filter in [30] is suitable for the application in microwave bands. However, if it is used in millimeter-wave bands, there will be radiation loss and manufacturing problems due to the gaps between the slot-line. In this paper, a new type of SIW quasi-elliptic filters with controllable mixed electric and magnetic coupling is proposed using two-layer PCB process. An embedded short-ended strip line is combined with a conventional inductive window between two cavities to build the mixed coupling. It is shown that a transmission zero can be produced in a second-order filter by introducing mixed coupling, and the transmission zeros can be controlled to locate them below or above the passband corresponding to magnetic coupling or electric coupling dominants, which is similar to that in [12] and [16]. Based on the mixed coupled second-order filtering units, three direct-coupled and two cross-coupled quasi-elliptic filters for LMDS application have been designed, simulated, and fabricated. The proposed mixed coupling structure provides strong coupling intensities, and the filters have an achievable bandwidth of more than 7%. The measured results, which show good agreement with the simulated ones, are provided.

Fig. 2 illustrates the equivalent circuit of the two mixed coupled SIW cavity resonators. Each resonator is characterized by a parallel capacitance together with an inductance and its . and represonant frequency equals to resent the coupling inductance and capacitance, and they will can produce magnetic and electric coupling, respectively. will be controlled by the width of the inductive window; mainly depend on the width of the embedded conducting strip, and it can be fine adjusted by the diameter of the inserted blind hole.

II. REALIZATION OF MIXED COUPLING Fig. 1 shows the configuration of two electrically and magnet-mode. ically mixed coupling SIW cavity resonators with The structure is implemented on two-layer Taconic TLY-5 suband loss strate with dielectric constant at 10 GHz. Both of the layers have a thickness of 0.254 mm. The layer of Taconic TPG-30 material is used as a bonding layer to combine the two layers of substrate, which is 0.12 mm and loss thick with dielectric constant at 10 GHz. Metallic vias are used to synthesize the equivalent waveguide sidewalls. The diameter and the center-to-center space of the vias are much smaller than the operating wavelength. A blind hole in the lower substrate is inserted at the center of each cavity. A conventional inductive window between two cavities introduces the magnetic coupling, an embedded conducting strip connecting the two blind holes is employed to create the electric coupling. Thus, the coexistent magnetic and electric coupling between two cavities realizes the mixed coupling.

III. SECOND-ORDER FILTER WITH MIXED COUPLING Fig. 3 shows a second-order -mode-based SIW filter with mixed electric and magnetic coupling. The initial dimenSIW cavity resonators are determined by setting sions of their resonance at the center frequency of filter by using the following formula [31]: (1) where (2) and are the length and width of the SIW cavity, respectively, and are the diameter of the metallized via is the light velocity and the spacing between adjacent vias. of free space, and is the dielectric constant of the substrate. Based on the equivalent network as shown in Fig. 2, the coupling mechanism for generating transmission zeros is similar to that in [12] and [16]. The total mixed coupling coefficient can be expressed by (3)

(4) and are the magnetic coupling coefficient and where the electric coupling coefficient, respectively. In [16], the electric and magnetic coupling components of the mixed coupling

GONG et al.: SIW QUASI-ELLIPTIC FILTERS WITH CONTROLLABLE ELECTRIC AND MAGNETIC MIXED COUPLING

3073

Fig. 5. Third-order direct coupled elliptic-filter. (a) Coupling topology. (b) Configuration.

Fig. 4. Simulated -parameters of the second-order filter. (a) Magnetic , ( , ; coupling is dominant , ; , ; , ; unit: mm). (b) Electric coupling is dominant , ( , ; , ; , ; , ; unit: mm).

have been extracted from some measured frequencies. A transwill be promission zero characterized by duced by the mixed coupling in the second-order filter, and it as follows: has an obvious relationship with

(5) It can be seen from (3) and (4) that the mixed coupling coeffiand simultaneously, cient will be determined by both and . The relaand it can be easily changed by adjusting tionship (5) shows that the transmission zero can be produced in either the lower or upper stopband. The transmission zero will locate at the lower side when magnetic coupling dominants, and vice versa at the upper side when electric coupling dominants. The filter is excited by 50- microstrip lines. Fig. 4 illus-parameters of the second-order filter trates the simulated with mixed coupling. A transmission zero in the lower stopband , and the one in the upper stopband is produced with , as shown in Fig. 4(a) and (b), is introduced for respectively. In both cases, it can be seen that the magnetic coupling is mainly affected by the width of the inductive window, and the electric coupling is mainly determined by width of the embedded conducting strip. At the same time, is larger, the filter bandwidth is wider; in each case, the location of the transmission zero can be controlled by setting different and for the equal . These results imply that the transmission zero and filter bandwidth can be adjusted by and . choosing appropriate values of

Fig. 6. Simulated -parameters of the third-order filter with the same , , bandwidth, but different transmission zeros. (a) , , (b) , , , , and (c) , , , , all in millimeters. (d) Corresponding third-order Chebyshev filtering response.

IV. DIRECT-COUPLED ELLIPTIC FILTERS REALIZATION To verify the mixed electric and magnetic coupled structure, three direct coupling elliptic filters with second-order mixed coupling units are designed, fabricated, and measured at the -band. For LMDS application, the filters are centered at 27 GHz with a fractional bandwidth of 7.4%, and transmission zeros will be introduced with the mixed coupling. The filters are simulated and optimized by using Ansoft High Frequency Structure Simulator (HFSS) 11.0 to realize the desired frequency response. A. Third-Order Filter With Two Transmission Zeros Fig. 5(a) shows the coupling topology of the third-order filter, and it can be easily constructed by cascading two second-order mixed coupling units (FL and FR) in a compact form, where magnetic coupling is dominant in FL unit and electric coupling is dominant in FR unit, as shown in Fig. 5(b). Thus, two transmission zeros can be produced independently in the lower and upper stopband by FL and FR, respectively. -parameters of the thirdFig. 6 describes the simulated order filter with different width of the inductive window and different width of the embedded conducting strip. As expected, the lower and upper transmission zeros can be controlled independently by adjusting the width of the inductive window and the width of the conducting strip in FL and FR, respectively, at the same time the bandwidth is unchanged. This implies that the locations of the transmission zeros can be independently controlled by adjusting the two mixed coupling separately, and the introduced transmission zeros improve the selectivity of the

3074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 7. Fourth-order direct coupled elliptic-filter with two transmission zeros. (a) Coupling topology. (b) Configuration.

Fig. 8. Simulated -parameters of the fourth-order filter with the same , , bandwidth, but different transmission zeros. (a) , , (b) , , , , and (c) , , , , all in millimeters. (d) Corresponding fourth-order Chebyshev filtering response.

filter. For comparison, the corresponding third-order Chebyshev filtering response is also provided in Fig. 6. The third-order filter with transmission zeros at 25.35 and 30.2 GHz has been designed and fabricated. From [32] and [16], and are found to be , the corresponding , , . Its di, , mensions are given as follows: , , , , , , , , , , , , and , all in millimeters. B. Fourth-Order Filter With Two Transmission Zeros Fig. 7(a) shows the coupling topology of the fourth-order filter with two transmission zeros, and it can be implemented by cascading two second-order mixed coupling filters (FL and FR) through a purely inductive window coupling, as shown in Fig. 7(b). Magnetic coupling is dominant in FL unit and electric coupling is dominant in FR unit, and two transmission zeros can be produced independently in the lower and upper stopband by FL and FR, respectively. -parameters of the fourthFig. 8 describes the simulated order filter with different width of the inductive window and different width of the embedded conducting strip. It is found that the lower and upper transmission zeros can be controlled independently by adjusting the width of the inductive window and the width of the conducting strip in FL and FR, respectively, and the bandwidth keeps unchanged. The corresponding fourthorder Chebyshev filtering response is also shown in Fig. 8 for comparison. The fourth-order filter with transmission zeros at 24.9 and 29.6 GHz has also been designed and fabricated. Its correand are found to be , , sponding , , and . The filter dimensions are collected , , , as follows: , , , , , , , , , , , , and , all in millimeters.

Fig. 9. Fourth-order direct coupled elliptic-filter with three transmission zeros. (a) Coupling topology. (b) Configuration.

C. Fourth-Order Filter With Three Transmission Zeros Fig. 9(a) shows the coupling topology of the fourth-order filter with three transmission zeros, and it can be implemented by cascading three second-order mixed coupling filters (FL, and ) in a compact form, as shown in Fig. 9(b). Magnetic coupling is dominant in FL unit and electric coupling and units. A transmission zero can is dominant in be produced in the lower stopband by FL, and the other two transmission zeros can be introduced in the upper stopband by and . The fourth-order filter with three transmission zeros at 25.3, 28.8, and 33.3 GHz has been designed and fabricated. The corand are found to be , , responding , , . Its dimensions are given as follows: , , , , , , , , , , , , , , , , , , and , all in millimeters. D. Measured Results of the Three Direct Coupled Filters Fig. 10 shows the photographs of the fabricated direct coupled third- and fourth-order elliptic-filters. The fabricated filters

GONG et al.: SIW QUASI-ELLIPTIC FILTERS WITH CONTROLLABLE ELECTRIC AND MAGNETIC MIXED COUPLING

3075

Fig. 10. Photograph of the fabricated direct coupled elliptic-filters. (a) Thirdorder filter. (b) Fourth-order filter with two transmission zeros. (c) Fourth-order filter with three transmission zeros.

are measured with the Agilent E8363B vector network analyzer by means of a universal test fixture. The insertion loss caused by the test fixture can be estimated by using cascaded THRU de-embedding method [33]–[35]. The simulated and measured results of the filters are shown in Fig. 11(a)–(c), respectively. The measured return losses are all greater than 15 dB. For the third-order filter, the measured minimum in-band insertion loss is approximately 1.7 dB. For the fourth-order filters with two and three transmission zeros, the minimum in-band insertion losses are approximately 2.2 and 2.3 dB, respectively. The measured insertion losses are slightly worse than the simulations. The conductor loss is mainly responsible for the insertion loss. As can be seen in Fig. 11, the measured results are in good agreement with the simulated results except a small frequency shift and a slightly narrower bandwidth. The frequency shift is mainly caused by the permittivity fluctuation and the fabrication tolerance. Several filter samples were checked under a microscope. Position errors for via holes and blind vias are within the range of 0.1 mm, and the diameter error for vias is less than 0.075 mm. The observed thickness of the bonding layers are varied from 0.098 to 0.1 mm, which is slightly thin compared with the simulation thickness. These manufacturing tolerances are random and they would introduce some losses and frequency shift between measurements and simulations. The deteriorated insertion loss will also slightly narrow the passband. In comparison, the third-order filter features lower insertion loss and smaller size than the two fourth-order filters. The two fourth-order filters occupy almost the same size, but the fourthorder filter with three transmission zeros shows a slight bigger insertion loss than the one with two transmission zeros, which may be caused by the more conductor losses of the embedded strip lines. It is worth to mentioned that the fourth-order filer with three transmission zeros achieves a better upper stopband characteristics than the other two filters.

Fig. 11. Simulated and measured results of the fabricated direct coupled elliptic-filters. (a) Third-order filter. (b) Fourth-order filter with two transmission zeros. (c) Fourth-order filter with three transmission zeros.

respectively. From [36], the corresponding to be

and

are found

(6)

V. CROSS-COUPLED ELLIPTIC-FILTERS REALIZATION Here, two cross coupled fourth-order elliptic-filters with mixed coupling are designed, fabricated, and measured. The specifications of the filters are 7.4% fractional bandwidth centered at 27 GHz with 0.1-dB passband ripple, and two transmission zeros will be introduced at 25.6 and 28.4 GHz,

A. Mixed Coupling in the Cross-Coupling Line Fig. 12(a) and (b) shows the coupling topology and the configuration of the cross-coupled fourth-order filter employing the mixed coupling in the cross-coupling path, respectively, in which electric coupling is dominant, corresponding to

3076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 14. Photograph of the fabricated cross-coupled elliptic-filters. (a) Mixed coupling in the cross-coupling line. (b) Mixed coupling in the main-coupling line. Fig. 12. Fourth-order cross coupled elliptic-filter with mixed coupling in the cross-coupling line. (a) Coupling topology. (b) Configuration.

B. Mixed Coupling in the Main-Coupling Line Fig. 13(a) and (b) shows the coupling topology and the configuration of the cross-coupled fourth-order filter employing the mixed coupling in the main-coupling path, respectively, in which electric coupling is dominant also, corresponding to and in (6). The upper stopband is expected to be wide by using the mixed coupling between the resonators , 2 and 3. Its dimensions are collected as follows: , , , , , , , and , all in millimeters. C. Measured Results of the Two Cross-Coupled Filters

Fig. 13. Fourth-order cross coupled elliptic-filter with mixed coupling in the main-coupling line. (a) Coupling topology. (b) Configuration.

and in (6). The mixed coupling between the resonators 1 and 4 is built up to realize an expected additional transmission zero in the upper stopband. The blind holes in the lower substrate of the resonators 2 and 3 can be used to slightly decrease the size of cavity without influence of resonance. The filter dimensions are given as follows: , , , , , , , , and , all in millimeters.

Fig. 14 presents the photographs of the fabricated cross-coupled fourth-order filters, in which filter (a) shows a slightly compact size in compare with filter (b). Their simulated and measured results are shown in Fig. 15(a) and (b), respectively. The measured return losses are all greater than 14 dB, and the minimum in-band insertion losses are approximately 2.1 and 2 dB, respectively, which are slightly worse than the simulations. As can be seen in Fig. 15, the measured results are in good agreement with the simulated results except a small frequency shift and a slightly narrower bandwidth. The discrepancies between measurements and simulations would be caused by the similar factors as mentioned in above filters. The differences observed in the upper stopbands may come from the assembling condition of the test fixture, which would introduce a slight radiation loss at the far stopband. As expected, an additional transmission zero near 30 GHz in Fig. 15(a) is clearly observed, which is mainly attributed to the mixed coupling between resonators 1 and 4. However, the additional transmission zero does not obviously appear in both simulated and measured results in Fig. 15(b). This may be caused in the mixed coupling, by the relatively small value of which will put the transmission zero relatively far away from

GONG et al.: SIW QUASI-ELLIPTIC FILTERS WITH CONTROLLABLE ELECTRIC AND MAGNETIC MIXED COUPLING

Fig. 15. Simulated and measured results of the fabricated cross-coupled elliptic-filters. (a) Mixed coupling in the cross-coupling line. (b) Mixed coupling in the main-coupling line.

the passband. The broadened upper stopband in Fig. 15(b) supports the assumption in a certain sense. VI. CONCLUSION A new type of SIW quasi-elliptic filter with controllable mixed electric and magnetic coupling has been proposed using a two-layer PCB process. An embedded short-ended strip line is combined with a conventional inductive window between two cavity resonators to build the mixed coupling, in which the electric and magnetic coupling components can be conveniently controlled by adjusting the width of the strip line and the inductive window. Based on the mixed coupling second-order filtering units, three direct coupling and two cross coupling SIW quasi-elliptic filters have been successfully developed for LMDS applications. For the th-order filter, a maximum of finite transmission zeros can be realized. The proposed filters show the advantages of low cost, good selectivity with controlled transmission zeros, wide upper stopband, and easy integration with other planar circuits. Good agreements have been observed between the measured results and the simulated ones. ACKNOWLEDGMENT The authors would like to thank H. J. Tang, Z. C. Hao, D. B. Hou, Z. Song, and J. Shi for their valuable discussions and kind help in the filter design and measurement. REFERENCES [1] R. M. Kurzrok, “General four-resonator filters at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 6, pp. 295–296, Jun. 1966. [2] A. E. Williams, “A four-cavity elliptic waveguide filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1109–1114, Dec. 1970.

3077

[3] S. W. Chen and K. A. Zaki, “A novel coupled method for dual-mode dielectric resonators and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1885–1893, Dec. 1990. mode DR filters for PCS [4] J.-F. Liang and W. D. Blair, “Highwireless base stations,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2493–2500, Dec. 1998. [5] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [6] J. R. Montejo-Garai, “Synthesis of N-even order symmetric filters with N transmission zeros by meanse of source-load cross coupling,” Electron. Lett., vol. 36, no. 3, pp. 232–233, Feb. 2000. [7] S. Amari, “Direct synthesis of folded symmetric resonator filters with source-load coupling,” IEEE Microw. Compon. Lett., vol. 11, no. 6, pp. 264–266, Jun. 2001. [8] U. Rosenberg and S. Amari, “Novel coupling schemes for microwave resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2896–2902, Dec. 2002. [9] X.-P. Chen, W. Hong, T. Cui, Z. Hao, and K. Wu, “Substrate integrated waveguide elliptic filter with transmission line inserted inverter,” Electron. Lett., vol. 41, no. 15, pp. 851–852, Feb. 2005. [10] J. Shi, J.-X. Chen, and Q. Xue, “A quasi-elliptic function dual-band bandpass filter stacking spiral-shaped CPW defected ground structure and back-side coupled strip lines,” IEEE Microw. Compon. Lett., vol. 17, no. 6, pp. 430–432, Jun. 2007. -mode fil[11] U. Rosenberg, S. Amari, and J. Bornemann, “Inline ters with high-design flexibility by utilizing bypass couplings of nonmodes,” IEEE Trans. Microw. Theory Tech., vol. resonating 51, no. 6, pp. 1735–1742, Jun. 2003. [12] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [13] S.-J. Park, K. V. Caekenberghe, and G. M. Rebeiz, “A miniature 2.1-GHz low loss microstrip filter with independent electric and magnetic coupling,” IEEE Microw. Compon. Lett., vol. 14, no. 10, pp. 496–498, Oct. 2004. [14] K. Ma, J.-G. Ma, K. S. Yeo, and M. A. Do, “A compact size coupling controllable filter with separate electric and magnetic coupling paths,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1113–1119, Mar. 2006. [15] J.-T. Kuo, C.-L. Hsu, and E. Shih, “Compact planar quasi-elliptic function filter with inline stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1747–1755, Aug. 2007. [16] Q. Chu and H. Wang, “A compact open-loop filter with mixed electric and magnetic coupling,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 431–439, Feb. 2008. [17] H. Wang and Q. Chu, “An inline coaxial quasi-elliptic filter with controllable mixed electric and magnetic coupling,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 667–673, Mar. 2009. [18] E. Doumanis, G. Goussetis, and S. A. Kosmopoulos, “Inline interdigital pseudo-elliptic helical resonator filters,” IEEE Microw. Compon. Lett., vol. 21, no. 8, pp. 400–402, Aug. 2011. [19] D. Deslandes and K. Wu, “Single-substrate integration technique for planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Feb. 2003. [20] Z. C. Hao, W. Hong, X. P. Chen, J. X. Chen, K. Wu, and T. J. Cui, “Multilayered substrate integrated waveguide (MSIW) elliptic filter,” IEEE Microw. Compon. Lett., vol. 15, no. 2, pp. 95–97, Feb. 2005. [21] L.-S. Wu, X.-L. Zhou, and W.-Y. Yin, “A novel multilayer partial H-plane implemented with folded substrate integrated waveguide (FSIW),” IEEE Microw. Compon. Lett., vol. 19, no. 8, pp. 494–496, Aug. 2009. [22] J.-H. Lee, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Low-loss LTCC cavity filters using system-on-package technology at 60 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3817–3824, Dec. 2005. [23] H.-Y. Chien, T. M. Shen, T.-Y. Huang, W. H. Wang, and R. B. Wu, “Miniaturized bandpass filters with double-folded substrate integrated waveguide resonators in LTCC,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1774–1782, Jul. 2009. [24] A. H. Khalil, D. Passerieux, D. Baillargeat, N. Delhote, S. Verdeyme, L. Estagerie, and J. Puech, “Quasi-elliptic and chebyshev compact LTCC multi-pole filters functioning in the submillimetric wave region at 150 GHz,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3925–3935, Dec. 2010.

3078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[25] L.-S. Wu, X.-L. Zhou, W.-Y. Yin, L. Zhou, and J.-F. Mao, “A substrateintegrated evanescent-mode waveguide filter with nonresonating node in low-temperature co-fired ceramic,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 10, pp. 2654–2662, Oct. 2010. [26] R. Li, X. Tang, and F. Xiao, “Design of substrate integrated waveguide transversal filter with high selectivity,” IEEE Microw. Compon. Lett., vol. 20, no. 6, pp. 328–330, Jun. 2010. [27] J.-H. Lee, S. Pinel, J. Laskar, and M. M. Tentzeris, “Design and development of advanced cavity-based dual-mode filters using low-temperature co-fired ceramic technology fot -band gigabit wireless systems,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1869–1879, Sep. 2007. [28] X.-P. Chen and K. Wu, “Substrate integrated waveguide cross-coupled filter with negative coupling structure,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 142–149, Jan. 2008. [29] B. Potelon, J.-F. Favennec, C. Quendo, E. Rius, C. Person, and J.-C. Bohorquez, “Design of a substrate integrated waveguide (SIW) filter using a novel topology of coupling,” IEEE Microw. Compon. Lett., vol. 18, no. 9, pp. 596–598, Sep. 2008. [30] W. Shen, L. Wu, X. Sun, W. Yin, and J. Mao, “Novel substrate integrated waveguide filters with mixed crossed coupling (MCC),” IEEE Microw. Compon. Lett., vol. 19, no. 11, pp. 701–703, Nov. 2009. [31] Y. Cassivi, L. Perregrini, P. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Compon. Lett., vol. 12, no. 2, pp. 333–335, Feb. 2002. [32] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [33] T. E. Kolding, “On-wafer calibration techniques for giga-hertz COMS measurements,” in Proc. IEEE Int. Conf. Microelectron. Test Structures, 1999, pp. 105–110. [34] C. Ming-Hsiang, H. Guo-wei, C. Kun-Ming, and P. An-Sam, “A novel cascade-based de-embedding method for on-wafer microwave characterization and automatic measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 2, pp. 1237–1240. [35] H. J. Tang, W. Hong, J.-X. Chen, G. Q. Luo, and K. Wu, “Development of millimeter-wave planar diplexers based on complementary characters of dual-mode substrate integrated waveguide filters with circular and elliptic cavities,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 776–782, Apr. 2007. [36] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design, and Applications. Hoboken, NJ: Wiley, 2007.

Ke Gong (S’09) was born in Henan Province, China, in November 1977. He received the B.S. degree in physics from Xinyang Normal University, Xinyang, China, in 2000, and the M.S. degree from Southeast University, Nanjing, China, in 2005, where he is currently working toward the Ph.D. degree at the State Laboratory of Millimeter Waves. Since 2000, he has been with Xinyang Normal University for teaching. In 2006, he was appointed a Lecturer. He was with Institute for Infocomm Research (I2R), Agency for Science, Technology and Research (A*STAR), as a Research Engineer from May to November 2010 and as a Research Fellow from April to September 2011. His current research interests include microwave and millimeter-wave components, circuits, and system.

Wei Hong (M’92–SM’07–F’12) received the B.S. degree from the University of Information Engineering, Zhengzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing. China, of which he has served as the Director since 2003. He is currently a Professor and the Associate Dean of the School of Information Science

and Engineering, Southeast University. In 1993, 1995, 1996, 1997, and 1998, he was a short-term Visiting Scholar with the University of California at Berkeley and Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering, and RF technology for mobile communications. He has authored and coauthored more than 200 technical publications, and authored the books Principle and Application of the Method of Lines (in Chinese: Southeast University Press, 1993) and Domain Decomposition Methods for Electromagnetic Problems (in Chinese, Science, 2005). He has served as a reviewer for the IET Proc.-H, and Electronic Letters and is an Editorial Board Member for IJAP and RFMiCAE. Dr. Hong is a senior member of CIE, Vice-President of the Microwave Society and Antenna Society of CIE, and has served as a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He was thrice awarded the first-class Science and Technology Progress Prizes issued by the Ministry of Education of China and the Jiangsu Province Government. He also received the Foundations for China Distinguished Young Investigators and for “Innovation Group” issued by the National Science Foundation of China. He also served as an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES from 2008 to 2010.

Yan Zhang (S’09–M’12) received the B.Eng. degree in information engineering and Ph.D. degree in electromagnetic and microwave engineering from Southeast University, Nanjing, China, in 2006 and 2011, respectively. During January 2009 to July 2009, he was with Institute for Infocomm Research (I2R), Agency for Science, Technology, and Research (A*STAR), Singapore, as a Research Engineer. From November 2009 to December 2010, he was with the Electromagnetic Communication Laboratory of the Pennsylvania State University as a Visiting Scholar. Since December 2011, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China. His research interests include millimeter-wave antennas, planar transmission-line techniques, and filters. Dr. Zhang is the recipient of the Best Student Paper Award of the International Conference on Microwave and Millimeter Wave Technology (2008).

Peng Chen (M’11) was born in Nanjing, China, in 1982. He received the B.S. degree in electrical engineering from Southeast University, Nanjing, China, in 2004, and the Ph.D. degree in electromagnetic field and microwave technology with the School of Information Science and Engineering, Southeast University, Nanjing, China, in 2009. His current research interests include beamforming networks, multi-beam antennas, phased array antennas, antenna signal processing, and antenna tracking systems for satellite and mobile communications.

Chang-Jiang You (S’09) was born in Sichuan Province, China, in 1983. He received the B.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2006, and the Ph.D. degree from Southeast University, Nanjing, China, in 2012. From April 2011 to October 2011, he was a Researcher with the Institute for Infocomm Research, A*STAR, Singapore. He is currently a Teacher with Greating-UESTC Joint Experiment Engineering Center, School of Communication and Information Engineering, University of Electronic Science and Technology of China. His research interests include RF/microwave passive circuits, RF/microwave systems and millimeter wave circuits & systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3079

A Finite-Element Algorithm for the Adjustment of the First Circulation Condition of the Turnstile Waveguide Circulator Joseph Helszajn, Fellow, IEEE

Abstract—The adjustment of the first circulation condition of the waveguide turnstile junction is an eigenvalue problem. It fixes all the physical parameters of the circulator, except for the gyrotropy. This paper describes an algorithm in conjunction with a finite-element solver for the adjustment of this class of junction. It is met provided the in-phase and counter-rotating eigen-networks of the junction are 90° long and the corresponding reflection angles differ by 180°. The algorithm introduced in this paper may also be used to determine the split frequencies of the junction by replacing the demagnetized permeability of the resonator, one at a time, by appropriate scalar counter-rotating permeabilities. An inverted re-entrant turnstile junction in half-height WR75 waveguide is characterized by way of an example. A re-entrant turnstile junction in standard WR75 waveguide has been separately synthesized. Index Terms—Circulators, eigenvalues, gyromagnetic resonators.

I. INTRODUCTION

T

HE THREE-PORT turnstile circulator may be visualized as a five-port network consisting of a cylindrical gyromagnetic waveguide having two orthogonal ports that are closed with a short-circuit piston at the junction of three -plane rectangular waveguides. This sort of junction supports one in-phase eigen-network and a pair of degenerate or split counter-rotating eigen-networks. The first of its two circulation conditions coincides with the maximum power transfer condition of the junction prior to the application of the gyrotropy. Its solution is essentially an eigenvalue problem, which is a classic result in the literature and has been the topic of a number of publications [1]–[3]. The adjustment of this condition has been with one exception experimental or semiempirical [4]. The one exception consisted of verifying some historical experimental results on - and -plane turnstile circulators using a manual finite element (FE) process by displaying the eigenvalues of the problem region on a Smith chart [5]. The operation of this class of circulator using a cylindrical resonator was first clarified by having recourse to an experimental eigenvalue test set [6], [7]. The purpose of this paper is to describe one universal algorithm for the Manuscript received March 27, 2012; revised June 22, 2012; accepted July 02, 2012. Date of publication August 15, 2012; date of current version September 27, 2012. The author is with the Department Electrical and Electronic Engineering, Heriot Watt University, Edinburgh EH14 4AS, U.K. (e-mail: rmhell@btinternet. com). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209452

Fig. 1. Schematic diagrams of waveguide junction circulators using turnstile resonators.

solution of this class of device. There are altogether three classic geometries using a single cylindrical resonator [6]–[14] and six employing a prism one [15]–[17]. The possible configurations met in connection with the cylindrical arrangements are illustrated in Fig. 1. The triplet of structures associated with each possible orientation of the prism resonator is understood without ado. The basic element is a quarter-wave-long open gyromagnetic resonator with a gap between one open flat face and one waveguide wall and one short-circuited flat face on the other waveguide wall [6]–[8]. It determines the counter-rotating eigen-networks of the junction. The in-phase eigen-network is a quasiplanar geometry that is fixed by the circular composite structure made up of the ferrite and the air or dielectric gap with top and bottom electric walls [13], [14]. The solution of each of these geometries is separately dependent upon the relative dielectric constant of the ferrite material and the position of the operating frequency with respect to the cutoff frequency of the waveguide. The permeability of any demagnetized ferrite must also be taken into account. The solution of this class of junction involves two independent and two dependent variables. The

0018-9480/$31.00 © 2012 IEEE

3080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 2. Schematic diagram of integrated substrate waveguide circulator using turnstile resonators.

dependent variables are the radial wavenumber and the gap factor of the junction ; the independent ones are the wavenumber of the specification and the aspect ratio of the resonator, radius ( ), and length ( ), ( ). An FE solver is obviously essential in order to deal with the various configurations met with this junction. The algorithm developed in this paper may also be used to determine the split frequencies of the resonator by replacing the demagnetized permeability one at a time by counter-rotating scalar quantities. The -plane waveguide turnstile junction circulator is only one geometry that relies for its operation on a turnstile resonator. Fig. 2 illustrates an integrated surface waveguide that relies on the same principles. The second circulation condition of this type of junction is established by replacing the dielectric by a gyromagnetic one. The paper includes some data on this condition.

II. PASSBAND FREQUENCY OF A TURNSTILE JUNCTION The required midband relationships between the variables entering into the first circulation condition of the junction are satisfied provided the angles of the in-phase and degenerate reflection eigenvalues are 90° long and 180° out-of-phase

Fig. 3. Eigenvalue diagrams of reciprocal three-port junction.

The eigenvalues are the reflection coefficients revealed at any port by each of the three possible generator settings or eigenvectors of the junction. These have unit amplitude and differ from each other only in terms of phase (3a) (3b) The eigenvalue diagram at the passband frequency of a reciprocal junction is indicated in Fig. 3(a). It is satisfied provided (4) and are the electrical lengths of the in-phase and counter-rotating eigen-networks, respectively. The term in the degenerate counter-rotating reflection coefficients is associated with the short-circuit boundary condition at the axis of the problem region. The reflection eigenvalues are also sometimes expressed in terms of reflection angles and

(1a)

(5a)

(1b)

(5b)

The eigenvalues are for the purpose of calculations related to the reflection and transmission parameters of the scattering matrix of the junction in the usual way by

The reflection coefficient associated with this diagram is . Two other eigenvalue diagrams in the vicinity of the required solution are separately shown in Fig. 3(b) and (c). The amplitudes of the reflection coefficients are also in these instances equal to that of the ideal solution, but the reflection angles do not, however, correspond to that of the passband frequency.

(2a) (2b)

HELSZAJN: FE ALGORITHM FOR ADJUSTMENT OF FIRST CIRCULATION CONDITION OF TURNSTILE WAVEGUIDE CIRCULATOR

III. IN-PHASE OF

3081

COUNTER-ROTATING MODES TURNSTILE JUNCTION

AND

The degenerate counter- rotating modes entering into the adjustment of a reciprocal turnstile junction are approximately specified by a degenerate pair of modes in an open dielectric waveguide supporting an open magnetic wall at one flat face and short circuit at the other. Its adjustment involves a gap factor (6a) one with top The symmetric mode is a quasi-planar and bottom electric walls and an open electric sidewall. It does not propagate along the axis of the resonator. Its adjustment involves a gap factor (6b) The unknowns of the problem region are the aspect ratio of the gyromagnetic resonator ( ), the radius of the resonator ( ) and the length of the gap ( ) between its open face and the opposite waveguide wall. The latter two quantities are usually expressed in terms of a radial wavenumber and a gap factor (7) All the other quantities including the wavenumber entering into the description of the junction are independent variables and are specified as a preamble to the optimization subroutine. An approximation of the first circulation condition of a degree-2 junction may be established by replacing by and by in the degree-1 solution. This condition is usually satisfied by introducing suitable quarter-wave-long or alternate line impedance transformers at each port. The variables in addition to those met in connection of the degenerate junction are the electrical angle(s) and impedance(s) of a typical transformer. IV. REFERENCE PLANE The reference plane of the solution is obtained here and elsewhere by replacing the resonator region by a metal plug. The assumption here, if nothing else, is the extent of any leakage by the open apertures on either side of the post into the output waveguides. Measurements indicate that this leakage is of the order of 2% in each output waveguide. It furthermore assumes that the electrical planes of both the in-phase and counter-rotating eigenvalues have a common surface with that of the mechanical boundary of the open resonator. The phase constant associated with the nonuniform radial region connecting the dielectric resonator to a typical rectangular waveguide is dealt with by separately extremizing this problem. A property of such a region is that an open circuit is not mapped into a short circuit over the same length that a short circuit is mapped into an open circuit. One consequence of this feature is that the angle between the reflection angles at the resonator terminals is not preserved at the terminals of a typical rectangular waveguide. Furthermore, the

Fig. 4. Construction of reference terminals of resonator. (a) Reflection coefficient. (b) Transmission coefficient.

frequency of the 9.5-dB points in the return loss of the junction no longer coincides with the real axis of the Smith chart, but resides instead on either side of it. This effect is of issue in the design of degree-2 junctions. The organization of the junction also has a significant influence on the fringing field so that each possible structure must be, strictly speaking, separately evaluated. Fig. 4(a) and (b) indicates the calibration process for both reflection and transmission parameters of the junction at its reference terminals. V. FE ALGORITHM The adjustment of the reciprocal turnstile junction is met when the in-phase and degenerate counter-rotating eigen-networks are degenerate. This condition is satisfied provided (8a) (8b) The first condition fixes the radius of the resonator, , and thereafter its length, . The gap, , between the open face of the resonator and the image wall of the junction is fixed by the second condition in terms of the gap factor defined in the last, but one section. The design procedure, in the absence of fringing, is straightforward. The in-phase eigenvalues fixes the gap factor and gives a relationship between and . The absolute values of these two quantities are then fixed without ado by the counter-rotating

3082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

ones. In the presence of fringing, however, the situation is more complicated in that the two conditions are now coupled. One general approach commences by constructing in-phase and counter-rotating polynomials connecting and to , which satisfy and for parametric values of (9a) (9b) The proceeding polynomials may be constructed by having recourse to an FE procedure or some other numerical method. The two polynomials are thereafter equated and combined into a single characteristic equation involving the variable for discrete values of (10) provide The roots of this equation for parametric values of the link between the latter variable and . The calculation is completed once the actual gap factor is evaluated in terms of the same roots. This may be done by having recourse to either of the two original polynomial representations of the first circulation condition

Fig. 5. versus of inverted re-entrant turnstile junction in half-height . WR75 waveguide for counter-rotating mode

(11) It is convenient, for the purpose of engineering, to assemble the specific solutions in polynomial form (12a) (12b) VI. FE ADJUSTMENT The normalized variables introduced here are desirable in order to store universal data. Absolute quantities such as and are, however, necessary in order to initialize any numerical procedure. A moot point in the organization of any calculations is the description of the geometry in terms of realistic physical variables. The initial choices adopted here rely on historic experimental data on an inverted re-entrant turnstile junction in standard WR90 waveguide. An inverted re-entrant turnstile junction in half-height WR75 waveguide is, however, adopted by way of illustration of the proposed algorithm in this paper. Taking equal to 2.0 produces initial values for and of 0.80 and 0.85, respectively. Figs. 5 and 6 show the connections between and and for a resonator with an aspect ratio of for a reciprocal inverted re-entrant turnstile junction using a dielectric resonator with a relative dielectric constant of 15.0 in a half-height WR 75 waveguide at a frequency of equal to 1.683. The respective polynomial solutions are

(13a)

(13b)

Fig. 6. versus of inverted re-entrant turnstile junction in half-height . WR75 waveguide for in-phase mode

Repetitive recourse to the reference plane of the junction is avoided by varying for parametric values of at rather than the other way round. Fig. 7 illustrates a typical flowchart for the evaluation of either quantities. A typical calculation amounts to partitioning the interval into segments and the one into segments. A typical regular grid is obtained with and implying 24 problem drawings and six calibration steps or drawings each of which involves replacing the resonator geometry by a metal pillar of the same radius. One process proceeds by constructing

HELSZAJN: FE ALGORITHM FOR ADJUSTMENT OF FIRST CIRCULATION CONDITION OF TURNSTILE WAVEGUIDE CIRCULATOR

3083

Fig. 8. First circulation condition of inverted re-entrant turnstile junction in . half-height WR75 waveguide

Fig. 7. Flowchart for the solution of either edge.

or

at the resonator Fig. 9. Flowchart algorithm for first circulation condition.

polynomial relationships between and both and for any specific values of . These polynomials are then employed to solve for at and at , or equivalently, and . The in-phase and counter-rotating eigenvalues of the geometry are typically located in a space defined by and . The characteristic equation from which may be deduced is

(14) equals 0.783. The root of this characteristic equation is The corresponding value of obtained in this way is 0.758. A scrutiny of the graphical solution in Fig. 8 indicates that the angle between the two polynomials is, at the intersection point, relatively small. This suggests that the failure to accurately reproduce the boundary conditions of the problem region is not in practice as important as once supposed. A flowchart of this process is indicated in Fig. 9.

Both the adopted contour of the reference plane of the junction and the characterization of the geometry of the resonator are extremized in the same way. The solution produced by the proposed algorithm is unique to the wavenumber employed in the calculation. This remark may be understood by recognizing that the same combination of the product of , but at a different value of , will produce a different – – envelope in conjunction with a perturbation in the existing fringing field of the geometry. The shortcoming of the approximate closed-form solution is that, of course, it does not account for any fringing field in its description. In order to accurately scale any existing design, it is therefore essential to respect all the parameters entering into its description and to recalculate the geometry whenever the frequency of the device or the cutoff frequency of the waveguide or the details of the junction are modified. One way to verify the robustness of any solution is to resort to experiment or analysis. Fig. 10 depicts a Smith chart representation of the structure under consideration. It accurately reproduces the passband frequency of the junction as asserted. A scrutiny of this result suggests that the frequency variation of the in-phase eigenvalue may be neglected compared to those

3084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 10. Smith chart of first circulation condition of inverted re-entrant turnstile . junction in half-height WR75waveguide

of the degenerate counter-rotating eigenvalues. It also indicates that the frequency variation of is more or less that of . The permeability met in connection with a demagnetized magnetic insulator must be accounted for separately. It is related to the magnetization of the material and the frequency by [19]

Fig. 11. Smith chart of re-entrant turnstile junction in standard WR75 wave. guide

(15) is the gyromagnetic ratio 2.21 10 rad/s A/m , is the saturation magnetization (A/m), and is the radian frequency (rad/s). Most experimental data is the literature on this class of junction have actually been restricted to ones using demagnetized gyromagnetic resonators. This effect is separately dealt with in [19]–[23]. VII. RE-ENTRANT TURNSTILE JUNCTION STANDARD WR75 WAVEGUIDE

IN

The robustness of the algorithm introduced for the adjustment of the three-port turnstile circulator in this paper has been separately experimentally verified by fabricating one junction in standard waveguide based on an existing simulation [12]. Its Smith chart solution is reproduced in Fig. 11 for completeness sake. The arrangement under consideration is a re-entrant instead of inverted re-entrant turnstile geometry. A full-height waveguide assembly has been chosen for this purpose in order to avoid the complication of making transitions between half- and full-height waveguides. Its details are summarized by rad/mm

Fig. 12. Comparison between calculated (- - -) and experimental (—) frequency response of re-entrant turnstile junction in WR75 waveguide at each port.

Fig. 12 compares the calculated and measured frequency responses of the solution in question. VIII. SUSCEPTANCE SLOPE PARAMETER OF DEGREE-1 JUNCTION The susceptance slope parameter of a degree-1 demagnetized junction, which is a measure of its bandwidth, may be extracted by constructing its frequency response about its center frequency at one typical port with the other two terminated in matched loads. One formulation of this parameter, in the case

HELSZAJN: FE ALGORITHM FOR ADJUSTMENT OF FIRST CIRCULATION CONDITION OF TURNSTILE WAVEGUIDE CIRCULATOR

Fig. 13. Frequency response of first circulation condition of inverted re-entrant turnstile junction in half-height WR75 waveguide .

for which the frequency response of the in-phase eigen-network can be neglected compared to those of the degenerate ones, is [24] (16)

3085

Fig. 14. Eigenvalue diagrams associated with scalar counter-rotating permeabilities.

is a constant met in connection with the characteristic equation of the cutoff space of a planar resonator with top and bottom electric walls and a magnetic sidewall. One useful approximation is [11]

where

(19) (17) and

are band-edge frequencies and is the midband frequency. The VSWR is the voltage standing-wave ratio at . The factor 2/3 in this equation connects the susceptance slope parameter of the reciprocal junction to that of the complex gyrator circuit of the corresponding circulator. The susceptance slope parameter of a turnstile junction is in practice dependent on the aspect ratio of the resonator. The normalized value obtained with the half-height waveguide in the example in Fig. 13 is 14. The corresponding value in standard the WR75 waveguide is half that displayed by the half-height waveguide. IX. SPLIT FREQUENCIES OF GYROMAGNETIC RESONATORS

(20) where (21) The calculations in this section assume a saturated magnetic insulator for which (22) (23)

The solver introduced in connection with the first circulation condition of the turnstile circulator may also be employed to approximately investigate, one at a time, the split frequencies of the gyromagnetic resonator on either side of the isotropic one . A knowledge of these frequencies allows the quality factor of the gyrator circuit of the magnetized junction to be evaluated without recourse to a magnetic solver. This may be done by replacing, one at a time, the demagnetized permeability of the magnetic insulator by counter rotating partially magnetized scalar permeabilities

coincide with at the resonator The split frequencies terminals. Fig. 14 shows the eigenvalue diagrams at . One experimental procedure from which the split frequencies of the resonator can be extracted is obtained by determining the frequencies at which the return loss of the terminated junction passes through 9.5 dB. This result is readily recognized both here and elsewhere by examining the connection between the reflection coefficient and the eigenvalues of the junction

(18)

(24)

3086

Fig. 15. 9.5-dB frequencies corresponding to

The quality factor is given in terms of

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

and

and

.

Fig. 16. Return loss at port-1 of inverted re-entrant turnstile circulator in half height WR75 waveguide for parametric values of normalized magnetization in saturated magnetic insulator.

by (25)

This quantity fixes the gain bandwidth product of the complex gyrator circuit as is universally understood (26) is the return loss (in decibels), and is the normalized bandwidth. The constant on the right-hand side of this condition is determined by the nature and degree of any matching network. The frequency responses corresponding to and are indicated in Fig. 15. The split frequencies in this figure correspond to the eigenvalue diagrams in Fig. 3(b) and (c). The condition associated with these two split frequencies are [22] (27) Either condition ensures that the reflection angles are 180° out-of-phase, but neither guarantees that the eigen-networks are commensurate. The prevailing condition depends on whether the in-phase eigen-network is larger or smaller than 90°. In a uniform transmission line, the above relationship should hold at any plane removed from the load. Fig. 16 depicts the frequency response of the return loss at port 1 with the other two terminated in matched loads in the half-height WR75 waveguide for parametric values of the gyrotropy . Each magnetization step is associated with a different effective permeability the effect of which is to perturb the midband frequency of the junction. In keeping with the numerical data, the permeability is lowered as the magnetization is increased, thereby reducing the frequency. This result

applies to a gyromagnetic resonator with a saturation magnetization equal to 0.1600 T for which is equal to 0.338 at 13.25 GHz. The corresponding normalized split frequencies is equal to 0.196. The value obtained by having recourse to the split cutoff space of a planar gyromagnetic resonator is 0.220. The quality factor associated with this gyromagnetic splitting is equal to 2.63. It is compatible with the realization of communication circulators with commercial specifications. The exact split frequencies of the junction may also be readily calculated using a suitable commercial solver. X. CONCLUSION The development of an algorithm for the precise adjustment of the first circulation condition of the waveguide turnstile circulator has been the topic of this paper. It involves the construction of separate polynomials descriptions of the gap factors of the in-phase and counter-rotating eigen-networks at the design frequency of the junction for parametric values of using an FE solver. The root of the characteristic equation in terms of obtained by equating the two polynomials produces the required value of for specific values of . The required gap factor is then calculated without ado from either of the two original polynomials in terms of . The split frequencies of the gyromagnetic resonator are approximately established by replacing the demagnetized permeability, one at a time, by counter-rotating scalar permeabilities. REFERENCES [1] C. Montgomery, R. H. Dicke, and E. M. Purcel, Principles of Microwave Circuits. New York: McGraw-Hill, 1948, ch. 12. [2] T. Schaug-Patterson, “Novel design of a 3-port circulator,” Norwegian Defence Res. Establishment, Kjeller, Norway, Rep. R-59, Jan. 1958.

HELSZAJN: FE ALGORITHM FOR ADJUSTMENT OF FIRST CIRCULATION CONDITION OF TURNSTILE WAVEGUIDE CIRCULATOR

[3] B. A. Auld, “The synthesis of symmetrical waveguide circulators,” IRE Trans. Microw. Theory Tech., vol. MTT-7, no. 4, pp. 238–246, Apr. 1959. [4] J. Helszajn and J. Sharp, “Adjustment of in-phase mode in turnstile junction circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 4, pp. 339–343, Apr. 1985. [5] J. Helszajn and J. Sharp, “Verification of first circulation condition of turnstile waveguide circulators using a finite element solver,” IEEE Trans. Microw. Theory Tech., vol. MTT-53, no. 7, pp. 2309–2316, Jul. 2005. [6] B. Owen and C. E. Barnes, “The compact turnstile circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1096–1100, Dec. 1970. [7] B. Owen, “The identification of modal resonances in ferrite loaded waveguide junction and their adjustment for circulation,” Bell Syst. Tech. J., vol. 51, no. 3, pp. 595–627, Mar. 1972. [8] Y. Akaiwa, “Operation modes of a waveguide Y-circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 11, pp. 954–959, Nov. 1974. [9] J. Helszajn and F. C. F. Tan, “Mode charts for partial-height ferrite waveguide circulators,” Proc. Inst. Elect. Eng., vol. 122, no. 1, pp. 34–36, Jan. 1975. [10] Y. Akaiwa, “A numerical analysis of waveguide -plane -junction circulators with circular partial-height ferrite post,” J. Inst. Electron. Commun. Eng. Jpn., vol. E61, pp. 609–617, Aug. 1978. [11] J. Helszajn and F. C. F. Tan, “Design data for radial waveguide circulators using partial-height ferrite resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 3, pp. 288–298, Mar. 1975. [12] W. Hauth, “Analysis of circular waveguide cavities with partial-height ferrite insert,” in Proc. Eur. Microw. Conf., 1981, pp. 383–388. [13] E. J. Denlinger, “Design of partial-height ferrite waveguide circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 8, pp. 810–813, Aug. 1974. [14] J. Helszajn, “Common waveguide circulator configurations,” Electron. Eng, pp. 66–68, Sep. 1974. [15] F. M. Aitken and R. Mclean, “Some properties of the waveguide Y circulator,” Proc. Inst. Elect. Eng., vol. 110, no. 2, pp. 256–260, Feb. 1963. [16] J. Helszajn and J. Sharp, “Resonant frequencies, -factor, and susceptance slope parameter of waveguide circulators using weakly magnetized open resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 434–441, Jun. 1983. [17] J. Helszajn, “Adjustment of degree-2 -plane waveguide turnstile circulator using prism resonator,” Microw. Eng. Eur., pp. 35–48, Jul. 1999.

3087

[18] J. Helszajn and F. C. F. Tan, “Susceptance slope parameter of waveguide partial-height ferrite circulators,” Proc. Inst. Elect. Eng., vol. 122, no. 72, pp. 1329–1332, Dec. 1975. [19] E. Schlömann, “Microwave behaviour of partially magnetized ferrites,” J. Appl. Phys., vol. 41, no. 1, pp. 204–214, Jan. 1970. [20] G. T. Rado, “Theory of the microwave permeability tensor and Faraday effect in non-saturated ferromagnetic materials,” Phys. Rev., vol. 89, p. 529, Jan. 1953. [21] J. J. Green and F. Sandy, “Microwave characterization of partially magnetized ferrites,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 6, pp. 645–651, Jun. 1974. [22] J. Helszajn and J. Sharp, “Dielectric and permeability effects in HE111 open demagnetised ferrite resonators,” Proc. Inst. Elect. Eng., vol. 133, no. 4, pt. H, pp. 271–275, Aug. 1986. [23] J. Helszajn and J. Sharp, “Fringing effects in re-entrant and inverted re-entrant turnstile waveguide junctions using cylindrical resonators,” IET Proc. Microw., Antennas, Propag., vol. 5, no. 9, pp. 1109–1115, Jun. 2011. [24] J. Helszajn and J. Sharp, “Frequency response of quarter-wave coupled reciprocal stripline junctions,” Microw. Eng. Eur., pp. 29–35, Mar./ Apr. 2003. Joseph Helszajn (M’64–SM’87–F’92) has been an Emeritus Professor of Microwave Engineering with Heriot Watt University, Edinburgh, U.K., since 1999. He acquired his early industrial experience on the East and West coasts of the U.S. He has authored 12 major engineering text books, which have unified the important nonreciprocal branch of microwave engineering. He has authored or coauthored over 140 papers and 35 articles with over 50 colleagues out of the industry and university sectors. He was, until recently, one of two Honorary Editors over some 18 years of the Proceedings of the Institution of Electrical Engineers—Microwaves, Antennas, and Propagation. Prof. Helszajn is a Fellow of the City and Guilds Institute (FGCI), the Royal Society of Edinburgh (FRSE), and the Royal Academy of Engineering (FREng). He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES). He was the recipient of the 1995 IEE J. J. Thomson Medal. He was appointed an Officer of the Order of the British Empire (OBE) in the 1997 Queen’s Birthday Honours List. He was the recipient of a 2004 Doctor of Engineering (HonDEng) honorary degree from Napier University and a 2007 Doctor of the University (HonDUniv) honorary degree from Heriot Watt University.

3088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A 3-D Table-Based Method for Non-Quasi-Static Microwave FET Devices Modeling Yunshen Long, Yong-Xin Guo, Senior Member, IEEE, and Zheng Zhong, Member, IEEE

Abstract—A highly accurate method of building a large-signal modeling approach considering dispersive effect of field-effect transistors is presented in this paper. The non-quasi-static effect of the transistor is described through high-order constitutive nonlinear current sources and charge sources. The extraction and building of these sources are executed by polynomial regression, which is fast and determined by unique values. The sources are built by 3-D tables, where the added dimension is a variable integration path used to account for the dispersion effect. The performance up to the millimeter-wave frequency of the model is satisfied. The validity of the proposed technology-independent approach has been verified by both GaAs and GaN devices. Index Terms—High-order sources, inconsistency between RF and dc current, integration path (IP) independence, table-based model, 3-D table.

I. INTRODUCTION

I

N THE design of active microwave circuits, such as power amplifiers and mixers, a large-signal model is essential to predict reliable performance of transistors. Over the last decades, the building of an accurate device model has been proven to be a vital step in the monolithic microwave integrated circuit (MMIC) design. Moreover, a large-signal model can provide a reliable tool for predicting the behavior of a device when it is simulated in complex modulation. Advanced technologies have been developed for high-power and high-frequency applications [1]–[4]. However, even for GaAs power devices, traditional methods of building large-signal models are still associated with some problems. These problems are listed as follows and will be properly handled in this paper. First of all, the topology and empirical nonlinear functions of the model change with different technologies. It is cumbersome for engineers to construct a new model for a new device [5]. The approach presented in this paper is thoroughly technology independent. Secondly, though conventional methods of extracting component values are widely and effectively used [6], [7], the procedures still turn out to be somewhat tedious. Sometimes these component values are not unique when optimization algorithm and initial values are different. The topology proposed in this paper is very straightforward. It is constructed Manuscript received March 08, 2012; revised July 24, 2012 and July 27, 2012; accepted July 30, 2012. Date of publication August 28, 2012; date of current version September 27, 2012. This work was supported in part by the Singapore Ministry of Education (MoE) under Tier 1 funding R-263-000-667-112. The authors are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (e-mail: eleguoyx@nus. edu.sg). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2211611

by high-order sources with Taylor expansion [8]. A more convenient and accurate approach presented in this paper relies on polynomial regressions, which can be quickly and automatically executed with a properly programmed code. Thirdly, due to the trapping and self-heating effect [9]–[12], the dispersion effect can degenerate the behavior of the large-signal model. That is the reason why differential parameters, especially conductance and transconductance [13], cannot be directly integrated. On the other hand, the dispersion effect is also the cause of inconsistency between dc and RF current. Many researchers seek the help of pulse measurements to build the model of transistors, especially for high-power devices. The accuracy of reported models with pulse measurement is well satisfied in a limited bandwidth. As the accuracy of small-signal response under different bias can be used to check the issue of integration path (IP) independence, the performances of reported models compromise over a wide bandwidth [9]–[12]. Besides, the responses of these models near the cutoff region are seldom reported, which is important to switches design. In this paper, the inconsistency between RF and dc current is addressed by introducing a similar structure guaranteeing the compatibility [14]. A combined robust solution is presented in this paper to handle the dispersion effect. Extrinsic components are optimized to help generate a quasi-IP independent intrinsic model. Besides, 3-D tables are introduced to assist to solve the problem of IP dependence. The additional dimension is a variable IP, which can make the model accurate even in the cutoff region. The measurement for model generation requires only traditional static dc current and bias-dependent -parameter measurements. The detailed model formulation will be demonstrated. To verify the independence of technology and accuracy of the presented approach, both the GaAs pseudomorphic HEMT (pHEMT) and GaN HEMT are investigated in this paper. II. LARGE-SIGNAL MODEL GENERATION A. Intrinsic Nonlinear Model Built by High-Order Sources In general, the accuracy of a nonlinear model is determined by the nonlinear model topology, linearization technique, and linear model extraction. A small-signal model is always the basis of a large-signal model so the accuracy of a small-signal model under different biases has to be guaranteed so that a nonlinear model can predict the behavior of a transistor under large-signal excitation. As is known, a small-signal model is constructed by the intrinsic and extrinsic parts. The equivalent circuit of a non-quasi-static small-signal model is shown in Fig. 1, where the intrinsic part of the field-effect transistor (FET) is indicated inside the dashed box. The components in the intrinsic part are always considered

0018-9480/$31.00 © 2012 IEEE

LONG et al.: 3-D TABLE-BASED METHOD FOR NON-QUASI-STATIC MICROWAVE FET DEVICES MODELING

Fig. 1. Equivalent circuit of the small-signal model. The intrinsic part is shown inside the dashed line box.

to be bias dependent. After de-embedding the extrinsic part, the intrinsic part can be extracted [15]. It is worth noting that different FET devices may lead to different suitable topologies. Many new topologies are claimed to be based on semiphysics. However, the reason for developing a suitable and new topology is that the traditional topology or function in the model cannot fit the small-signal response. One of main challenges of the transistor model is to include non-quasi-static effect. Conventionally, introduction of delay and additional components in equivalent circuit are the examples to compensate nonquasi-static effect [16]–[19]. The element of and delayed time in Fig. 1 are used to account for non-quasi-static effect. There are some issues needed to be considered in the traditional methods. As the working frequency increases, the components in the model need to vary with frequency (e.g., the capacitance and resistances in the intrinsic part). Besides, if the topology is somewhat complex and the model contains a large number of elements, some valuesmay be overdetermined by equations. Therefore, optimization-based methods have to be used. Since validity of optimization depends on the initial guess and algorithm, a good starting value and efficient procedure must be carefully chosen [6]. Moreover, each topology is always limited to some certain devices and technologies. Thus, the traditional way of extraction is not straightforward. To better describe a non-quasi-static nonlinear device completely in a technology-independent way, high-order nonlinear constituent components can be employed to describe the intrinsic part of devices. From the theoretical point of view, nonquasi-static devices can be represented by a series of expanded high-order dynamics. It has been proven that the intrinsic part of the model can be expanded as Taylor expansion represented by high-order sources [8] (1)

3089

small-signal model with respect to frequency is based on a specific frequency point, which means that the accuracy is guaranteed only around the expanded frequency point in the math view of Taylor expansion. Besides, the approach of Taylor expansion is based on the fact that the traditional small-signal equivalent-circuit model has been already extracted. If so, it would be straightforward to generate the traditional large-signal model with a series of resistor, capacitor and introduction of delays to handle non-quasi-static effect. High-order sources then generated from Taylor expansion can be only regarded as just a kind of transformation, which is somewhat redundant. Therefore, in this paper, to avoid the deficiency of adoption of Taylor expansion and tedious procedures of the extraction small-signal equivalent-circuit model, the coefficients of highorder sources are obtained by means of polynomial regression. Through polynomial regression, high-order sources can better describe the response over a wider bandwidth. Moreover, the work of the extraction small-signal model can be largely simplified. The building of a small-signal model can be quickly and automatically done with properly programmed code. Some extracted bias-dependent coefficients of 4 70 m GaN HEMTs are shown in Fig. 2

(5) The -parameters can be viewed separately: frequency-dependent part and bias-dependent part. When equals to 0, -parameters can be claimed to be quasi-static. This part is frequency independent. On the other hand, non-quasi-static effect is described by the parts where does not equal to 0. The coefficients behind the frequency part are all bias dependent. After bias-dependent coefficients of different order sources are obtained, the contour integration can be executed as the following equations indicate:

(6)

(7)

(2) (3) (4) However, the method of Taylor expansion suffers some minor shortages. It is needed to point out that the Taylor expansion of a

(8)

3090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 3. Simulated [red solid line (in online version)] 4 75 m GaAs pHEMT nonlinear model and measured -parameters (symbols). (a) Under bias V and V. Measured dc current is directly implemented in the V and V. Traditional integrated model. (b) Under bias RF current source is implemented in the model.

(11) (12) (13)

B. Solution to Dispersion Effect

Fig. 2. Bias-dependent coefficient GaN HEMT.

and

extracted from a 4

70 m

Due to self-heating and trapping effects, the components of the intrinsic part exhibit the inconsistency between RF and dc current and violate IP independence [9]–[12]. This issue compromises the accuracy of the model, especially for power devices. Fig. 3(a) shows the inaccuracy of the model in [8], in which dc current source is directly implemented. Fig. 3(b) shows that the violation of IP independence can also degenerate the performance of the nonlinear model, though RF current source is introduced. The following integrability condition has to be satisfied to guarantee the intrinsic part is conservative: (14) (15)

(9)

Since there is no need for particular constant charge function and only charge perturbations are relevant in analysis [20], the final form of charge and current form can be described as follows: (10)

However, the current and charge sources in the intrinsic part do not satisfy the above condition due to dispersion effect. Thus, some discrepancy can be expected if integration is forced. It is noted that the measurements include the effects of the intrinsic and extrinsic parts, but only the intrinsic part is integrated. Thus, based on the given measured response, the values of the extrinsic parts have an influence on how conservative the intrinsic part is. Traditionally, the extraction of the extrinsic part relies on the so-called methods of cold-FET [15], [21]–[23] or hot-FET [24]–[26]. However, since there is no direct measurement for the extrinsic part, the extraction is based on the simplification of the intrinsic part under specific bias. Different assumptions and simplification of different technology will lead to

LONG et al.: 3-D TABLE-BASED METHOD FOR NON-QUASI-STATIC MICROWAVE FET DEVICES MODELING

3091

Fig. 4. Mechanism of loop integration for current source. For ideal IP independent data, the integration result should exact 0.

different components values that are difficult to be directly verified by measurement. Even a negative value of the resistor in the extrinsic part for power devices is accepted [27]. All the approaches mentioned above are claimed to be physically based, but the extraction under the simplification of the model will lead to some inaccuracy. Only the validity of the response of the whole transistor can be effectively verified by comparing the measured and simulated. Considering the situation that extraction can hardly be exactly and precisely physically based, it is reasonable to optimize the extrinsic part to generate IP independent data for the intrinsic part. Since it has been demonstrated that the intrinsic part can be fast and conveniently represented by high-order sources, the optimization of extrinsic parts can be executed to generate a more conservative intrinsic part. Based on (14) and (15), the goal of optimization can be the summation of biased loop integration along different biases, which is indicated in (16). The variables of optimization are the components of extrinsic part. Fig. 4 shows how the optimization works with the current source error

extrinsic (16)

where extrinsic The initial values for optimization can be obtained by the traand are different ditional cold-FET method [15]. weights for different order coefficients. It is helpful to point out the possible limitations of the proposed optimization. Firstly, since the dispersion effect is due to heating and trapping effects, the optimization cannot guarantee the intrinsic part to be exactly conservative. Further, it is not easy to find a robust way to decide the optimization weights for different orders. To better solve the problem of IP, the mechanism of the violation needs to be investigated. A suitable integration region can

Fig. 5. Simulated [red solid line (in online version)] 4 75 m GaAs pHEMT nonlinear model and measured real part of the intrinsic -parameters (symbols) V and V. (a) Integration is performed along at bias V when the model is built. (b) Integration is performed along V when the model is built.

be chosen as a solution to the problem [20], but this approach can only guarantee the accuracy of the region where IP is performed. Actually, the building of the table needs two directions that are orthogonal to each other. The main function obtained by integration of non-conservative data will deviate from the information that the original partial derivatives carry. However, it is interesting to find that the enforced integration will only deviate along one direction. For the other one, the information of partial derivatives is exactly conserved. Fig. 5(a) illustrates the inconsistency between the measured and simulated intrinsic parts of a GaAs pHEMT transistor. Firstly, the integration is started from the bias of V, V along with fixed at 0.25 V. For convenience, V along is called the specific direction in this paper. Integration is then continued along to achieve each bias point we measured. Here the direction along is called the universal direction. Fig. 5(a) presents the result of the real part of and , which are related to transconductance and output conductance. The testing bias of the result is V, V, which is different from the original integration starting point. It can be seen that is not good, but is still consistent with the measured result. In other words, the information of partial derivatives along the specific direction is lost, but it is conserved along the universal direction. If the integration is performed along the specific direction, the information of both directions of the partial derivatives will be conserved. Fig. 5(b) shows the good match between measured and simulated results. This time the integration is started from V, V. The testing bias is still V, V. The result of is still the same, but the performance of is modified. It means that the accuracy of current and charge sources can be guaranteed along the path of the specific direction.

3092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 8. Non-quasi-static large-signal model using high-order current and charge sources. The intrinsic part is shown in the dashed line box.

Fig. 6. 3-D table for charge sources. The newly introduced dimension is a variable IP for specific direction.

Fig. 8 is the whole structure of the nonlinear model. The model built with high-order sources can be easily realized in Advanced Design System (ADS), and used in ac and harmonic-balance simulation without any problem of convergence. It is necessary to point out that the detailed physical bases of the dispersion effect [30], [31] are still open to discussion. A convenient approach presented in this paper can be efficiently adopted for empirical modeling to assist computer-aided design. III. LARGE-SIGNAL MODEL VERIFICATION AND EXPERIMENT RESULTS

Fig. 7. Structure proposed to address the inconsistence between measured dc current and RF current.

It should be noted that the RF current cannot only depend on the instantaneous values of the voltage at the device ports, but also on other variables, such as average values of the voltage [28], [29]. In this paper, specific integration direction is considered as a variable, which is represented by the average voltage of the port. The choice of the port depends on the choice of the specific IP, namely, the choice of specific direction. The table of current or charge sources can be expressed as or . Fig. 6 shows a 3-D charge table where is chosen as the variable IP. For a given point in the 3-D table, for example, means that the data of the charge at and is determined with specific IP V. The inconsistency between RF and dc current is a part of the dispersion effect, which is needed to be properly handled. Fig. 7 exhibits a structure similar to [14] adopted here to solve the inconsistency problem. The introduction of an RF choke can separate RF and dc current. The RF chock can be regarded as an ideal large inductor that allows dc to flow and blocks RF signals. The following equation is the working mechanism of the proposed structure: (17)

To verify the technology independence of the presented approach, both the 4 75 m GaAs pHEMT and 4 70 m GaN HEMT are investigated. Firstly, the on-wafer dc measurement is performed to get static IV characteristic of the transistors. Bias-dependent -parameters measurements are then performed for model building. For the GaN HEMT, the frequency range is from 2 to 20 GHz. For the GaAs pHEMT, the frequency range is from 2 to 45 GHz. To test the extrapolation capability of the model, the frequency only up to 35 GHz is used for model generation for the GaAs pHEMT. First of all, the initial component values of the extrinsic part can be obtained by the so-called “cold-FET” method [15]. If the transistor size is large, a somewhat complicated extrinsic topology can be chosen [7]. Based on (16), the optimization of the extrinsic part is performed to generate quasi-IP independent data for the intrinsic part. After components of the extrinsic part are determined, the intrinsic response can be obtained by de-embedding. The polynomial regression is performed to get the high-order coefficients for the intrinsic part. Path-dependent integration is then performed to generate the 3-D current and charge nonlinear table. Fig. 9 shows the dc performance of the GaAs pHEMT and the GaN HEMT, respectively. As the presented nonlinear model is table based and the dc measurement result is directly implemented as , the accuracy of the static IV characteristic is definitely good. As mentioned before, the IP independence can be examined by comparing simulated small-signal response and measured result under different biases. Fig. 10 represents the small-signal response of the GaAs pHEMT models. The performance of the GaAs pHEMT in Fig. 10(a) and (b) can be compared with the traditional method [8] in Fig. 3. Since the dispersion effect is considered, the presented 3-D model shows a good accuracy. Fig. 11 shows the small-signal response of the GaN HEMT

LONG et al.: 3-D TABLE-BASED METHOD FOR NON-QUASI-STATIC MICROWAVE FET DEVICES MODELING

Fig. 9. Static IV characteristic of: (a) the GaAs pHEMT and (b) GaN HEMT. Solid red lines (in online version) and symbols are simulated and measured result, respectively.

Fig. 10. Simulated [red solid line (in online version)] 4 75 m GaAs pHEMT nonlinear model and measured -parameter (symbols) under bias. (a) V and V. (b) V and V.

3093

Fig. 12. (a) Power sweep for the 4 75 m GaAs pHEMT at 35 GHz. The green dashed line (in online version) is the simulated result with a traditional [16] method. The red solid line (in online version) is the simulated result with a new model. Symbols are measured results. (b) Power sweep of the 4 70 m GaN HEMT at 7 GHz. The red line (in online version) is the simulated result. The blue symbols (in online version) are the measured result.

Fig. 13. (a) Power sweep of 4 70 m GaN HEMT. (a) Under two-tone excitation centered at 6 GHz with 2-MHz frequency spacing. (b) Under single-tone excitation at 10 GHz. The red line (in online version) is simulated. Symbols are the measured result.

Fig. 14. Simulated [red lines (in online version)] and measured (symbols) large-signal waveform at 4 GHz for the 4 70 m GaN HEMT with 9-dBm input power.

Fig. 11. Simulated [red solid line (in online version)] 4 70 m GaN HEMT nonlinear model and measured -parameter (symbols) under bias. (a) V and V. (b) V and V.

model under different bias. A good accuracy can be achieved even in the cutoff region, which is useful to switch design. Fig. 12 shows the simulation result of single-tone power sweep of the GaAs pHEMT and the GaN HEMT. In Fig. 12(a), the performance of the dispersionless model is still introduced for comparison. Fig. 13(a) shows the simulation of intermodulation distortion (IMD) of the GaN HEMT. The two-tone excitation of power sweep for the GaN HEMT is centered at 6 GHz with a frequency space of 2 MHz. The result of lower IMD is illustrated. The power-added efficiency (PAE) and gain

with power sweep at 10 GHz are illustrated in Fig. 13(b). A good agreement is achieved between simulation and measurement. Fig. 14 illustrates the result of simulated and measured waveforms at a frequency of 4 GHz for the GaN HEMT. The measurement was conducted by a large-signal network analyzer. The consistency between simulated and measured performance verifies the accuracy of the nonlinear model. IV. CONCLUSION In this paper, a technology-independent method for large-signal model generation has been presented. Both GaAs and GaN devices are investigated to verify the approach’s technology independence. The non-quasi-static effect of the transistor is described by high-order current and charge sources. Polynomial regression is adopted to simplify the extraction procedures and to make the model more accurate. The inconsistency between dc and RF current is eliminated by the presented

3094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

structure. The component values of the extrinsic part are determined by optimization with the goal of IP independence. For the first time, the variable IP is added as a new variable in the source tables. In this way, the accuracy of the nonlinear model is consistently good both in small- and large-signal behavior. Even in the cutoff region, the accuracy is excellent. As the devices are measured under constant temperature, the model can be extended to generate a temperature-dependent nonlinear model with a modified function or introduction of a new dimension to the existed source tables. REFERENCES [1] S. Kawai, Y. Takayama, R. Ishikawa, and K. Honjo, “A high-efficiency low-distortion GaN HEMT Dohert power amplifier with a series-connected load,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 352–360, Feb. 2012. [2] H.-C. Jeong, H.-S. Oh, and K.-W. Yeom, “A miniature WiMAX band 4-W class-F GaN HEMT power amplifier module,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3184–3194, Dec. 2011. [3] A. Sayed and G. Boeck, “Two-stage ultrawide-band 5-W power amplifier using SiC MESFET,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2441–2449, Jul. 2005. [4] S. Manohar, A. Pham, and N. Evers, “Direct determination of the biasdependent parasitic elements in SiC MESTFET,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 597–600, Feb. 2003. [5] Z. Zhong, Y.-X. Guo, and M. S. Leong, “A consistent charge model -band power amplifiers,” IEEE Trans. Miof GaAs MESFETs for crow. Theory Tech., vol. 59, no. 9, pp. 2246–2253, Sep. 2011. [6] R. G. Brady, C. H. Oxley, and T. J. Brazil, “An improved small-signal parameter-extraction algorithm for GaN HEMT devices,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1535–1544, Jul. 2008. [7] A. Jarndal and G. Kompa, “A new small-signal modeling approach applied to GaN devices,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3440–3448, Nov. 2005. [8] S. M. Homayouni, D. Schreurs, G. Crupi, and B. Nauwelaers, “Technology-independent non-quasi-static table based nonlinear model generation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 2845–2852, Dec. 2009. [9] K. S. Yuk, G. R. Branner, and D. J. McQuate, “A wideband multiharmonic empirical large signal model for high-power GaN HEMTs with self-heating and charge-trapping effects,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3322–3332, Dec. 2009. [10] A. Jarndal and G. Kompa, “Large-signal model for AlGaN HEMT accurately predicts trapping and self-heating induced dispersion and intermodulation distortion,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 2830–2836, Dec. 2007. [11] K. S. Yuk and G. R. Branner, “An empirical large-signal model for SiC MESFETs with self-heating and thermal model,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2671–2680, Dec. 2008. [12] A. Jarndal, A. Z. Markos, and G. Kompa, “Improved modeling of GaN HEMTs on Si substrate for design of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 3, pp. 644–651, Mar. 2011. [13] D. E. Root, S. Fan, and J. Myer, “Technology independent non quasistatic FET models by direct construction from automatically characterized device data,” in 21st Eur. Microw. Conf., Stuttgart, Germany, Sep. 1991, pp. 927–932. [14] I. Schmale and G. Kompa, “An improved physics-based nonquasistatic FET-model,” in 27th Eur. Microw. Conf., 1997, pp. 328–330. [15] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1157, Jul. 1988. [16] A. T. Yang, C. H. Chan, J. T. Yao, R. R. Daniels, and J. P. Harrang, “Modeling and simulation of high-frequency integrated circuits based on scattering parameters,” in 28th ACM IEEE Design Automat. Conf., San Francisco, CA, Jun. 1991, pp. 752–757. [17] R. R. Daniels, A. T. Yang, and J. P. Harrang, “A universal large/small signal 3-terminal FET model using a nonquasi-static charge based approach,” IEEE Trans. Electron Devices., vol. 40, no. 10, pp. 1723–1729, Oct. 1993. [18] E. S. Mengistu and G. Kompa, “A large signal model of GaN HEMTs for linear high power amplifier design,” in 26th Eur. Microw. Conf., Oct. 1994, pp. 854–859.

[19] A. Orzati, D. Schreus, L. Pergola, H. Benedickter, F. Robin, O. J. Homan, and W. Bachtold, “A 110-GHz large-signal lookup-table model for Inp HEMTs including impact ionization effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 468–474, Feb. 2003. [20] V. Cuoco, M. P. v. d. Heijden, and L. C. N. de Vreede, “The ‘Smoothie’ data base model for the correct modeling of non-linear distortion in FET devices,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, pp. 2149–2152. [21] P. M. White and R. M. Healy, “Improved equivalent circuit for determination of MESFET and HEMT parasitic capacitances form ‘ColdFET’ measurements,” IEEE Microw. Guided Wave Lett., vol. 3, no. 12, pp. 453–454, Dec. 1993. [22] Y. L. Lai and K. H. Hsu, “A new pinch-off cold-FET method to determine parasitic capacitances of FET equivalent circuits,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1410–1418, Aug. 2001. [23] B. L. Ooi and J. Y. Ma, “Consistent and reliable MESFET parasitic capacitance extraction method,” Proc. Inst. Elect. Eng.–Microw., Antennas, Propag., vol. 151, no. 1, pp. 81–84, Feb. 2004. [24] M. Thorsell, K. Andersson, H. Hjelmgren, and N. Rorsman, “Electrothermal access resistance model for GaN-based HEMTs,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 466–472, Feb. 2011. [25] D. W. DiSanto and C. R. Bolognesi, “At-bias extraction of access parasitic resistances in AlGaN/GaN HEMTs: Impact on device linearity and channel electron velocity,” IEEE Trans. Electron Devices, vol. 53, no. 12, pp. 2914–2919, Dec. 2006. [26] S. Manohar, A. Pham, and N. Evers, “Direct determination of the biasdependent series parasitic elements in SiC MESFETs,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 597–600, Feb. 2003. and in [27] P. H. Ladbrooke, A. L. Hill, and J. P. Bridge, “Negative GaAs FET and HEMT equivalent circuits,” Electron. Lett., vol. 26, no. 10, pp. 680–682, May 1990. [28] G. Zucchelli, A. Santarelli, A. Raffo, G. V. Annini, and F. Filicori, “Influence of dispersive effects on large-signal models based on differential parameter integration,” in Eur. Gallium Arsenide and Other Compound Semiconduct. Appl. Symp. Dig., 2003, pp. 349–352. [29] A. Raffo, V. Vadala, D. M. M.-P. Schreurs, G. Crupi, G. Avolio, A. Caddemi, and G. Vannini, “Nonlinear dispersive modeling of electron devices oriented to GaN power amplifier design,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 710–718, Apr. 2010. [30] F. Filicori, G. Vannini, A. Santarelli, A. M. Sanchez, A. Tazon, and Y. Newport, “Empirical modeling of low-frequency dispersive effects due to traps and thermal phenomena in III–V FET’s,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2972–2981, Dec. 1995. [31] G. Kompa, “Modelling of dispersive microwave FET devices using a quasi-static approach,” Int. J. RF Microw. Comput.-Aided Eng., vol. 5, pp. 173–194, 1995. Yunshen Long received the B. Eng degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2010, and is currently working toward the Ph.D. degree from the National University of Singapore (NUS), Singapore. His research interests include microwave semiconductor devices, subsystem modeling, and MMIC design.

Yong-Xin Guo (SM’05) joined the Department of Electrical and Computer Engineering, National University of Singapore (NUS), Singapore, as an Assistant Professor in February 2009. From September 2001 to January 2009, he was with the Institute for Infocomm Research, Singapore, as a Research Scientist. He has authored or coauthored 110 international journal papers and 120 international conference papers. Thus, far, his publications have been cited by others over 930 times and his H-index is 19 (source: Scopus). His current research interests include MMIC modeling and design, antennas for wireless communications and biomedical applications, RF energy harvesting and wireless power. Dr. Guo is the general chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Workshop Series 2013 (IMWS2013) on “RF and Wireless Technologies for Biomedical and

LONG et al.: 3-D TABLE-BASED METHOD FOR NON-QUASI-STATIC MICROWAVE FET DEVICES MODELING

Healthcare Applications,” Singapore, and was a Technical Program Committee cochair for the IEEE International Symposium on Radio Frequency Integration Technology (RFIT2009). He has been on the Editorial Boards of the International Journal of RF and Microwave Computer-Aided Engineering and the International Journal of Microwave Science and Technology. He was a recipient of the 2009 Young Investigator Award, National University of Singapore. His Ph.D. student was the recipient of the Best Student Paper Award of the 2010 International Conference on Microwave and Millimetre Wave Technology (ICMMT2010), Chengdu, China.

3095

Zheng Zhong (S’08–M’12) received the B.Eng. and M.E. degrees from the University of Science and Technology of China (USTC), Hefei, China, in 2003 and 2006, respectively, and the Ph.D. degree in microwave engineering from the National University of Singapore (NUS), Singapore, in 2010. Since 2010, he has been a Research Fellow with the Department of Electrical and Computer Engineering, NUS. His main research interests include RF/microwave semiconductor devices modeling and characterization, microwave and millimeter-wave microwave integrated circuit (MIC)/MMIC circuits design, and RF energy harvesting.

3096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A High-Reliability High-Linearity High-Power RF MEMS Metal-Contact Switch for DC–40-GHz Applications Chirag D. Patel, Student Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents an mN-level contact and restoring force RF microelectromechanical systems metal-contact switch exhibiting high reliability, high linearity, and high power handing for dc–40-GHz applications. The device, which is insensitive to stress and temperature effects, achieves 1.2–1.5 mN of contact force (per contact) from 80 to 90 V and 1.0 mN of restoring force (per contact). The up-state capacitance is 8 fF, resulting in an isolation of 46, 31, and 14 dB at 1, 6, and 40 GHz, respectively. Measured results show switch resistances of 1–2 and a reliability of 100 million cycles at 2–5 W under cold switching at 100 mW under hot-switching conditions, in an unpackaged and standard laboratory environment. Furthermore, the device was tested under prolonged hold-down conditions and demonstrated excellent RF power handling ( 10 W) and dc current handling ( 1 A) capability. Index Terms—Contact switch, electrostatic relay, gradient insensitive, hard metal contact, high contact force, RF microelectromechanical systems (MEMS), stress insensitive.

I. INTRODUCTION

R

F microelectromechanical systems (MEMS) metal-contact switches are an emerging class of relays that are receiving increased attention for defence and industrial applications, such as wideband switching matrices, electronic test equipment, medical devices, and satellite systems [1]–[7]. These devices possess many advantages over conventional electromagnetic relays, such as size, power consumption, and, most importantly, reliability. The performance of a metal-contact RF MEMS switch is primarily determined by the tribology of the contact area. The size of the contact spot and integrity of the contact material determine most of the main parameters of interest—the switch resistance and insertion loss, power handling, and reliability [2],

Manuscript received April 10, 2012; revised July 25, 2012; accepted July 26, 2012. Date of publication August 31, 2012; date of current version September 27, 2012. This work was supported by the Defense Advanced Research Projects Agency (DARPA) N/MEMS S&T Fundamentals Program under Grant N66001-10-1-4006, issued by the Space and Naval Warfare Systems Center—Pacific (SPAWAR) This work is an expanded paper from the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium, Montreal, QC, Canada, June 17–22, 2012. C. D. Patel was with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA (email: [email protected]). G. M. Rebeiz is with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (email: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2211888

[8]. Increasing the static contact force to mN levels results in a larger contact spot size and improves the performance of the switch in all of these areas. However, increasing the transient impact force of the switch (and thus, the impact energy) reduces the integrity of the contact material and can adversely affect the switch performance [9]. Furthermore, the increase in adhesion between the metal contacts due to the mN-level contact force requires an mN-level restoring force to break the contact. The resulting devices have large lateral dimensions compared to the electrode gap 100 [10], [11], rendering them susceptible to out-of-plane deflections due to stress effects and temperature. A well-designed device must balance all of these requirements satisfactorily. There are several examples of low and high contact force designs in the literature [12]–[17], as well as two which are commercially available [18], [19]. In this paper, we present the design, analysis, fabrication, and measurement of an RF MEMS metal contact switch with high reliability, linearity, and power handing capable of dc–40-GHz operation [1]. The device, which is fabricated using an all-metal surface micromachining process, is stable versus stress effects and temperature, and exhibits robust performance under prolonged operation. This study expands on [1] by providing more detailed design and analysis technique, as well as greatly extended measurement results. II. DESIGN AND ANALYSIS A. Device Design and Operation The design of the metal contact switch is based on an inGPa, verted crab topology—a 10- m-thick gold plate ( for pure electroplated gold [20]) supported by four curved springs (Fig. 1). The plate is 150 150 m , while the entire switch occupies an area of 250 250 m . The actuator is electrostatically driven. The pull-down electrode occupies the entire area underneath the plate including the springs so as to maximize the actuation force. No dielectric layer is used to separate the plate from the pull-down electrode. Instead, stopper dimples are employed to prevent the plate and pull-down electrode from touching. The topology was chosen due to the fact that mass-spring designs tend to exhibit less sensitivity to temperature and biaxial stress than fixed-fixed designs, and less sensitivity to stress gradients than free cantilever designs. In this study, the springs are short and very stiff in order to provide the necessary release force. This allows them to be folded inward toward each other to minimize area and to further minimize sensitivity to biaxial

0018-9480/$31.00 © 2012 IEEE

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

3097

Fig. 2. Simulated contact and restoring force per contact versus actuation – m. voltage for dimple height,

Fig. 1. Top view and cross section of the metal-contact switch ( -axis not to scale) [1]. All dimensions are in m. Fig. 3. Von Mises stress induced at anchors due to a contact force of 1.5 mN (90-V actuation voltage).

stress and temperature (since the springs do not oppose each other or the plate). The contact metallurgy was chosen to be layered Ru on Au for the bottom contact and pure Au for the top contact. This was chosen to maintain a low contact resistance and high reliability (when clean), while reducing contact adhesion and wear at mN-level contact forces [21]. Finite-element method (FEM) simulations [22] show that at least 68 V is required across the plate and pull-down electrode to form a contact. The actuation and release spring constants are simulated to be N/m and N/m, respectively. The simulated contact and restoring force versus voltage is shown in Fig. 2 for a 50-nm variation of the dimple height, (0.3- m nominal). At actuation voltages of 80–90 V, the contact force is 1.2–1.5 mN per contact and the restoring force is simulated to be 1.0 mN per contact. For – m and 90-V actuation, the contact force varies from 1.1 to 2.2 mN per contact and the restoring force varies from 0.9 to 1.1 mN. A dimple height of m was chosen to balance the contact force and switch robustness. Nominally, the RF contact will be formed before the isolated stopper dimples touch their landing pads—however, it will be shown in Section II-B that for some stress conditions the stoppers do touch the isolated landing pads before RF contact is formed. In this case, the restoring force is increased with only a slight decrease in contact force due to a corresponding increase in actuation force from the profile of the bending of the plate. Simulations also show that the device does not fail until the actuation voltage is larger than 120 V. Furthermore, uneven heights of 50 nm in the stopper dimples and contact dimples at the two RF ports can be tolerated with the worst

case contact having a contact force of 1.0 mN for an actuation voltage of 90 V. The anchor design has a significant effect on the induced stress on the beam during actuation. This, in turn, affects the reliability of the device under prolonged actuation conditions since material properties will drift over time under excessive levels of stress due to viscoelasticity and creep [23], [24]. The curvature of the anchor was designed (using numerical FEM techniques) to minimize the induced stress during device operation. Fig. 3 shows the simulated Von Mises stress for an actuation voltage of 90 V (1.5 mN of contact force). The maximum stress is 60 MPa located a short distance away from the anchor, where the springs undergo torsion, as well as bending effects. B. Stress and Temperature Effects Stress gradients, residual biaxial stresses, and temperature can significantly affect the performance of RF MEMS switches—particularly at dimensions greater than 100 m [11]. The deflection of the contact due to a stress gradient of MPa/ m is 20 nm [1]. In addition, the center of the switch deflects 150 nm and the tip of the switch deflects 230 nm. Fig. 4 presents the simulated pull-in and release voltage versus stress gradient. The pull-in voltage varies from 64 to 80 V and the release voltage varies from 55 to 74 V over a range of MPa/ m, with a nominal pull-in voltage of 68 V and a nominal release voltage of 60 V. Note that the release voltage simulations were performed assuming no adhesion force on the contacts. Fig. 5 presents the FEM simulated contact and restoring force versus stress gradient for actuation

3098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Pull-in and release voltage versus stress gradient.

Fig. 6. Simulated contact and restoring force per contact versus dimple height for various stress gradients (90-V actuation).

Fig. 5. Simulated contact and restoring force per contact versus stress gradient. Data is partially from [1].

voltages from 80 to 100 V. For , the stopper dimples at the peak edge touch the landing pads before the RF contact is formed. As seen in the figure, this increases the restoring force and slightly reduces the contact force. For , the stopper dimples at the plate center touch the landing pads before the RF contact is formed, and therefore, absorb more of the contact force—however, the restoring force is not increased since the stoppers still lift off first when the device is released. For any stress gradient in the range MPa/ m, the minimum contact and restoring force is 1 mN for an actuation voltage of 90 V. Fig. 6 presents the contact and restoring force per contact versus the dimple height, , for MPa/ m for 90-V actuation. A dimple height of m provides the least variation in performance versus stress gradient. Still, the switch is robust for all stress gradients between 2 MPa/ m for – m. For , there is very little variation in restoring force, however there is a jump in restoring force for , due to the stoppers at the peak edge landing before the RF contacts. The device exhibits virtually no deflection versus residual biaxial stress and temperature due to the choice of topology and anchor design. For a residual biaxial stress, , of 200 MPa, the contact deflects 43 nm; for a temperature increase, , of 100 C, the contact deflects 26 nm [1]. Table I presents

Fig. 7. Simulated contact and restoring force per contact versus biaxial stress.

a comparison between anchors designed with and without curvature. In addition to reducing the induced Von Mises stress upon actuation, the curved anchors improve the deflection of the contact due to stress and temperature effects. Fig. 7 presents the simulated contact and restoring force versus biaxial stress. For a biaxial stress of 200 MPa, the contact force changes by 250 N (90-V actuation). The restoring force changes by less than 100 N. This corresponds to a change of 16.7% and 6.7% for the contact and restoring force, respectively. Fig. 8 shows the contact and restoring force per contact versus dimple height for MPa (90-V actuation). Regardless of the dimple height, the contact and restoring force does not vary considerably due to biaxial stress. Fig. 9 shows the simulated contact and restoring force versus temperature. For a 100 C temperature change, the contact force changes by only 100 N (6.7%) for 90-V actuation and the restoring force changes by only 50 N (3.3%). Over this range, the simulated pull-in voltage changes by only 1 V. For temperatures greater than 25 C, no portion of the actuator has a negative deflection (the entire actuator deflects away from the actuation

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

TABLE I EFFECT OF ANCHOR DESIGN ON SWITCH PERFORMANCE

3099

C. RF Power-Handling Analysis For metal-contact RF MEMS switches, the RF power handling is typically limited by a localized temperature rise in the contact spot causing stiction. A condition for stiction of metal contacts is that the softening temperature of the hardest contact material must be reached [8]. The increase in temperature is caused by joule heating due to the contact resistance. The contact resistance can be expressed sufficiently accurately as [8] (1) (2)

Fig. 8. Simulated contact and restoring force per contact versus dimple height for various biaxial stresses (90-V actuation).

where is the resistivity of the contact material, is an additional resistance due to resistive contamination, is the radius of the contact spot, is the Meyer indentation hardness of the contact material (10.1 GPa for Ru, 1.6 GPa for Au [25], [26]), and is the total contact force per contact. It is assumed that the thickness of any resistive films is sufficiently thin so as not to significantly affect the size of the contact spot. Additional resistances, such as Maxwell spreading resistance and Sharvin resistance, do not qualitatively affect the analysis [26]. The presented switch employs a hybrid contact consisting of gold for the top contact and a thin film of ruthenium (100 nm) on top of gold on the bottom contact. For a conservative analysis, the contacts are assumed to be pure ruthenium for hardness and resistance in the calculations. For clean metal contacts, the temperature of the contact is given by [8]

(3) where is the voltage across the contact, is the ambient temperature, and is the Lorenz number ( (V/K) ). An expression relating the temperature rise to the incident RF power can be derived by combining (3) with microwave circuit theory resulting in (for ) (4) (5)

Fig. 9. Simulated contact and restoring force per contact versus temperature. The coefficient of thermal expansion of the substrate is assumed to be zero for worst case behavior.

electrode and RF contacts). In the future, the switch can be implemented with a hardened gold or nickel actuator. In this case, the upwards deflection makes the switch ideal for conventional hermetic packaging techniques for RF MEMS—since these processes can expose the released MEMS structure to temperatures as high as 500 C and there is no risk of contact between the MEMS plate and the actuation electrode of RF contact.

where is the incident RF power, is the root mean square (rms) value of the RF current, and is the transmission line impedance (50 ). Fig. 10 presents the contact temperature calculated using (1)–(5) versus RF power for several different contact forces. For incident RF powers up to 20 W, even contact forces as low as 0.5 mN are enough to keep the contact temperature below 700 K, the softening point of ruthenium [25]. The expressions given by (3) and (5) are only valid for clean metal contacts because they rely on the assumption that the electric and thermal currents follow the same paths [8]. The presence of a resistive film breaks this assumption and a solution may be obtained by assuming the joule heat is fully liberated at the contact interface. The peak contact temperature for a circular con-

3100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 12. Temperature distribution due to 10 W of RF power passing through the switch with a contact resistance of 0.5 /contact and 1.5 mN of contact force. Fig. 10. Contact temperature versus RF power for clean metal contacts (Ru) with various contact forces. Data is partially from [1].

Fig. 13. Simulated contact temperature versus the thermal conductivity of the substrate for 10 W of RF power and 1.5 mN of contact force. Fig. 11. Contact temperature versus RF power for metal contacts contaminated /contact. with a resistive film resulting in a contact resistance of /contact, the temperature increase above is doubled per (7). For

tact area, generating heat uniformly over the contact interface, is given by [27] (6) (7) where (W/m ) is the heat generation in the contact and is the thermal conductivity of the contacts—which, in this case, is taken to be the thermal conductivity of gold [318 W/(m K)] since the ruthenium is very thin and does not contribute significantly to the overall thermal resistance. The equation assumes the heat is dissipated evenly between the top and bottom contact, which are approximated as semi-infinite surfaces. For this analysis, and are assumed to be independent of temperature. Fig. 11 presents the contact temperature versus RF power calculated using (6) and simulated with an FEM solver for several contact forces, assuming a contact resistance of 0.5 in each case, and assuming a silicon substrate. For the presented switch, at a contact force of 1.5 mN and a contact resistance of 0.5 due to a resistive film (1 total), the switch could handle 14– W, at which point the softening temperature of ruthenium (700 K) would be reached. If analysis is repeated assuming pure gold-gold contacts (no ruthenium), the incident RF power is limited to 8–9 W before the softening temperature of gold is reached (370 K [25]).

Fig. 12 shows the resulting simulated temperature distribution for a 1.5 mN contact under 10 W of RF power for a contact resistance of 0.5 /contact due to a resistive film. The simulation shows that the temperature increase is highly localized at the contact and also that the thermal oxide significantly inhibits the dissipation of the contact heat. The temperature rise in the gold MEMS plate is 60–70 K, while the temperature rise in the substrate, just under the contact, is only 15 K. The thermal time constant of the contact area can be estimated by [2]

(8) are the density and the specific heat capacity of where and the contact material, respectively. From (8), the localized temperature increase happens on the order of nanoseconds. This is due to the small thermal mass of the contact. Fig. 13 presents the simulated contact temperature for 10 W of RF power and for contact resistances of 0.25–1 per contact versus the thermal conductivity of the substrate. The contact temperatures are significantly higher for switches on quartz substrates, but are very similar for GaAs, Si, and AlN substrates. Fig. 14 presents the simulated contact temperature versus the thickness of the thermal oxide that separates the MEMS device from the substrate. The simulations are performed assuming a silicon substrate and 10 W of RF power with per contact. There is an increase of about 50 K in the contact temperature ( 20% increase) when comparing a device fabricated with no separating oxide and a separating oxide of 350 nm.

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

Fig. 14. Contact temperature versus the separating oxide thickness (on a silicon substrate) for 10 W of RF power, a contact resistance of 0.5 per contact, and 1.5 mN of contact force.

Fig. 15. Calculated contact position (top) and velocity (bottom) versus time under pull-down conditions for various bias voltages.

D. Contact Dynamics The dynamic behavior of the switch is useful for determining the switching characteristics of the device. The mechanical response of the switch with area, , and initial gap, , due to an applied voltage, , and modeled as a single-degree-offreedom system is given by [2]

3101

Fig. 16. Calculated bounce characteristics for 90-V actuation assuming a purely elastic contact and no adhesion (worst case behavior). Initial contact is s. at time

namics). The switch makes contact when the contact position is 0.3 m, which is equivalent to the height of the contact dimple . For actuation voltages of 80, 90, and 100 V, the switching time is calculated to be 13.2, 7.7, and 5.5 s. While the contact force can be increased by reducing the contact and stopper dimple height, it can be seen that the switch velocity greatly increases when the contact position is 0.3 m, leading to increased contact bounce, which can affect the switch reliability [29]. The contact bounce characteristics were calculated (to a first order) by solving (9) with the initial conditions and at time , where is the impact velocity. The rebound coefficient, , is 1 for the worst case behavior of a purely elastic contact. Furthermore, for the bounce analysis, when , and (10) was used otherwise. Fig. 16 presents the calculated rebound versus time for contact dimple heights of and m, and a purely elastic contacts. Due to the low velocity at impact with m, there is very little rebound. However, with m, the rebound is significantly higher due to the sudden increase in impact velocity. After the first bounce, subsequent bouncing is minimal due to the reduced contact velocity arising from the increase in damping. Any plastic deformation at the contact will reduce the rebound coefficient, and thus, the rebound height [8], but this is not considered here. Furthermore, this analysis only considers the effect of the impact characteristics on the contact bounce behavior—a thorough analysis can be found in [29]. The impact force is another transient factor that is of concern in the switch reliability due to the induced hardening and dislocations in the crystalline structure of the metal contact [2], [8]. The impact force is given by

(9) (10) where is the spring constant under actuation conditions (6000 N/m) and is the effective switching mass (6.25 g), determined using the principle of equivalence of kinetic energy [28]. The damping, , as a function of beam deflection is given by (10), where is the viscosity of air ( Pa s). Fig. 15 presents the contact position and velocity versus time calculated using (9) and (10) due to an applied actuation step with a rise time of 1 ns (much faster than the actuator dy-

(11) where is the impact velocity at the contact, and is the impact time—i.e., the time over which the velocity of the contact goes from to 0 m/s. The worst case behavior occurs for the case of a purely elastic contact (when the impact time is the shortest) and is given by [8]

(12)

3102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 17. Calculated impact force versus actuation voltage assuming a purely elastic contact for Ru–Ru and Au–Au contacts.

Fig. 19. (a) Origin of lumped-element components. (b) Lumped-element model of the RF MEMS contact switch.

Fig. 18. Calculated contact position and capacitance versus time under release conditions.

TABLE II LUMPED-ELEMENT MODEL PARAMETERS

(13) where is the radius of curvature of a sphere that makes a contact spot of radius, , under a contact force, . and are the Young’s modulus and Poisson’s ratio of the contact material, respectively. Fig. 17 presents the impact force versus applied bias voltage for a switch implemented with pure ruthenium ( GPa, ) and pure gold ( GPa, ) contacts and assuming a purely elastic contact. The results show that for a contact dimple height of m, the impact force is less than 1 mN. However, for a contact dimple height of 0.25 m, the impact force is 2–4 mN (90-V actuation). Again, this is due to the sudden increase in the velocity of the contact. The release behavior can be calculated using (9) and N/m (release conditions), , and initial conditions and m at . The contact position and capacitance response of the switch versus time is shown in Fig. 18 and the release time is estimated to be 3 s. Modal analysis shows that the first two vibration modes of the switch are at 162 and 183 kHz [1]. The mechanical of the modes, given by , are 1.11 and 1.21, respectively, where , , and are the effective mass, angular resonant frequency, and damping of the th mode. E. Electromagnetic Analysis Fig. 19 presents the physical structures comprising the switch from an electromagnetic perspective, and the equivalent circuit model. The lumped-element values are presented in Table II

for both high-resistivity silicon and quartz substrates. The elements and arise from the transmission line formed by the MEMS actuator, and , , and arise from the actuation electrode and bias network. Since and are large, the bias network has a negligible effect on the RF performance of the switch [2]. The series capacitance between the signal line and the MEMS actuator, , is composed of a parallel-plate component, , and a coupled component, . is the parallel-plate capacitance formed between the signal feed and the MEMS plate (5 fF). The coupled component is the capacitance from the signal feed to the MEMS plate that is coupled through the substrate and , the capacitance between the MEMS plate and the actuation electrode. varies depending on the substrate permittivity. For silicon , is 19 fF. For quartz , is 7 fF. The devices are implemented in a coplanar waveguide (CPW) configuration ( m). Fig. 20 compares the isolation and insertion loss of the switches when implemented on 2500cm silicon and quartz substrates and assuming a

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

3103

Fig. 20. Simulated -parameters on high-resistivity silicon and quartz. Switch , taken to be 1 total (0.5 /contact). resistance,

Fig. 22. (a) Microphotograph of fabricated device and bottom electrode [1]. (b) SEM image. All dimensions are in m.

Fig. 21. Fabrication sequence of the RF MEMS metal contact switch.

contact resistance of 0.5 per contact [30]. The equivalent up-state capacitance, , is 8 and 3 fF on silicon and quartz, respectively. As expected, the switches exhibit lower insertion loss on quartz as well. Thus, for low power applications, quartz is a superior substrate material. III. FABRICATION The metal-contact switch was fabricated on 400- m-thick 2500- cm high-resistivity silicon substrate with 2500-Å-thick layer of thermally grown oxide using a variation of the University of California at San Diego (UCSD) metal contact switch process [11]. First, a 0.15- m-thick layer of SiCr is deposited and patterned to form the bias resistors. Next, a 0.3- m-thick layer of gold is deposited and patterned to define the actuation electrode, signal feed, and CPW ground planes [see Fig. 21(a)]. The ruthenium contact (100 nm) is then deposited and patterned to define the bottom contact pads [see Fig. 21(b)]. Silicon nitride is then deposited patterned to serve as protection for the bias lines. Next, the sacrificial bilayer is deposited and patterned to define the anchors. The sacrificial bilayer is composed of a 0.55- m-thick layer of PMMA underneath a 0.3- m-thick layer of PMGI. The contact and stopper dimples are then formed in the layer of PMGI [see Fig. 21(c)]. Next, a gold seed layer is deposited and a 10- m-thick electroplating mold is formed out of photoresist [see Fig. 21(d)]. The wafer is then electroplated with 8 m of gold to form the CPW lines and the MEMS actuator. The mold is then removed and another 4- m-thick mold is formed out of photoresist [see Fig. 21(e)]. The last 2 m of gold

are electroplated, and the mold, seed, and sacrificial layers are removed and the device is released in a critical point dryer [see Fig. 21(f)]. The device is plated in two steps in order to simplify the process to form the electroplating mold and to facilitate cofabrication with designs of different thicknesses. Fig. 22 shows a microphotograph of a fabricated device both with and without the electroplating steps. Deflections of fixed-free cantilever test structures, measured with a white light interferometer before and after release, revealed that the -directed stress gradient, , varied from 0 to 3 MPa/ m across the wafer [1]. This corresponds to upward deflections of up to 1.5 m, for cantilevers that are 250 m in length. The RF MEMS metal contact switches exhibited no measurable deflection (Fig. 23). IV. MEASUREMENTS All measurements were performed on a probe station in a standard laboratory environment without atmosphere or pressure control. However, there was a low-pressure nitrogen flow to reduce the effects of humidity. At room temperature, the pull-in and release voltages varied from 67 to 74 and 65 to 73 V, respectively, for tens of devices. This variation is due to the nonuniformity in the electroplating thickness across the wafer. A. Temperature Measurements The metal contact RF MEMS switch exhibits no measurable deflection from 25 C to 105 C (Fig. 23). This results in a robust device versus temperature, as well as relaxed packaging requirements. On the other hand, a 150- m-long fixedfree cantilever deflects 250 nm over the same temperature range—demonstrating the effect of the device topology on the thermo-mechanical behavior.

3104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 25. Measured switch resistance versus voltage for several devices.

Fig. 23. Measured profile of the device at 25 C and 105 C (top) and close-up view of plate (bottom).

Fig. 26. Measured switch resistance versus dc current. The switches were fully operational after passing 1 A of dc current.

Fig. 24. Measured pull-in and release voltages versus temperature [1].

Fig. 24 shows a typical pull-in and release voltage measurement versus temperature. For temperatures from 25 C to 125 C, the measured pull-in voltage is 71–77 V (unipolar voltage) [1]. The release voltage is 71 V at 25 C and increases to 75 V at 105 C before decreasing at higher temperatures. This may be due to the increased adhesion of the gold contact as the temperatures rise past the softening point of gold. FEM simulations predict no significant change in the pull-in voltage and the profile measurements show no significant deformations due to temperature so the increase in pull-in voltage is likely due to temperature-dependent substrate charging. B. DC Measurements The switch resistance versus voltage was measured using a four-wire method. Typical resistances are shown in Fig. 25 for several devices. The switch resistance varied from 2.5 to 0.8 for voltages of 75–100 V, with a typical resistance of 1–2 at 90-V actuation voltage. However, several switches exhibited

switch resistances as low as 0.7 . It is seen that the switch resistance is entirely dominated by contaminants. Furthermore, the dc current handling capability of the device was measured to be 1 A (Fig. 26). The resistance changes versus dc current because the temperature rise in the contact affects both the resistivity of the material and the size of the contact asperity. Field emission currents between the actuation electrode and MEMS plate were measured with a Keithley 2400-C source meter. The device was actuated continuously for 1 h (at 25 C and 85 C) and the actuation current was measured 20 times per second (Fig. 27). It is hypothesized that the current spikes are microplasma driven discharges that erode sharp asperities on the electrode and the underside of the MEMS plate [31]. At higher temperatures, the current spikes are more significant (up to 50 nA) and correspond to an increase in emission behavior. Still, this current is intermittent and has no effect on the switch performance. C. RF Measurements Fig. 28 presents the measured -parameters of the switch along with the response predicted by the simplified circuit models shown in the figure for both the open- and closed-state [1]. The measurements were taken from 0.1 to 40 GHz, and are in good agreement with the simulations from Section II-E and the simplified models shown in the figure. In the open-state, the measured isolation is equivalent to an 8-fF capacitance, resulting in 20 dB of isolation at 20 GHz. In the closed-state (with an actuation voltage of 90 V), the fitted switch resistance

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

3105

Fig. 27. Emission current for 90-V actuation at 25 C and 85 C. The atmosphere was a standard laboratory environment with flowing nitrogen.

for this measurement is 1.6 , and the switch is very well matched to 40 GHz ( dB). The linearity characteristics of the devices were measured at a center frequency of 1.96 GHz. Fig. 29(a) presents the test setup for a two-tone IIP2 and IIP3 measurement. The two tones were 25-MHz offset from the center frequency (50 MHz apart), and the isolators were well matched up to the third harmonic. It was found that the third-order intermodulation intercept point (IIP3) of the device is 69 dBm and the second-order intermodulation intercept point (IIP2) is 110 dBm [see Fig. 29(b)] and is limited by the passive intermodulation that arises from the interface between the probe tips and CPW metallization and the substrate (for which the IIP3 and IIP2 were measured to be 70 and 115 dBm, respectively, on a thru line). The measurements were taken at several input power levels from 17 to 25 dBm. Fig. 30(a) presents the test setup for measuring the harmonic power of the second and third harmonics and the measured results. In order to demonstrate the high-linearity nature of the metal-contact switch, a 2-W WCDMA signal was passed through the switch and a thru line. The output of the two were compared and showed no difference whatsoever [see Fig. 30(b)]. D. Mechanical Measurements The switching time was measured to be 10.6-5.1 s for actuation voltages of 75–100 V, and the release time was measured to be 5.0 s. The mechanical frequency response was also measured. Respectively, the actuation signal and electrostatic force for this test are

(14)

Fig. 28. (a) Measured and fitted -parameters with simplified circuit models from 0.1 to 40 GHz. (b) Expanded view of isolation and insertion loss from 0.1 to 6 GHz [1].

(15) is the dc-bias voltage around which there is an ac swing, , at angular frequency, . is the bias capacitance from Section II-E. Furthermore, a low-power RF signal is being fed into the device at GHz. The parallel-plate component of the up-state capacitance, , is modulated by the electrostatic force at the frequency of the actuation signal, resulting in the actuation signal being AM modulated with the RF signal. The magnitude of the tones modulated around the RF carrier correspond to the mechanical response of the switch for the actuation frequency [2]. The dc voltage was 50 V and the ac low-frequency voltage was 12 V. The measured response is presented in Fig. 31. The resonant modes predicted by the FEM are also shown in the figure. The measurement agrees well with simulation. The first two dominant modes are measured to be at

3106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 31. Test setup and measured mechanical response of the RF MEMS metal contact switch, along with resonant modes predicted by the FEM.

Fig. 29. (a) Linearity test setup. (b) Measured IIP3 (top) and IIP2 (bottom).

170 and 185 kHz and with fitted mechanical values of 1.08 and 1.06, respectively. There is a slight decrease in the response prior to the first resonant mode due to the fact that the resonant frequency of the device is much greater than the squeeze-film cutoff frequency given by [2], [32] (16) (17) is the ambient pressure, is the where is the nominal gap, viscosity of the gas, is the characteristic length of the plate, and is the aspect ratio of the movable plate. For a square plate, the cutoff squeeze number is . For this device, at standard temperature and pressure, the squeeze-film cutoff frequency is 46 kHz. E. Reliability, Creep, and Power Handling

Fig. 30. (a) Harmonics test setup and measured results. (b) WCDMA signal at the output of a switch and a thru line (channel power of 2 W).

The reliability, creep, and power-handling measurements were performed with the test setup shown in Fig. 32. For the reliability test, the switch was cycled at 10 kHz with various levels of RF power at 500 MHz. Note that the RF frequency is not of particular importance for reliability or power handling of a metal-contact switch, and was chosen based on equipment availability. Periodically, the switch resistance was measured with a four-wire measurement to confirm that the switch was still operational (no stiction and ). Fig. 33 presents the measured reliability results for several devices cold switched at 2–5 W and hot switched at 100–500 mW at 25 C and 85 C (2 W, 25 C data from [1]). The results show that for an incident RF power of 5 W, the reliability is 100-M cycles at 25 C and 10-M cycles at 85 C. At 2 W, the reliability was measured at 200-M cycles at both temperatures. Finally, at 100-mW hot switched, the reliability was measured to be 100 M at 25 C and 1 B at 85 C; and at 500-mW hot switched, the reliability is 1-M cycles. The increase in reliability at higher temperatures, in this particular case, may be due to the decomposition of certain contaminants at elevated temperatures. Note that in

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

3107

Fig. 32. Test setup for creep, reliability, and power-handling measurements. Fig. 35. Measured -parameters before and after passing 10 W of RF power continuously for 1 h (90-V actuation) [1].

Fig. 33. Reliability of the metal contact switch for 0.1–5 W of RF power and 90-V actuation at 25 C (top) and 85 C (bottom). All devices failed as open.

Fig. 36. Measured pull-in voltage for several devices under prolonged actuation conditions at 25 C and 85 C.

Fig. 34. Reliability of the metal contact switch for 10–25 W of RF power and 90-V actuation at 25 C. All devices failed as closed.

the 100-mW hot-switched case, the contacts were subject to periodic electrical cleaning—in the other cases, the incident power levels were sufficient to self-clean the contacts. Fig. 34 presents the measured reliability data for several devices under a cold-switched incident RF power of 10–25 W at 25 C. The results show a reliability of 10-M cycles at

Fig. 37. Measured switch resistance (top) and pull-in voltage (bottom) under prolonged hold-down conditions (unipolar actuation) and passing 2 W of RF power at 2 GHz.

10–20 W of incident RF power, and 1-M cycles for an incident power of 25 W. At 85 C, the devices failed at 10-k cycles for

3108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 42. SEM images of failed contacts after 20-M cycling operations. (a) 10-W cold switched. (b) 500-mW hot switched.

Fig. 38. SEM image of the bottom contact area of the switch implemented with an Ru/Au contact. All dimensions are in m.

Fig. 39. Contact temperature versus RF power for clean metal contacts with various contact forces, and current divided evenly into two contacts.

Fig. 40. Contact temperature versus RF power for metal contacts contaminated /contact, with a resistive film resulting in a contact resistance of with current spit evenly between two contacts.

Fig. 43. (a) Microphotograph of SP4T switching network. (b) Measured -parameters. All dimensions are in m.

Fig. 41. SEM images of functional contacts after 1-M cycling operations. (a) 10-W cold switched. (b) 500-mW hot switched.

these power levels. All experiments were performed without a hermetic package.

SEM scans of the contact area of a failed device after 500 million cycles at 2 W show that the failure in the device was due to excessive temperature increase arising from the build up of contaminants (which is expected due to the increase in the contact resistance). Thus, the lifetime of the switch is readily extendable with the implementation of a hermetic package [33], [34].

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

3109

a 24-h hold-down period at room temperature, there is very little drift after the initial break-in. This suggests that the creep and charging mechanisms tend to offset each other in this particular case. In order to demonstrate the robustness of the switch under prolonged hold-down measurements, the device was held actuated continuously for 12 days while passing 2 W of RF power at 2 GHz. The resistance and pull-in voltage were measured once a day, and this was the only time the actuation voltage was removed ( 2 min/day). The results are shown in Fig. 37. The device was still fully operational after the test, and showed no signs of degradation. F. Contact Area Fig. 38 presents SEM images of a typical contact area of a device actuated with a bias voltage of 90 V and an Au/Ru contact. The equivalent contact radius of all of the spots taken together is m. The theoretical spot size calculated with (2) results in a spot size of m for a pure ruthenium contact and m for a pure gold contact for a contact force of 1.5 mN. V. CONCLUSION An RF MEMS switch exhibiting high reliability ( 100 million cycles), high linearity, and high power handling ( 10 W) has been demonstrated. The switch uses an inverted crab topology to achieve mN-level contact and restoring forces, and is able to maintain mN-level forces over a variety of stress effects and temperature ranges. The switch has been shown to be reliable under prolonged switching and hold-down conditions. With packaging, the reliability and power handling would be easily extendable without any change in the contact metallurgy.

APPENDIX A MULTICONTACT POWER-HANDLING ANALYSIS Fig. 44. (a) Microphotograph of SP4T switching network. (b) Measured -parameters. All dimensions are in m.

Fig. 35 presents the measured -parameters of the switch before and after handling 10 W of RF power for 1 h (no cycling, continuous actuation) [1]. The results show that the switch is robust for an extended period of time under high-power conditions. The device was also tested under prolonged hold-down conditions to test resistance to creep. For these tests, the four-wire setup in Fig. 32 is removed, and the dc port of the bias-T is grounded. For the creep test, the device was held down continuously for 24 h, and the pull-in voltage was measured every 5 min (with a pull-in measurement taking 15 s). The results are shown in Fig. 36 for several devices at 25 C and 85 C. The pull-in voltage drifts 3–4 V over the 24-h period at 25 C. At 85 C the, the pull-in voltage drifts by about 12 V, but the measurement starts to fluctuate greatly after 16 h due to substrate charging. However, when the device is pre-stressed with

Often, metal-contact switches are designed with two or more contacts in parallel. In addition to the effects on the switch mechanics, the use of multiple independent contacts has a significant effect on power handling and contact resistance of the switch. If the total contact force, , is spread evenly over independent contacts (which greatly depends on the switch design), then (2) becomes (18) , while Referring to (1), each contact has a resistance, the entire -tuple contact resistance, —resulting a reduced switch resistance. Assuming the current is evenly split between the independent contacts, each contact will dissipate less power and generate less heat due to the reduced current per contact. Thus, (5) becomes

(19)

3110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

for clean contacts. For contacts contaminated with resistive films, (7) becomes

(20) is calculated using (1). It is important to note that where each contact may heat the others if they are physically close to each other, and this will increase the ambient temperature, . For clean metal contacts, , while for contaminated contacts where the resistance is not primarily determined by the size of the contact spot, . Fig. 39 presents the contact temperature versus RF power using (19) for an even division of contact force and RF current between two contacts. Fig. 40 presents the contact temperature versus RF power, calculating using (6) and (20), and simulated with a FEM for an even division of contact force and RF current between two contacts. The total contact force is 1.5 mN (0.75 mN per contact) and the contact resistance is assumed to be 0.5 per contact ( as well for this design). Compared to the results in Section II-C, it is readily apparent that increasing the number of contacts is very effective in reducing the contact temperature even with a lower contact force per contact. However, it is still a challenge to maintain even force and current distribution across many contacts versus process variation and frequency—especially for . For uneven force and current distribution per contact, the benefit of the additional contacts is reduced, but they can still introduce additional points of failure. Therefore, the use of multiple contacts should only be implemented for designs that can mechanically guarantee an equal contact force per contact and electrically guarantee even current splitting per contact.

APPENDIX B CONTACT AREA UNDER HIGH-POWER AND HOT-SWITCHING OPERATION The contact area was presented in Section IV-F under lowpower/cold-switched conditions, where the effects of temperature and arcing are insignificant. Under these conditions, the contact area behaves as a classical contact described by (2). However, under high-power operation, the elevated temperatures will increase the contact area due to an increase in the viscoelasticity of the materials. Under hot-switching operations, arcing will cause material transfer and significantly damage the contact. Fig. 41 shows functional contacts after 1-M cycles operating at 10 W under cold-switched conditions and 500 mW under hot-switched conditions. Under cold-switched conditions, the m, but the real concontact area is increased to tact area is still much smaller than the contact dimple area. Only a few asperities still come into contact. Conversely, for the hot-switched case, the material in contact is removed from the contact due to arcing and over time, the entire area of the

contact dimple is damaged. The shape of the damaged area is exactly the shape of the contacting dimple. Fig. 42 presents a set of failed contacts after 20-M cycles—again at 10 W/cold switched and 500 mW/hot switched. The 10-W/cold-switched contact failed as a short circuit, while the 500 mW/hot-switched contact failed as an open circuit. In both cases, the contact has been destroyed and the underlying oxide is visible. However, in the 10-W/cold-switched case, this damage may have occurred as the contact was broken to view under the SEM. In the 500-mW/hot-switched contact, the damage is a natural progression from what was seen in Fig. 41(b), and the entire material underneath the contact dimple is removed. It is clear that at medium power levels, hot switching is far more damaging to the contacts than cold switching at even high power levels. In order to improve the reliability, a thicker bottom metallization and ruthenium contact may be employed in addition to hermetic packaging and arc-suppression techniques [35], [36].

APPENDIX C HIGH-PERFORMANCE SWITCHING NETWORKS The low loss, high power handling, high linearity, and high reliability of the metal-contact RF MEMS switch makes it ideal to implement high-performance switching networks. Fig. 43(a) presents the high-performance metal-contact switch in a singlepole four-throw (SP4T) configuration. The device has an active area of 750 720 m (1200 930 m including bias pads and CPW ground metallization). The bias lines are all routed to the top of the switching network using high-resistivity SiCr ( 5 k square) to facilitate probing or bonding. Measured -parameters are presented in Fig. 43(b). Since the device is symmetric about port 1, the performance of port 2 and port 4 referenced to port 1 is identical to port 3 and port 5, respectively. The dc resistance is 2–2.5 , and is mostly dominated by contaminants. The insertion loss is 0.5 dB up to 7 GHz and 0.8 up to 15 GHz. The SP4T provides 44 dB of isolation at 1 GHz, 30 dB of isolation at 6 GHz, and 22 dB of isolation at 15 GHz. Only two probes were used in the measurement, with the others left unterminated. Simulations show that the isolation improves by 6 dB at 6 GHz if all of the ports are terminated with 50 . Fig. 44(a) presents the high-performance metal-contact switch in a single-pole six-throw (SP6T) configuration. This configuration has an active area of 750 1020 m (1200 1250 m including bias pads and CPW ground metallization). Due to the symmetry about port 1, the port pairs (P2, P3), (P4, P5), and (P6, P7) exhibit identical performance with reference to port 1. Measured -parameters are presented in Fig. 44(b). The device achieves a dc contact resistance of 2 , again dominated by contaminants. The isolation is 44 dB at 1 GHz, 30 dB at 6 GHz, and 22 at 15 GHz. Again, only two ports are terminated for the measurement. Simulations show that the isolation improves by 6.5 dB at 6 GHz if all ports are terminated with 50 .

PATEL AND REBEIZ: HIGH-RELIABILITY HIGH-LINEARITY HIGH-POWER RF MEMS METAL-CONTACT SWITCH

REFERENCES [1] C. D. Patel and G. M. Rebeiz, “An RF-MEMS switch for high-power applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–4. [2] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. Hoboken, NJ: Wiley, 2003. [3] P. M. Zavracky, N. E. McGruer, R. H. Morrison, and D. Potter, “Microswitches and mircorelays with a view toward microwave applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, no. 4, pp. 338–347, 1999. [4] H. S. Newman, J. L. Ebel, D. Judy, and J. Maciel, “Lifetime measurements on a high-reliability RF-MEMS contact switch,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 100–102, Feb. 2008. [5] M. Sakata, Y. Komura, T. Seki, K. Kobayashi, K. Sano, and S. Horike, “Micromachined relay which utilizes single crystal silicon electrostatic actuator,” in IEEE Int. Microelectromech. Syst. Conf., Orlando, FL, 1999, pp. 21–24. [6] A. Tazzoli, G. Cellere, E. Autizi, V. Peretti, A. Paccagnella, and G. Meneghesso, “Radiation sensitivity of ohmic RF-MEMS switches for spatial applications,” in IEEE 22nd Int. Microelectromech. Syst. Conf., Sorrento, Italy, Jan. 2009, pp. 634–637. [7] P. Voskoboynik, R. D. Joos, W. E. D. , Jr., and R. B. Goldfarb, “Low magnetic moment PIN diodes for high field MRI surface coils,” Med. Phys., vol. 33, no. 12, pp. 4499–4501, 2006. [8] R. Holm, Electrical Contacts: Theory and Application, 4th ed. New York: Springer, 1967. [9] N. McGruer, G. Adams, L. Chen, Z. Guo, and Y. Du, “Mechanical, thermal, and material influences on ohmic-contact-type MEMS switch operation,” in IEEE 19th Int. Microelectromech. Syst. Conf., Istanbul, Turkey, Jan. 2006, pp. 230–233. [10] T. Seki, Y. Uno, K. Narise, T. Masuda, K. Inoue, S. Sato, F. Sato, K. Imanaka, and S. Sugiyama, “Development of a large-force low-loss metal-contact RF MEMS switch,” Sens. Actuators A, Phys., vol. 132, no. 2, pp. 683–688, 2006. [11] C. D. Patel and G. Rebeiz, “RF-MEMS metal-contact switches with mN-contact and restoring forces and low process sensitivity,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1230–1237, May 2011. [12] N. Nishijima, J.-J. Hung, and G. M. Rebeiz, “Parallel-contact metalcontact RF MEMS switches for high power applications,” in IEEE Int. Conf. Microelectromech. Syst., Maastricht, Netherlands, Jan. 2004, pp. 781–784. [13] J. Oberhammer and G. Stemme, “Low-voltage high-isolation DC-to-RF MEMS switch based on an S-shaped film actuator,” IEEE Trans. Electron Devices, vol. 51, no. 1, pp. 149–155, Jan. 2004. [14] J. Costa, T. Ivanov, J. Hammond, J. Gering, E. Glass, J. Jorgenson, D. Dening, D. Kerr, J. Reed, S. Crist, T. Mercier, S. Kim, and P. Gorisse, “Integrated MEMS switch technology on SOI-CMOS,” in IEEE SolidState Sens., Actuators, Microsyst. Workshop, Hilton Head, SC, Jun. 2008, pp. 18–21. [15] H. Sedaghat-Pisheh and G. M. Rebeiz, “Variable spring constant, high contact force RF MEMS switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 304–307. [16] C. D. Patel and G. M. Rebeiz, “A high power ( 5 W) temperature stable RF MEMS metal-contact switch with orthogonal anchors and force-enhancing stoppers,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [17] R. Stefanini, M. Chatras, P. Blondy, and G. Rebeiz, “Miniature MEMS switches for RF applications,” J. Microelectromech. Syst., vol. 20, no. 6, pp. 1324–1335, Dec. 2011. [18] “RF MEMS switch,” Omron Electron. Compon. LLC, Schaumberg, IL, 2012. [Online]. Available: www.components.omron.com [19] “Radant MEMS switch,” Radant MEMS Inc., Stow, MA, 2012. [Online]. Available: www.radantmems.com [20] C.-W. Baek, Y.-K. Kim, Y. Ahn, and Y.-H. Kim, “Measurement of the mechanical properties of electroplated gold thin films using micromachined beam structures,” Sens. Actuators A, Phys., vol. 117, no. 1, pp. 17–27, 2005. [21] L. Chen, Z. J. Guo, N. Joshi, H. Eid, G. G. Adams, and N. E. McGruer, “An improved spm-based contact tester for the study of microcontacts,” J. Micromech. Microeng., vol. 22, no. 4, 2012, Art. ID 045017.

3111

[22] Coventorware. ver. 2008, Coventor Inc., Cary, NC, 2008. [Online]. Available: www.coventor.com [23] H. Hsu, M. Koslowski, and D. Peroulis, “An experimental and theoretical investigation of creep in ultrafine crystalline nickel RF-MEMS devices,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2655–2664, Oct. 2011. [24] C. Do, M. Lishchynska, K. Delaney, P. Fitzgerald, R. Goggin, and M. Hill, “Model-based analysis of switch degradation effects during lifetime testing,” in IEEE 25th Int. Microelectromech. Syst. Conf., Paris, France, Feb. 2012, pp. 460–463. [25] A. Broue, J. Bhennin, F. Courtade, C. Dieppedal, P. Pons, X. Lafontan, and R. Plana, “Characterization of gold/gold, gold/ruthenium, and ruthenium/ruthenium ohmic contacts in MEMS switches improved by a novel methodology,” J. Micro/Nanolithithography, MEMS, MOEMS, vol. 9, no. 4, Dec. 2010, Art. ID 041102. [26] F. Ke, J. Miao, and J. Oberhammer, “A ruthenium-based multimetalcontact RF MEMS switch with a corrugated diaphragm,” J. Microelectromech. Syst., vol. 17, no. 6, pp. 1447–1459, Dec. 2008. [27] F. F. Ling, W. M. Lai, and D. A. Lucca, Fundamentals of Surface Mechanics with Applications, 2nd ed. New York: Springer, 2002. [28] S. S. Rao, Mechanical Vibrations, 3rd ed. Reading, MA: AddisonWesley, 1995. [29] Z. J. Guo, N. E. McGruer, and G. G. Adams, “Modeling, simulation and measurement of the dynamic performance of an ohmic contact, electrostatically actuated RF MEMS switch,” J. Micromech. Microeng., vol. 17, no. 9, pp. 1899–1909, 2007. [30] Sonnet. ver. 12.02, Sonnet Softw. Inc., North Syracuse, NY, 2009. [Online]. Available: www.sonnetsoftware.com [31] A. Garg, V. Ayyaswamy, A. Kovacs, A. Alexeenko, and D. Peroulis, “Direct measurement of field emission current in E-static MEMS structures,” in IEEE Int. Microelectromech. Syst. Conf., Cancun, Mexico, Jan. 2011, pp. 412–415. [32] M. Bao and H. Yang, “Squeeze film air damping in MEMS,” Sens. Actuators A, Phys., vol. 136, pp. 3–27, May 2007. [33] Q. Ma, Q. Tran, T. A. Chou, J. Heck, H. Bar, R. Kant, and V. Rao, “Metal contact reliability of RF MEMS switches,” Proc. SPIE, vol. 6463, Jan. 2007, Art. ID 646305. [34] O. Wunnicke, H. Kwinten, L. van Leuken-Peters, M. in’t Zandt, K. Reimann, V. Aravindh, H. Suy, M. Goossens, R. Wolters, W. Besling, J. van Beek, and P. Steeneken, “Small, low-ohmic RF MEMS switches with thin-film package,” in IEEE 24th Int. Microelectromech. Syst. Conf., Jan. 2011, pp. 793–796. [35] C. Keimel, G. Claydon, B. L. , J. Park, and M. Valdes, “Microelectromechanical- system (MEMS) based switches for power applications,” in IEEE Ind. Commercial Power Syst. Tech. Conf., May 2011, pp. 1–8. [36] L. Chow, J. Volakis, K. Saitou, and K. Kurabayashi, “Lifetime extension of RF MEMS direct contact switches in hot switching operations by ball grid array dimple design,” IEEE Electron Device Lett., vol. 28, no. 6, pp. 479–481, Jun. 2007.

Chirag D. Patel (S’09) received the B.S. degree in computer engineering from The University of Michigan at Ann Arbor, in 2005, and the M.S. degree in electrical engineering and Ph.D. degree from the University of California at San Diego, La Jolla, in 2009 and 2012, repectively. He is currently with Qualcomm Inc., San Diego, CA, where is involved in the design of front-end RF integrated circuits for cellular applications. From 2005 to 2007, he was with the U.S. Navy Space and Naval Warfare Systems Center—Pacific, San Diego, CA, as a member of the Advanced Circuits and Sensors Group. His research interests include RF MEMS, RF integrated circuits (RFICs), microwaves, and millimeter-wave systems.

3112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is currently the Wireless Communications Industry Chair Professor of electrical and computer engineering with the University of California at San Diego (UCSD), La Jolla. From 1988 to 2004, he was at The University of Michigan at Ann Arbor. From 1988 to 1996, he contributed to planar millimeter-wave and terahertz antennas and imaging arrays, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed 6–18- and 40–50-GHz eight- and 16-element phased arrays on a single silicon chip, and the first millimeter-wave silicon passive imager chip at 85–105 GHz. His group also demonstrated high- RF MEMS tunable filters at 1–6 GHz and the new angular-based RF MEMS capacitive and high-power high-reliability RF MEMS metal-contact switches. As a consultant, he helped develop the USM/ViaSat 24-GHz single-chip SiGe automotive radar, phased arrays operating at -, -, -, -, -, and -band for defense and commercial applications, the RFMD RF MEMS switch, and the Agilent RF MEMS switch. He is the Director of the UCSD/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He has authored

or coauthored over 500 IEEE publications. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). He has graduated 42 Ph.D. students and 15 post-doctoral fellows. He currently leads a group of 21 Ph.D. students and post-doctoral fellows in the area of millimeter-wave RF integrated circuits (RFICs), tunable microwaves circuits, RF MEMS, planar millimeter-wave antennas, and terahertz systems. Prof. Rebeiz is a National Science Foundation (NSF) Presidential Young Investigator. He has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has been a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), IEEE Antennas and Propagation Society (AP-S), and IEEE Solid-State Circuits Society. He was the recipient of an URSI Koga Gold Medal Recipient, the 2003 IEEE MTT-S Distinguished Young Engineer, the IEEE MTT-S 2000 Microwave Prize, the IEEE MTT-S 2010 Distinguished Educator Award, the 2011 IEEE AP-S John D. Kraus Antenna Award, the 1997–1998 Eta-Kappa-Nu Professor of the Year Award, the 1998 College of Engineering Teaching Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at The University of Michigan at Ann Arbor, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have been the recipients of a total of 20 Best Paper Awards of IEEE MTT-S, RFIC, and AP-S conferences.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3113

Analysis and Design of 60-GHz SPDT Switch in 130-nm CMOS Jin He, Member, IEEE, Yong-Zhong Xiong, Senior Member, IEEE, and Yue Ping Zhang, Fellow, IEEE

Abstract—This paper proposes a new 60-GHz single-poledouble-throw (SPDT) switch. It is designed in a 1.2-V 130-nm bulk CMOS and has a small core area of 222 m 92 m. The switch exhibits measured insertion loss of 1.7 dB, isolation of 22 dB, input return loss of 20 dB, output return loss of 14 dB, and simulated power-handling capability of 13.8 dBm at 60 GHz. The proposed SPDT switch demonstrates such superior performances and consumes a much smaller die area to those of other SPDT switches, and therefore has potential to be used in highly integrated 60-GHz CMOS radios. Index Terms—CMOS, millimeter wave integrated circuit (MMIC), single-pole double-throw (SPDT) switch.

I. INTRODUCTION

T

O MEET the increasing demand for wireless applications with extremely high data rate, research and development on millimeter-wave integrated circuits (mm-wave ICs) for the worldwide unlicensed band around 60 GHz have received great attention in recent years. Attributed to constantly shrinking dimensions of devices, CMOS technology becomes a great competitor of III–V technologies, such as GaAs, InP, and pseudomorphic HEMT (pHEMT), to implement the mm-wave ICs. For instance, several transceivers for 60-GHz radios have recently been demonstrated in CMOS [1]–[3]; however, none of them has been integrated with a transmit/receive (T/R) switch, which can be employed for the purpose of sharing a single antenna between the Tx and Rx in the time-division-duplexing (TDD)-based front end, thus leading to a more compact solution for 60-GHz radios in CMOS. T/R switches with low insertion loss (IL), high isolation, and large power-handling capability are imminently demanded for 60-GHz CMOS radios. Thus far, a large number of SPDT T/R switches have been designed in CMOS for microwave applications [4]–[7]. Only a few SPDT switches at 60-GHz band have been implemented with CMOS technologies [8]–[11]. Ta et al. demonstrated a 60-GHz series-shunt SPDT switch in 130-nm CMOS [8]. The SPDT switch achieved 4.8-dB IL and 24.1-dB isolation. Using the traveling-wave concept, Chao et Manuscript received March 15, 2012; revised July 21, 2012; accepted July 26, 2012. Date of publication August 24, 2012; date of current version September 27, 2012. This work was supported by the Science and Engineering Research Council of Singapore under Grant 1021290051. J. He is with the Institute of Microelectronics, A*STAR, Singapore 117685 (e-mail: [email protected]). Y.-Z. Xiong was with the Institute of Microelectronics, A*STAR, Singapore 117685. He is now with the MicroArray Technologies Company Ltd., Chengdu 611731, China. Y. P. Zhang is with the Division of Circuits and Systems, Nanyang Technological University, Singapore 639789. Digital Object Identifier 10.1109/TMTT.2012.2211380

TABLE I TARGET SPECIFICATIONS FOR THE SPDT T/R SWITCH

al. [9] and Chang and Chan [10] designed SPDT switches in 90-nm CMOS. The SPDT switch in [9] had an IL less than 3.3 dB from 50 to 94 GHz and isolation higher than 27 dB from 50 to 110 GHz. The SPDT switch in [10] demonstrated an IL less than 3 dB and an isolation better than 48 dB from dc to 60 GHz. Using the on-chip transmission lines in 90-nm CMOS, Uzunkol and Rebeiz presented an SPDT switch with a measured IL less than 2 dB and isolation higher than 25 dB at 50–70 GHz [11]. In this paper, a new 60-GHz SPDT switch is designed and fabricated using a 130-nm bulk CMOS process. This paper is organized as follows. Section II describes the analysis and design of the proposed SPDT switch. The measurement results that verify the design are discussed in Section III. Finally, this paper is concluded in Section IV. II. 60-GHz SPDT SWITCH The target specifications for the 60-GHz SPDT T/R switch are given in Table I and the schematic is proposed in Fig. 1(a), which is constructed by two asymmetric single-pole single-throw (SPST) switches in back-to-back connection [12]. One SPST switch forms the transmitter (Tx) path and the other creates the receiver (Rx) path. The common node of two SPST switches is denoted as Port 2, which is usually connected to an antenna. The SPDT switch can also be realized by the two symmetric SPST switches presented in [13] at the millimeter-wave (mm-wave) frequency band. An impedance transformer composed of two 50- quarter-wavelength transmission lines is usually required to transform the low impedance of the off-state SPST switch to high impedance so that the IL of the on-state SPST switch is not impaired [14]. The impedance transformer consumes a large silicon area, leading to higher fabrication cost. At high frequencies, the parasitic series resistance of is frequency dependent due to the skin effect. This phenomand thus cause excessive enon will degrade the of loss for the switch. Normally, a higher than 10 will not influence the performance of the SPST switches significantly. To ,a further enhance the breakdown characteristics of

0018-9480/$31.00 © 2012 IEEE

3114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

on state of the SPST switch shown in Fig. 1(a), the optimal condition for the maximum power delivered from Port 1 to Port 2 requires (1) and (2) where is the characteristic impedance on Port 1 and Port 2. In the Tx mode of the SPDT switch, is pulled down to low voltage, whereas is pulled up to high voltage synchronously. As a result, is turned off to be simply regarded as one off-capacitance serving as a part of the matching network, which builds the Tx path from Port 1 to Port 2. Correspondingly, is turned on to achieve the small on-resistance , which performs the function of isolation to separate Port 3 from Port 1 and Port 2. Fig. 1(b) shows the small-signal equivalent circuit for the SPDT switch in the Tx mode. In order to evaluate the isolation of the switch easily, the three-port network in Fig. 1(b) is transformed into the two-port network by directly terminating Port 2 with the matched antenna, which is shown in Fig. 1(c). We adopt the approach of the matrix to analyze the circuit. The network between Port 1 and Port 3 can be represented with the matrix

(3) where

Fig. 1. Proposed new SPDT T/R switch. (a) Schematic. (b) Small-signal equivalent circuit. (c) Two-port network for evaluation of isolation. (d) Simplified two-port network for evaluation of IL and return loss.

, and for reducing calculation. Hence, the isolation (ISO) between Port 1 and Port 3 of the SPDT switch can be derived as

(4) resistor of around 100 k is added at the gate of for the purpose of forcing a uniform gate-assisted bipolar breakdown in case of an electrostatic discharge (ESD) event [15]. Note that the capacitors and represented by dashed lines are merged into one shunt capacitor for their parallel connection. From the small-signal equivalent circuit in the

, imaginary part From (2)–(4), we can obtain the real part , and modulus of the denominator shown in (5)–(7) at the bottom of this page. Since and can be expressed as (8)

(5) (6) and (7)

HE et al.: ANALYSIS AND DESIGN OF 60-GHz SPDT SWITCH IN 130-nm CMOS

3115

and (9) where , and are denoted as the total width of transistor, the on-resistance and off-capacitance per unit transistor width, respectively. For the 130-nm bulk CMOS process adopted in the design, the simulated and are 590 m and 0.85 fF/ m, respectively. If we target dB for in the 60-GHz band, is calculated to be 120 m (only one real solution) from (5)–(9). and are then calculated to be 93 pH, 4.9 , and 102 fF from (2), (8), and (9), respectively. It is worthwhile mentioning that also depends on the grounding or floating of the transistor body. is reduced from 102 fF for the body-grounded case to 60 fF for the body-floated one. It was found that the body-floating technique improves the switch performance [4]–[6]. In order to estimate the IL and return loss of the switch, Fig. 1(b) is simplified to be Fig. 1(d), which is also a two-port network since Port 3 is shortened to ground due to the low-value discussed previously. It is seen that the Tx path between Port 1 and Port 2 is built by a matching network, which consists of a network in series with a resonator. Note that the shunt capacitor is shared by the network and resonator. Also, the matching network between Port 1 and Port 2 can be expressed with the format of the matrix as

Fig. 2. Simplified small-signal equivalent circuit in the Tx mode for evaluation . of input

If dB (leave 1-dB margin for the maximum target value of 2 dB) for in the 60-GHz band, is estimated to be 115 fF with fF. The power-handling capability or linearity of the proposed SPDT switch is evaluated by the input 1-dB compression point , which is directly associated with the off-state nMOS transistor. During the course of signal transmission, the offstate transistor will be unintentionally turned on by large voltage swings of the signal so that the voltage swings are consequently clipped at a certain value to cause the signal compression. To mitigate this situation, one method is to add large resistors and to float the gates of transistor and at RF with which the voltage amplitude of the input signal can be effectively increased to , where is the threshold voltage of the nMOS transistor [16]. Fig. 2 shows the simplified equivalent circuit in the Tx mode for evaluation of input . The input admittance can be expressed as

(10) The IL between Port 1 and Port 2 of the SPDT switch can be deduced as

(16)

(11) , imagSimilarly, from (2), (10), and (11), the real part inary part , and modulus of the denominator can be obtained as (12)

(13) and (14) Substituting (12) and (13) into (14), the capacitance of solved as

can be

(15)

Thus, the input

can be calculated in dBm as (17)

which is different from the equation demonstrated in [16]. For the 130-nm bulk CMOS process, the standard is around 0.5–0.6 V, giving pH, fF, fF (assuming changeless), GHz, and , thereby the calculated input is around 13–14.6 dBm. In the Rx mode, is set to be high voltage and is configured to be low voltage simultaneously, is therefore turned on to ground Port 1 so as to isolate Port 1 from the other two ports. On the other hand, is turned off to be an off-capacitance and acts as one component of the matching network, which forms the Rx path between Port 2 and Port 3. The simplified small-signal equivalent circuit in the Rx mode can be considered to be horizontally mirrored from Fig. 1(d) due to the symmetric topology of the switch. Hence, the performance of the switch in the Rx mode can also be evaluated by the identical matrices and (1)–(17) derived above.

3116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 5. Simulated and measured input/output return losses for the SPDT switch. Fig. 3. Die microphotograph of the proposed SPDT switch.

TABLE II CIRCUIT ELEMENT VALUES OF THE PROPOSED SPDT SWITCH

Fig. 6. Simulated and measured isolations between Port 1/Port 2 and Port 3 for the SPDT switch.

TABLE III POWER-HANDLING CAPABILITY

Fig. 4. Simulated and measured ILs for the SPDT switch.

III. MEASUREMENTS AND DISCUSSIONS The proposed SPDT T/R switch was designed and fabricated in a 1.2-V 130-nm bulk CMOS with a seven-metal back end. nMOS transistors for low-power wireless applications achieves a cutoff frequency around 100 GHz and a maximum oscillation frequency around 130 GHz, respectively. The design was made as follows.

Step 1) Extract the on-resistance and off-capacitance of the transistor. Step 2) Determine the total width of the transistor using (5)–(9) according to the required isolation. Step 3) Calculate the inductance using (2) and the capacitance using (15) for matching at the desired operating frequency, respectively. The die microphotograph of the chip is shown in Fig. 3. The core area is only 222 m 92 m and the whole chip area including all testing pads is 553 m 426 m. In order to achieve the more accurate performance of the switch, passive components enclosed by reference planes, containing inductors, a capacitor, a T-junction, metal connections, and vias, were simulated as a whole with a full-wave 3-D electromagnetic High-Fre-

HE et al.: ANALYSIS AND DESIGN OF 60-GHz SPDT SWITCH IN 130-nm CMOS

3117

TABLE IV PERFORMANCE SUMMARY AND COMPARISON WITH RECENTLY REPORTED SPDT AND SP4T SWITCHES

quency Structure Simulator (HFSS). Table II lists the active device dimensions and passive element values of the proposed SPDT switch optimized eventually from the post-layout simulations with Cadence SpectreRF. The calculated and optimized active device dimensions are quite close to each other. The calculated values of the passive elements are larger than the optimized ones, mainly due to the T-junction associated with Port 2 that is not included in the calculation. On-wafer measurements of -parameters were performed using Cascade 100- m ground–signal–ground (G–S–G) probes and Anritsu 110-GHz -parameter measurement system with a SussTec 12 probe station. The unused port was terminated by a 50- load during measurements. Calibration was carried out using a line-reflect-reflect-match (LRRM) calibration technique with WinCal software and Impedance Standard Substrate (ISS) supplied by Cascade Microtech. The effects of the interconnections and testing pads outside the reference planes were de-embedded using the thru-short deembeding method [17], where special thru and short dummy structures used in the design were fabricated and measured. It should be mentioned that Port 2 is typically connected to an off-chip antenna; thereby the IL would increase by 0.5 dB at 60 GHz owing to the effects of the interconnection and pad. The simulated and measured ILs are plotted in Fig. 4. It can be seen that the switch achieves a measured IL of 1.7 dB (1.4 dB simulated) at 60 GHz. The IL is 2 dB ( 1.5 dB simulated) over the bandwidth of 57–66 GHz. The simulated and measured results are in good agreement. The IL exhibits the wide bandpass characteristic and the measured 3-dB bandwidth is from 30 to 76 GHz. The simulated and measured input/output return losses are illustrated in Fig. 5. The measured input return loss is better than 10 dB from 52 to 78 GHz (from 31 to 78 GHz simulated) and is 20 dB (11.5 dB simulated) at 60 GHz; the measured output return loss is higher than 10 dB from 53 to 79 GHz (from 64 to 79 GHz simulated) and is 14 dB (8.7 dB simulated) at 60 GHz, respectively. The measured return losses verify the proposed SPDT switch topology that can successfully solve the issue of impedance mismatching at the common Port 2.

The simulated and measured isolations between Port 1/Port 2 and Port 3 are presented in Fig. 6. As shown in Fig. 6, the switch achieves a measured isolation of 22 dB (21.5 dB simulated) between Port 1 and Port 3 at 60 GHz; the measured isolation is 21.1 dB ( 21.2 dB simulated) over the bandwidth of 57–66 GHz. It is obvious in Fig. 6 that the switch exhibits a measured isolation of 20.8 dB (22.5 dB simulated) between Port 2 and Port 3 at 60 GHz; the measured isolation is 20.5 dB ( 21.8 dB simulated) over the bandwidth of 57–66 GHz. There are discrepancies between the simulated and measured performances, which are mainly due to the inaccuracy of the transistor models. The power-handling capability for the SPDT switch is frequency dependent. measurements were performed using a Cascade Elite 300 Probe Station, an R&S ZVA67 Vector Network Analyzer, a Centellex TA0L50VA 50-GHz amplifier, and an Agilent PNA-X N5247A switched into spectrum mode to measure the output power. The measurements were carried out only at 24 and 40 GHz due to power limitation of our testing facilities at the 60 GHz. Table III lists the calculated, simulated, and measured . Note that the calculated and simulated agree very well at the four frequencies. The measured at 24 and 40 GHz are higher slightly than the calculated and simulated values. The performance summary of the proposed SPDT switch and comparison with the recently reported SPDT switches are given in Table IV. The proposed SPDT switch consumes a much smaller die area and achieves competitive performances. Note that the GaAs pHEMT switch in [13] shows superior linearity to those of other switches owing to higher operating voltage. An RF microelectromechanical system (RF-MEMS) SP4T switch for 60-GHz applications [19] recently demonstrated is also included in Table IV for comparison. IV. CONCLUSION The new 60-GHz SPDT switch topology has been proposed and analyzed. The design guideline of the switch has been made. The SPDT switch was fabricated in a 1.2-V 130-nm bulk CMOS. The die area including all testing pads and the core area are 553 m 426 m and 222 m 92 m, respectively.

3118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

The measured performance at 60 GHz exhibits an IL of 1.7 dB, isolation of 22 dB, input return loss of 20 dB, output return loss of 14 dB, and simulated power-handling capability of 13.8 dBm, respectively, which has validated the analysis and design guideline. The proposed SPDT switch consumes a much smaller die area and exhibits competitive performances to those of other SPDT switches. and therefore has potential to be used in highly integrated 60-GHz CMOS radios.

[16] C. Tinella, J. M. Fournier, D. Belot, and V. Knopik, “A high-performance CMOS-SOI antenna switch for the 2.5–5-GHz band,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1279–1283, Jul. 2003. [17] A. Issaoun, Y.-Z. Xiong, J. Shi, J. Brinkhoff, and F. Lin, “On the deembedding issue of CMOS multigigahertz measurements,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1813–1823, Sep. 2007. [18] M. Thian and V. F. Fusco, “Ultrafast low-loss 42–70 GHz differential SPDT switch in 0.35 m SiGe technology,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 655–659, Mar. 2012. [19] S. Gong, H. Shen, and N. S. Barker, “A 60-GHz 2-bit switched-line phase shifter using SP4T RF-MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 894–900, Apr. 2011.

ACKNOWLEDGMENT The authors would like to thank Dr. D. Hou, State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China, for his valuable technical discussions.

REFERENCES [1] A. Tomkins, R. A. Aroca, T. Yamamoto, S. T. Nicolson, Y. Doi, and S. P. Voinigescu, “A zero-IF 60 GHz 65 nm CMOS transceiver with direct BPSK modulation demonstrating up to 6 Gb/s data rates over a 2 m wireless link,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2085–2099, Aug. 2009. [2] C. Marcu, D. Chowdhury, C. Thakkar, J.-D. Park, L.-K. Kong, M. Tabesh, Y. Wang, B. Afshar, A. Gupta, A. Arbabian, S. Gambini, R. Zamani, E. Alon, and A. M. Niknejad, “A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry,” IEEE J. SolidState Circuits, vol. 44, no. 12, pp. 3434–3447, Dec. 2009. [3] J. Lee, Y. Chen, and Y. Huang, “A low-power low-cost fully integrated 60-GHz transceiver system with OOK modulation and on-board antenna assembly,” IEEE J. Solid-State Circuits, vol. 45, no. 2, pp. 264–275, Feb. 2010. [4] Y. Jin and C. Nguyen, “Ultra-compact high-linearity high-power fully integrated DC–20-GHz 0.18- m CMOS T/R switch,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 30–36, Jan. 2007. [5] Q. Li and Y. P. Zhang, “CMOS T/R switch design: Towards ultrawideband and higher frequency,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 563–570, Mar. 2007. [6] Q. Li, Y. P. Zhang, K. S. Yeo, and W. M. Lim, “16.6- and 28-GHz fully integrated CMOS RF switches with improved body floating,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 339–345, Feb. 2008. [7] P. Park, D. H. Shin, and C. P. Yue, “High-linearity CMOS T/R switch design above 20 GHz using asymmetrical topology and AC-floating bias,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 948–956, Apr. 2009. [8] C. M. Ta, E. Skafidas, and R. J. Evans, “A 60-GHz CMOS transmit/ receive switch,” in IEEE RFIC Symp. Dig., Jun. 2007, pp. 725–728. [9] S.-F. Chao, H. Wang, C.-Y. Su, and J. G. J. Chern, “A 50- to 94-GHz CMOS SPDT switch using traveling-wave concept,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 130–132, Feb. 2007. [10] H.-Y. Chang and C.-Y. Chan, “A low loss high isolation DC–60 GHz SPDT traveling-wave switch with a body-bias technique in 90 nm CMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 82–84, Feb. 2010. [11] M. Uzunkol and G. M. Rebeiz, “A low-loss 50–70 GHz SPDT switch in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 10, pp. 2003–2007, Oct. 2010. [12] J. He, Y.-Z. Xiong, and Y. P. Zhang, “60-GHz SP4T switch with ESD protection,” in 13th Int. Integr. Circuits Symp., Dec. 2011, pp. 188–191. [13] J. He and Y. P. Zhang, “Design of SPST/SPDT switches in 65 nm CMOS for 60 GHz applications,” in Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. [14] Z.-M. Tsai, Y.-S. Jiang, J. Lee, K.-Y. Lin, and H. Wang, “Analysis and design of bandpass single-pole-double-throw FET filter-integrated switches,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1601–1610, Aug. 2007. [15] N. A. Talwalkar, C. P. Yue, H. Gan, and S. S. Wong, “Integrated CMOS -tuned substrate bias for 2.4-GHz transmit–receive switch using and 5.2-GHz applications,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 863–870, Jul. 2004.

Jin He (S’09–M’12) received the B.E. degree in mechanical and electrical engineering from the Wuhan Institute of Chemical Engineering, Wuhan, China, in 1997, the M.E. degree in communication and information engineering from the Wuhan Research Institute of Posts and Telecommunications, Wuhan, China, in 2003, and the Ph.D. degree in electrical and electronics engineering from the Nanyang Technological University, Singapore, in 2011. From 1997 to 2000, he was with the Zhejiang Huayi Electrical Instruments Company Ltd., Zhejiang, China. From 2003 to 2006, he was with the National Key Laboratory of Optical Communication Technology, Wuhan Research Institute of Posts and Telecommunications, where he was an Analog Integrated Circuit Designer for optical transceivers. From 2006 to 2007, he was with the Nanyang Technological University as a Research Associate for ultra-wideband integrated transceivers. In 2010, he joined the Institute of Microelectronics, A*STAR, Singapore, where he is a Scientist involved with monolithic silicon based millimeter/terahertz integrated circuit design. His research interests include analog/RF integrated circuit (RFIC) design and system architectures for optical and wireless communications.

Yong-Zhong Xiong (M’98–SM’02) received the B.S. and M.Eng. degrees in communication and electronic systems from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 1986 and 1990, respectively, and Ph.D. degree in electrical and electronic engineering from the Nanyang Technological University (NTU), Singapore. From 1986 to 1994, he was with NUST, where he was involved with microwave systems and circuit design with the Department of Electronic Engineering. In 1994, he was with NTU as a Research Scholar. From 1995 to 1997, he was with the RF and Radios Department, Singapore Technologies (ST, Singapore), Singapore, as a Senior Engineer. In 996, he was also affiliated with the Centre for Wireless Communications, National University of Singapore, where he was involved with the RF Identification (RFID) Project. Until the end of 1997, he was with the Microelectronics Centre, NTU. From September 2001 to October 2011, he was with the Institute of Microelectronics (IME), Singapore, as a Principal Investigator (PI), where he led a group in mm-wave/terahertz circuit and system design. He is currently with the MicorArray Technologies Company Ltd., Chengdu, China, as a Chief Technical Officer (CTO). He has authored or coauthored over 140 technical papers. His major working areas include monolithic RF, microwave and millimeter-wave integrated circuit (RFIC/MMIC) design, and device modeling and characterization. He holds several patents. Dr. Xiong has served as a technical reviewer for the IEEE TRANSACTION ON ELECTRON DEVICES, IEEE TRANSACTION ON ELECTRON DEVICE LETTERS, the IEEE TRANSACTION ON MICROWAVE AND THEORY TECHNIQUES, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He has also served as a committee member of the Singapore Microwave Theory and Techniques Society (IEEE MTT-S) Chapter and the IEEE International Workshop on RF Integration Technology.

HE et al.: ANALYSIS AND DESIGN OF 60-GHz SPDT SWITCH IN 130-nm CMOS

Yue Ping Zhang (M’03–SM’07–F’10) received the B.E. degree from the Taiyuan Polytechnic Institute, Shanxi, China, in 1982, the M.E. degree from the Shanxi Mining Institute of Taiyuan University of Technology, Shanxi, China, in 1987, and the Ph.D. degree from the Chinese University of Hong Kong, Hong Kong, in 1995, all in electronic engineering. From 1982 to 1984, he was with the Shanxi Electronic Industry Bureau. From 1990 to 1992, he was with the University of Liverpool, Liverpool, U. K. From 1996 to 1997, he was with the City University of Hong Kong. From 1987 to 1990, he was with the Shanxi Mining Institute. From 1997 to 1998, he was with the University of Hong Kong. In 1996, he became a Full Professor with the Taiyuan University of Technology. He is currently a Full Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He has authored or coauthored numerous publications. He was a Guest Editor for the International Journal of RF and Microwave Computer-Aided Engineering and an Associate Editor for

3119

the International Journal of Microwave Science and Technology. He was also an Editor for the ETRI Journal and an associate editor for the International Journal of Electromagnetic Waves and Applications. He has broad interests in radio science and technology. Dr. Zhang has been an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is also a member of the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He was the recipient of the Sino-British Technical Collaboration Award (1990) for his contribution to the advancement of subsurface radio science and technology, the Best Paper Award of the 2nd IEEE/IET International Symposium on Communication Systems, Networks and Digital Signal Processing, Bournemouth, U.K. (July 18–20, 2000), and the Best Paper Prize of the 3rd IEEE International Workshop on Antenna Technology, Cambridge, U.K. (March 21–23, 2007). He was also the recipient of a William Mong Visiting Fellowship of the University of Hong Kong (2005) and the S. A. Schelkunoff Transactions Prize Paper Award of the IEEE Antennas and Propagation Society (2012).

3120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Pulse-Biased Low-Power Low-Phase-Noise UHF LC-QVCO for 866 MHz RFID Front-End Jie Li and S. M. Rezaul Hasan, Senior Member, IEEE Abstract—This paper discusses an 866-MHz UHF quadrature voltage-controlled oscillator (QVCO) for RFID front-end. The VCO achieved an improved phase noise performance of 130 dBc/Hz at a carrier-offset of 1 MHz using an improved architecture employing pulsed self-biasing. It was fabricated using the IBM 130-nm CMOS process with the core VCO occupying around for nanometric 0.36 mm die area. The loading effect of finite CMOS design was also considered. The VCO achieved 8% tuning range with very low quadrature error. It was tested using a power supply in the range of 0.6–1 V, drawing a maximum of 2.5 mW. In addition, it achieved a figure of merit of 185 dBc/Hz. noise, phase Index Terms—Folded cascode, low power, noise, pulsed biasing, quadrature voltage-controlled oscillator (QVCO).

I. INTRODUCTION

V

OLTAGE-CONTROLLED oscillators (VCOs) in any RF front-end consume a significant fraction of its power budget. Consequently, balancing the tradeoffs between power consumption, tuning range, tuning gain and phase noise is crucial in any VCO design. In addition, design of QVCO for image rejection, modulation and demodulation requires the consideration of quadrature error and possible tradeoff with phase noise and other performance parameters. Quadrature inaccuracies can result in residual image in a down-converted baseband mixer output. There are many factors [1]–[3] that determine the phase noise of an oscillator, such as silicon lattice phonon scattering, MOS flicker noise and corner frequency, the loaded resonator , and the final output signal-to-noise ratio (SNR). Various techniques are used for QVCO design, and the most well-known methods utilizes the cross and direct coupling of two LC-VCOs [4]–[7]. Coupling and biasing techniques dominate the trend in LC quadrature VCO (QVCO) in achieving low phase noise and low quadrature error. This paper proposes a low-voltage pulse-biased folded-cascode QVCO topology targeting RFID front-end at 866 MHz, which is a well-known lower ultrahigh-frequency (UHF) RFID frequency standard in Europe, Africa, Australia, and New Zealand. II. PROPOSED LC-QVCO ARCHITECTURE Fig. 1 shows the proposed LC-QVCO architecture using two quadrature-coupled LC-VCOs. The ports and are, respectively, the I-VCO and Q-VCO quadrature outputs Manuscript received February 02, 2012; revised June 22, 2012; accepted July 02, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. The authors are with the Center for Research in Analog and VLSI Microsystem Design (CRAVE), Massey University, Auckland, New Zealand. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209441

along with their complementary signals. In an effort to improve the spectral purity of QVCO signals, transformer coupling has been introduced by some authors [8], [9]; however, at UHF frequencies, this can result in large silicon area. Hence, in this paper, a pMOS folded-cascode structure is used to provide the mutual input excitations for quadrature generation. Compared with parallel quadrature coupling, series coupling [10] using a cascode topology reduces the QVCO phase noise. In addition, the phase-noise performance is enhanced due to the lower hot carrier-induced [11] drain current noise of the pMOS device in the folded cascode. A grounded center-tapped inductor [5]–[7] is used for the LC-tank instead of the supply center-tapped inductor in most QVCO structures. This has the advantage of reduced power supply noise and improved linearity in a mixed signal application with baseband wireless circuitry. In addition, being ground center tapped, there is reduced substrate leakage currents from the inductor coils. This also results in the QVCO being less prone to self-resonance compared with other topologies with supply center-tapped or floating coils. The tail current source of the VCO-core positive feedback nMOS latch is eliminated to provide additional voltage headroom. Hence, it enjoys the advantage of oscillation under highly scaled supply voltage. Noise due to the tail current source is also avoided as a consequence bringing further performance improvement [12]. The pMOS current sources biasing the cascode coupling topology also accounts for lower drain current noise compared with nMOS bias current sources. In a pMOS current source, surface states at the nSi–SiO interface results in trapped holes (hopping valence band electrons), but the concentration of trapped holes is lower compared with trapped conduction-band electrons for an nMOS device and, noise for the pMOS device. hence, an inherently lower noise of the pMOS current In order to further reduce the sources, pulsed biasing [13]–[15] through complementary cross coupling is used in this design to release trapped carriers at the nSi-SiO interface. Pulsing the MOSFET overdrive between accumulation and strong inversion would thus free the carriers noise. trapped during strong inversion resulting in reduced Depletion-mode pMOS (D-PMOS) devices are used as varactor [16], [17] due to the quasi-linear monotonic Capacitance versus voltage ( – ) behavior in this region of operation. Several devices are shunt-connected to increase the composite C–V tuning range. In addition, small-value metal–insulator–metal (MIM) capacitance is augmented in parallel with the D-PMOS array to increase the overall varactor linearity. Fig. 1 also shows the dc connection polarity of the D-PMOS varactor with gates connected to the dc ground, while, the shorted source, drain and body is connected to a variable positive voltage. In this topology, M5 and M6 constitute the core feedback latch devices of the VCOs. On the other hand, M3 and M4 are the

0018-9480/$31.00 © 2012 IEEE

LI AND HASAN: PULSE-BIASED LOW-POWER LOW-PHASE-NOISE UHF LC-QVCO FOR 866 MHZ RFID FRONT-END

3121

Tuning changes the overall equivalent capacitance consisting of the tank capacitance ( and the capacitance looking between the ground and the drain of the coupling pMOS . With large-signal operation, owing to the taildevice free grounded nMOS latch circuit, the pMOS cascoding devices and the cross-coupled nMOS devices are pulsed alternatively into accumulation (turned-OFF) and strong inversion (triode regime-ON), thus reducing the flicker noise contribution (due to the nanometric of these devices as well. The finite process) of the pMOS bias and the nMOS latch devices also act as transconductance degeneration for the pMOS coupling , and, as a consedevices, resulting in a reduction of their quence, further reduction of their white noise contributions to the phase noise. Also, in this structure, the coupling and the regenerative devices are essentially in parallel for dc operation, but form a cascode structure for ac (large-signal nonlinear) operation, thus enabling the structure to have the benefits of both low phase noise and low quadrature error [10]. Furthermore, since the sustained oscillations only take place at a frequency for which the average magnitude of the negative resistance equals , no bimodal the parallel resistance of the LC tank oscillation will occur in this circuit. III. PHASE NOISE AND QUADRATURE ERROR ESTIMATION A. Phase Noise

Fig. 1. Proposed low-voltage pulse-biased folded-cascode QVCO.

corresponding coupling pMOS devices. The current flowing into the LC tank is only that due to the drain current of the pMOS coupling devices. Since only one set of bias transistors consume drain current from the supply voltage, the operating supply voltage and power consumption can be significantly reduced compared to the conventional cross-coupled regen, eration circuit. If the series resistance of the tank coil is then the equivalent parallel tank resistance is , . Then, if assuming reasonably high tank is the average negative conductance due to the regeneration by the nMOS cross-coupled pair, we have at resonance for sustained oscillation

The “skirt” of the oscillator phase noise behavior has been explored extensively by several authors [3], [18], [19], resolving it into three regions of power spectral density (PSD). A noise containing source at any node of the QVCO with a PSD noise will have a region in the phase-noise spectrum at an offset from the QVCO center frequency. A cascade of a linear time-varying (LTV) system and a nonlinear system along with an impulse sensitivity function (ISF) was used in [3] and [18] to model phase noise, which is periodic and hence can be expressed as section of a Fourier series expansion. The the phase noise is due to the injection of the nMOS and pMOS drain current noise power at the various QVCO nodes and is given by

(3)

(1) For sustained oscillations over the tuning range, must be slightly smaller than , as and changes with frequency, so that the overall parallel tank loss is negative (i.e., regenerative). After expanding , we have the frequency of oscillation given by

(2)

where and denotes, respectively, the cumulative drain-current white-noise PSD due to the nMOS latch, pMOS coupling, and pMOS pulse-biased devices. Also, is the maximum charge displacement across the capacitance at a QVCO internal node of the white noise injection, are Fourier series expansion coefficients of the ISF, and , with being the phase of the th harmonic in the ISF frequency spectrum. The close-in phase noise spectrum is due

3122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

to the flicker noise power of the nMOS latch devices and the pMOS coupling and pulse-biased devices. Assuming that the MOS device noise behaves as in where is relation to a white noise source of PSD the MOSFET device corner frequency, and its contribution is given by

(4) , and are the angular corner frewhere quencies of nMOS latch, pMOS coupling and the pMOS pulsebiased devices, respectively. Because of the bipolar overdrive swing, the MOS devices are OFF for a duration of the QVCO cycle, resulting in a cyclostationary nature of the MOS drain , where is a channel length-depencurrent noise dent parameter. This characteristic results in added complication in the theoretical estimation of phase noise. On the other hand, since the nMOS and pMOS devices are OFF for a duration of the cycle, the average drain current is reduced, resulting in reduced with a consequent reduction in white and flicker noise. Mathematically, pulsed biasing results in lower values of , the ISF expansion coefficients. Since the nanoof the nMOS latch device metric output conductance degenerates the overall of the pMOS coupling device, the phase noise is further reduced. The cascoding structure also reduces the effect of any injected circuit noise (white noise) from the power supply thus reducing the phase noise in region of the spectrum. The removal of the the tail current source from the regenerative nMOS latch helps in renoise sources thus further reducing ducing the number of the close-in phase noise “skirt”. Some of the white noise at the QVCO outputs (due to QVCO output buffer or driven devices) that are not filtered by the LC-tank would constiphase-noise tute a flat noise floor beyond the spectrum. B. Quadrature Error While the QVCO has a folded-cascode quadrature coupling for ac operation, for large signal (DC) operation it has a parallel coupling of the regenerative nMOS and the coupling pMOS devices. This has the effect of reducing the quadrature error as the QVCO waveforms of such an structure display an outstanding immunity to component mismatches [10]. An in-phase and quadrature QVCO signal-couple for this structure can be expressed as [20] (5) (6) where (7)

(8)

Fig. 2. Microphotograph of the 866-MHz QVCO with 30-GHz on-wafer unity probe contacts.

In the above equations, is the amplitude error while is the quadrature error. Also, and are the matching inaccuracies of the lossy inductor resistance, inductance, and capacitance, respectively. There is stray distributed capacitance associated with the inductor coils causing loss into the substrate resulting in consequent degradation. Any asymmetry (inaccuracy) in center-tapping the inductor coil can result in matching inaccuracies contributing to quadrature error. Also, , any D-PMOS varactor device mismatch will contribute to resulting in quadrature error. The strength of the pMOS coupling current with respect to the nMOS regenerator current may also have an effect on the quadrature error components. In addition, the parasitic mutual inductance between the quadrature inductors (inductive signal leakage) is also a source of phase and amplitude imbalance between the desired quadrature signals. Finally, direct and indirect effect of process, voltage, and temperature (PVT) variations can degrade both the phase noise and the quadrature behavior by contributing to tuning nonlinearity. For this reason, effective tuning range is often limited to 5%–10% of center frequency to prevent phase noise and quadrature degradation. IV. SIMULATION AND MEASUREMENT RESULTS The IBM 130-nm CMOS technology was used for the proposed QVCO. Extensive parasitic extracted simulations were carried out using Cadence spectreRF. Critical effort was taken in the layout design, thus reducing the parasitic-related substrate loss as well as dispersing self-resonant frequencies significantly far from the VCO center frequency. The QVCO core occupied approximately 0.36 mm (600 m 600 m) die area, as shown in the photomicrograph in Fig. 2 with 30-GHz unity probe contacts for on-wafer (unpackaged die) testing. The fabricated die was mounted on a cascade micro-tech Summit 12000 probe-station as shown in Fig. 3. The blue cables at the

LI AND HASAN: PULSE-BIASED LOW-POWER LOW-PHASE-NOISE UHF LC-QVCO FOR 866 MHZ RFID FRONT-END

Fig. 3. Chip containing the QVCO mounted on Summit 12000 probe station.

Fig. 5. Measured transient response of the QVCO with 0.5 V.

3123

1 V and

Fig. 6. Measured output frequency spectrum of the proposed QVCO tuned to 1 V and 0.42 V). 866 MHz (at Fig. 4. Simulated startup transient signal of the proposed QVCO 1 V and 0.15 V).

top and at the bottom are respectively Vvar and VDD connections, while the three white cables are GND, , and connections, respectively, from the top to bottom between the blue cables. The on-chip grounded center-tapped inductor was constructed as two spatially symmetric 5.5 nH spiral inductors using thick top metal (MA) layer (grounded at their junction), and, along with the on-chip D-PMOS varactor, achieved an 866 MHz center operating frequency. Each varactor is constructed using 10 shunt connected 200 m 0.12 m D-PMOS channels operated by varying the control voltage in the range 0–1 V, for sustained oscillation within the tuning range. Fig. 4 shows the transient QVCO startup behavior ramping up from zero to maximum amplitude monotonically (without bouncing up and down during kick off) and then stabilizing, for VDD and of 1 and 0.15 V, respectively, in this case. Hence, in accordance with [21], this startup behavior verifies that the oscillator settles in one stable oscillation state and does not jump between two stable modes (states) during operation. This implies that the proposed topology is free from bimodal oscillations and frequency ambiguity. The achieved signal amplitude of the QVCO is not only limited by the supply voltage, bias current and operating frequency, but also by the inductor Q and the equivalent tank resistance. From simulations using 0.6, 0.7, 0.9, and 1.0 V

as VDD supply voltages (with set to 0.23 V), the amplitudes at the and outputs are found to be 0.55 V at 877 MHz, 0.685 V at 862 MHz, 0.870 V at 855 MHz, and 0.98 V at 847 MHz, respectively, indicating tunability in the vicinity of 866 MHz. Also, complete simulations in the 0.6–1.0-V VDD range indicate that the oscillator is stable in this range of supply voltages. Fig. 5 depicts the experimental quadrature waveforms V) on an Agilent DCA J using a 1-V supply (at 86100C Oscilloscope (with one vertical division 60 mV and one horizontal division 125 ps). The junction-grounded tank inductors alternately absorb and release energy, from and into the ground, to provide the sinusoidal QVCO output swing. Due to low on-chip inductor Q, the experimental output swing was much less than the supply voltage being around 200 mV 1 V. An amplitude imbalance of 10 mV and using a a quadrature error of around 2 between I and Q was also noticed due to mismatch between inductors and capacitances based on (7) and (8), as well as parasitic magnetic fields. In addition, mismatch in probe contacts, SMA connectors and cables in the experimental setup was also a limiting factor. On-chip component matching to reduce quadrature error is constrained by the process limited accuracy of multi-finger inter-digitated and common-centroid layout structures. Fig. 6 shows the measured frequency spectrum of the QVCO output V and V). tuned to 866 MHz (at

3124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I SUMMARY OF QVCO PERFORMANCE AND COMPARISON WITH OTHER DESIGNS

Fig. 7. Simulated and measured tuning range for the QVCO (at 1.0 V).

Fig. 8. Measured output phase noise performance for 0.9 V.

0.5 V and

The simulated and experimental tuning range is shown in Fig. 7. Approximately 8% tuning range was achieved, using the D-PMOS varactors which compares favorably with other QVCO structures published recently. The VCO single-sideband (SSB) phase noise was measured by quadrature mixing with a spectrally clean reference source (in modulation mode), low-pass filtering, and baseband spectrum analysis (VCO/PLL analysis setup [22], [23]). Agilent E4440A (with phase noise option 226) and E5052B signal analyzer was used for the measurements. The measured output phase noise of the QVCO is shown in Fig. 8. With a supply voltage of 1 V, the diagram indicates a phase noise of about 130 dBc/Hz at 1 MHz offset from the carrier for a 0.5 V (at the middle of the tuning range). The use of pulsed bias technique has resulted in reduced contribution of the flicker noise component (reduced dependency) in the SSB phase-noise spectrum thus illustrating its effectiveness. The oscillator corner frequency phase-noise component is found to be for the considerably lower than the MOSFET device noise corner frequency (which is dominated by the 125 m/0.12 m nMOS devices with corner frequency at around several MHz). This is due to the reduction in the dc value of the ISF [3] as a result

of the pulse biasing discussed in Section II. The flat noise floor (white of phase) of the oscillator is close to 155 dBc/Hz and the overall circuit Q is around 8. As the inductor Q is usually lower than the capacitor Q, the overall resonator Q is dominated by the inductor. Hence, the phase noise, being inversely proportional to the square of the circuit Q [1], can be seen to be slightly higher with lower varactor capacitance (tuned by . The power dissipated by the QVCO includes two higher dynamic sources: 1) resistive Q-degraded power dissipation during energy transfer between electric and magnetic fields in the LC tank, and 2) short-circuit power dissipation when nMOS and/or pMOS devices in the supply-to-ground path are momentarily turned on at the same time. In addition, there is a small static power dissipation due to leakage and subthreshold currents in all of the devices including the pMOS varactors. With the pulse-biased topology, the proposed QVCO dissipates a maximum of 2.5 mW within the supply voltage scaling range, which is very attractive for low-power RFID applications. Finally, an overall figure of merit (FOM) is used to compare the performance tradeoff relative to other similar QVCOs using , phase noise , oscillation power dissipation , and offset from carrier as frequency 1 mW

(9)

With only 2.5-mW power consumption and 130 dBc/Hz SSB phase noise at 1-MHz offset from the carrier, this QVCO design has a resulting FOM of around 185 dBc/Hz. This performance is quite acceptable when compared to the recently published designs, especially in the UHF range. Table I shows a summary of performance comparison of the proposed QVCO with some other QVCO designs in [4], [24]–[27]. V. CONCLUSION An 866-MHz low-power low-voltage QVCO has been demonstrated. It uses a complementary pulse-biased folded-cascode topology which provides advantages of low phase noise and low quadrature error simultaneously. Also, low phase noise is achieved at very low power dissipation compared with other QVCO designs. ACKNOWLEDGMENT The authors would like to thank MOSIS, University of Southern California, for CMOS fabrication support. The au-

LI AND HASAN: PULSE-BIASED LOW-POWER LOW-PHASE-NOISE UHF LC-QVCO FOR 866 MHZ RFID FRONT-END

thors would also like to thank the help of the reviewers in improving the quality of the paper through their comments. REFERENCES [1] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ., 2004. [2] A. Hajimiri and T. H. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [3] T. H. Lee and A. Hajimiri, “Oscillator phase noise: A tutorial,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 326–336, Mar. 2000. [4] A. Rofougaran, G. Chang, J. J. Rael, J. Y.-C. Chang, M. Rofougaran, P. J. Chang, M. Djafari, M.-K. Ku, E. W. Roth, and A. A. Abidi, “A single-chip 900-MHz spread-spectrum wireless transceiver in 1 m CMOS. I. Architecture and transmitter design,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 515–534, Apr. 1998. [5] S. M. R. Hasan, “A scalable low-voltage extended swing CMOS LC quadrature VCO for RF transceivers,” in Proc. 4th IEEE Int. Workshop Syst.-on-Chip for Real-Time Applications, 2004, pp. 131–135. [6] S. M. R. Hasan and S. Shembil, “A scalable low-voltage extended swing CMOS LC quadrature VCO for RF transceivers,” in Proc. IEEE Region 10 Conf., 2004, pp. 356–359. [7] S. M. R. Hasan, “A low-voltage scalable (1.8 V–0.75 V) CMOS folded- cascode LC quadrature VCO for RF receivers,” J. Circuits, Syst. Comput., vol. 19, no. 4, pp. 835–857, Jun. 2010. [8] S. Saberi and J. Paramesh, “A 11.5–22 GHz dual-resonance transformer-coupled quadrature VCO,” in Proc. IEEE RFIC, 2011, pp. 1–4. [9] J.-S. Syu, C. Meng, K.-C. Tsung, and G.-W. Huang, “5 GHz quadrature voltage-controlled oscillator using trifilar transformers,” Electron. Lett., vol. 44, no. 9, pp. 562–563, Apr. 24, 2008. [10] P. Andreani, A. Bonfanti, L. Romano, and C. Samori, “Analysis and design of a 1.8-GHz CMOS LC quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1737–1747, Dec. 2002. [11] A. J. Scholten, L. F. Tiemeijer, R. V. Langevelde, R. J. Havens, A. T. A. Z. V. Duijnhoven, and V. C. Venezia, “Noise modeling for RF CMOS circuit simulation,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 618–632, Mar. 2003. [12] C. Samori, A. L. Lacaita, F. Villa, and F. Zappa, “Spectrum folding and phase noise in LC tuned oscillators,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 45, no. 7, pp. 781–790, Jul. 1998. [13] K.-W. Kim, H.-J. Chang, Y.-M. Kim, and T.-Y. Yun, “A 5.8 GHz lowphase-noise LC-QVCO using splitting switched biasing technique,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 6, pp. 337–339, Jun. 2010. [14] S. Perticaroli, F. Neri, F. Palma, and M. Balucani, “4.55 GHz phase and quadrature pulsed bias VCO in 40 nm CMOS technology,” in Proc. PRIME, 2011, pp. 249–252. [15] E. A. M. Klumperink, S. L. J. Gierkink, A. P. van der Wel, and B. Nauta, “Reducing MOSFET 1/f noise and power consumption by switched biasing,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 994–1001, Jul. 2000. [16] P. Andreani and S. Mattisson, “On the use of MOS varactors in RF VCOs,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 905–910, Jun. 2000. [17] S. P. Bruss and R. R. Spencer, “A continuously tuned varactor array,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 596–598, Sep. 2009. [18] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE Journal of Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [19] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [20] A. Mazzanti, F. Svelto, and P. Andreani, “On the amplitude and phase errors of quadrature LC-tank CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1305–1313, Jun. 2006. [21] S. Li, I. Kipnis, and M. Ismail, “A 10-GHz CMOS quadrature LC-VCO for multirate optical applications,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1626–1634, Oct. 2003.

3125

[22] J.-P. Hong and S.-G. Lee, “Low phase noise -boosted differential gate-to-source feedback colpitts CMOS VCO,” IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3079–3091, Nov. 2009. [23] O. Rajala, “Oscillator phase noise measurement using the phase lock method,” Master’s thesis, Dept. Electron., Tempere Univ. Technol., , 2010. [24] T.-H. Huang and Y.-R. Tseng, “A 1 V 2.2 mW 7 GHz CMOS quadrature VCO using current-reuse and cross-coupled transformer-feedback technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 10, pp. 698–700, Oct. 2008. [25] S.-L. Jang, C.-C. Shih, C.-C. Liu, and M.-H. Juang, “A 0.18 m CMOS quadrature VCO using the quadruple push-push technique,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 6, pp. 343–345, Jun. 2010. [26] S. Zafar, M. Awan, and T. Z. A. Zulkifli, “5-GHz low-phase noise quadrature VCO in 0.13 um RF CMOS process technology,” in Proc. IEEE 10th Annu. Wireless Microw. Technol. Conf., 2009, pp. 1–4. [27] T. F. Chan and H. C. Luong, “A 0.8-V CMOS quadrature LC VCO using capacitive coupling,” in Proc. IEEE Asian Solid-State Circuits Conf., 2007, pp. 436–439.

Jie Li received the B.Eng. degree in computer engineering and Ph.D. degree in integrated circuit design from Massey University, Auckland, New Zealand, in 2006 and 2011, respectively. He has been a Researcher with the Center for Research in Analog and VLSI Microsystem Design (CRAVE), Massey University, Auckland, New Zealand. He has authored and coauthored several papers in radio frequency (RF) integrated circuit (IC) design. His areas of research interest include analog, RF and mixed-signal IC design. Recently he was appointed a Senior Research Fellow in RF and telecommunication design with the University of Melbourne, Australia.

S. M. Rezaul Hasan (SM’02) received the Ph.D. degree in electronics engineering from the University of California, Los Angeles, in 1985. From 1983 to 1986, he was a VLSI Design Engineer with Xerox Microelectronics Center, El Segundo, CA, where he was involved with the design of CMOS VLSI microprocessors. In 1986, he moved to the Asia-Pacific region and served several institutions including Nanyang Technological University, Singapore (1986–1988), Curtin University of Technology, Perth, Western Australia (1990–1991), and University Sains Malaysia, Perak, Malaysia (1992–2000). At University Sains Malaysia, he was an Associate Professor and the Coordinator of the Analog and VLSI research laboratory. He spent the next four years (2000–2004) in the West Asia-Gulf region where he served as an Associate Professor of Microelectronics, Integrated Circuit Design and VLSI Design in the Department of Electrical and Computer Engineering, University of Sharjah, Sharjah, United Arab Emirates. Presently he is the Director of the Center for Research in Analog and VLSI microsystems dEsign (CRAVE) at Massey University, Auckland, New Zealand. He is also a Senior Faculty Member within the School of Engineering and Advanced Technology (SEAT) in Electronics and Computer Engineering, teaching courses in Advanced Microelectronics and Integrated Circuit Design. He has authored and coauthored 140 papers in international journals and conferences in the areas of analog, digital, RF and mixed-signal integrated circuit design and VLSI design. He has also served as consultant for many electronics companies. His present areas of interest include analog and RF integrated circuit and microsystem design, CMOS sensors and biological (“gene-protein”) circuit design. He is an editor of the Hindawi Journal of Active and Passive Electronic Components. Dr. Hasan received the National Bank of Sharjah Award for outstanding research publication in Integrated Circuit Design.

3126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Large-Signal Oscillator Design Procedure Utilizing Analytical -Parameters Closed-Form Expressions A. M. Pelaez-Perez, S. Woodington, M. Fernández-Barciela, Member, IEEE, P. J. Tasker, and J. I. Alonso

Abstract—New analytical behavioral model formulations based on the polyharmonic distortion (PHD) model have been successfully used to describe the nonlinear behavior of transistors and circuits. In this paper, the PHD model and its associated analytical -parameters formulation will be utilized to provide an analytical design procedure for use in nonlinear microwave circuit design. For RF oscillator design, the negative-resistance method based on the analytical manipulation of scattering parameters is very popular due to its high rate of success in oscillation frequency prediction. However, it cannot be used to accurately predict the oscillator performance because it is based on linear parameters. To overcome this limitation, new analytical expressions based on large-signal -parameters have been developed for use in transistor-based oscillator circuit design. The robustness of this new approach has been validated by designing and manufacturing a 5-GHz microwave oscillator. Index Terms—Large signal, negative-resistance, oscillator, polyharmonic distortion (PHD), -parameters.

I. INTRODUCTION

F

REE-RUNNING oscillators are crucial elements in communication systems due to their critical role in the frequency conversion stages [1]. The fundamental frequency obtained from free-running oscillators is self-generated or autonomous and only depends on the values of the circuit elements. Therefore, the circuit must be accurately designed to obtain the desired oscillation frequency and acceptable performance in terms of RF output power and dc-to-RF conversion efficiency. Self-sustained oscillation is only possible in nonlinear, nonconservative systems. The oscillation starts up from any small perturbation and must grow from a noise level to a steady-state oscillatory solution, with constant amplitude and time period. Oscillator circuit behavior can be studied in the time and

Manuscript received January 17, 2012; revised June 22, 2012 and June 29, 2012; accepted July 03, 2012. Date of publication August 22, 2012; date of current version September 27, 2012. This work was supported by the Spanish National Board of Scientific and Technology Research under Project TEC200802148, Project TEC2008-06874-C03-02, Project TEC2011-28683-C02-01, and Project TEC2011-29264-C03-03.. A. M. Pelaez-Perez and J. I. Alonso are with the SSR Department, Universidad Politécnica de Madrid, Madrid 28040, Spain (e-mail: [email protected]. es). S. Woodington is with the Research and Development Section, Wireless Division, Alcatel-Lucent, Swindon SN5 7DJ, U.K. P. J. Tasker is with the School of Engineering, Cardiff University, Cardiff CF24 3AA, U.K. (e-mail: [email protected]). M. Fernández-Barciela is with the TSC Department, Universidad de Vigo, Vigo 36310, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209436

frequency domains. Time-domain approaches describe the oscillator nonlinear dynamics by means of a system of nonlinear differential equations, thus both transient and steady-state operation are accurately determined. Using frequency-domain analysis techniques, both linear (fundamental only) and nonlinear (fundamental frequency and its harmonics), only the “final” steady-state behavior can be investigated. In this case, an additional rigorous stability analysis of the obtained steady-state solution should also be carried out using numerical pole-zero identification or Nyquist criterion [2]. In oscillator circuit design, a useful frequency-domain approach is the impedance-admittance analysis. This analysis is usually limited to one harmonic term in order to obtain meaningful analytical expressions for the oscillation frequency and amplitude. Note that the accuracy of this type of sinusoidal approach will be improved for larger-quality-factor resonant circuits since the harmonic components will be drastically attenuated. However, the nonlinear block of a practical oscillator circuit will generally contain several reactive and resistive elements, and, thus, the nonlinear admittance or impedance function cannot be analytically computed in a simple manner, requiring the use of a harmonic balance simulator in order to determine the admittance/impedance function by means of an auxiliary generator [2]. The main drawbacks of using computer-aided design (CAD) simulators are the time-consuming optimization steps, usually required in a fully numerical simulation-based circuit design. In order to speed up this design procedure, the availability of closed-form expressions would be convenient [3]. These allow for the direct computation of the nonlinear network performance, hence quickly providing an initial valid design solution. An example in this concept is the popular negative-resistance method for RF oscillator design, based mainly on -parameters. Although this approach gives acceptable insight, it cannot accurately predict oscillator behavior since it is only based on linear parameters. In this paper, new closed-form expressions based on largesignal load-independent -parameters are presented in order to formulate an oscillator analytical design procedure that provides for improved oscillator behavior predictions over classical analytical methods. Using this new design procedure, microwave oscillators have been designed and manufactured. Experimental results validate the accuracy and usefulness of the developed technique. II.

-PARAMETERS FORMULATION

The polyharmonic distortion (PHD) model [4]–[6] is a black-box frequency-domain modeling technique that has been presented as a natural extension of -parameters under

0018-9480/$31.00 © 2012 IEEE

PELAEZ-PEREZ et al.: LARGE-SIGNAL OSCILLATOR DESIGN PROCEDURE UTILIZING ANALYTICAL

-PARAMETERS CLOSED-FORM EXPRESSIONS

3127

large-signal conditions. Basically, the PHD model uses the concept of harmonic superposition to analytically describe the large-signal B waves response of a nonlinear system, linearized around a large-signal point, in terms of a linear mapping of the stimulus A waves , similar to classic -parameters. The basic model has the following mathematical formulation [6]:

(1) , , and will be where the model coefficients identified as -parameters, hence the formulations and terminology follows that introduced by Agilent [6], , and are the (1, 2, ); (1, 2, ) are the harmonic port indexes (1, 2), indexes, and represent the large-signal input stimulus. If we confine operation to a region of the Smith Chart around a selected reference, load impedance , where the -parameters are determined, and assuming that the coefficients are independent of , then this basic expression can be used to analytically predict transistor nonlinear response to any variations in port-2 impedance, the essential requirement enabling analytical circuit design. For example, during oscillator circuit design, variation of port-2 impedances results from varying external circuit conditions: the feedback and load impedance values. The basic assumption in this approach is that load-independent -parameters can be used over a usable, extended, impedance region about the defined reference impedance to robustly predict large-signal response. The validity of this assumption is an obvious concern that has been addressed by the authors in [7] and [8]. This limitation can be simply overcome by making the model coefficients, -parameters, a “look-up” function of , i.e., load dependent. Unfortunately, this “look-up” solution is not compatible with a fully analytical circuit design procedure. In order to obtain an accurate load-independent -parameter model for use in the analytical calculations, it is important therefore that the appropriate reference impedance is selected when characterizing the transistor and extracting the corresponding behavioral model. This reference impedance selection is design-objective driven. If the objective is, for example, to maximize the circuit output power, then a reference impedance at or near the maximum power impedance is required. If necessary, an iterative design approach could be used to both determine and guide the selection of the relevant target impedance. The authors have developed for this purpose an iterative search algorithm [7], [8]. This -parameter model formulation and proposed extraction procedure will be used in the design of free-running oscillator circuits, as describe in the next sections. III. ONE-PORT NEGATIVE-RESISTANCE OSCILLATORS The negative-resistance method is extensively used in the design of RF and microwave frequency oscillators [9]. In a free-running oscillator, a negative-resistance element delivering energy to a resonator is necessary for oscillation buildup from

Fig. 1. One-port negative-resistance oscillator diagram.

the noise level. The input negative-resistance of the resulting one-port nonlinear block can be expressed as a function of the frequency and input power amplitude, while the passive resonator (input) network is usually assumed to be only frequencydependent. In Fig. 1, a simple representation of a one port oscillator circuit is shown. The startup condition for oscillations buildup is given by (2) As long as the loop resistance remains negative, the output signal amplitude will increase until it achieves the steady-state condition, where the loop resistance would be zero and the following expression would be satisfied: (3) where is the input power amplitude, is the oscillation frequency, and and are, respectively, the input power amplitude and the oscillation frequency reached at steady-state. To guarantee a stable oscillation, condition (3) is not sufficient, and it is necessary that the Kurokawa condition [10] holds. A. Two-Port Negative-Resistance Oscillators Based on -Parameters The basic principles considered for one-port negative-resistance oscillators can also be applied to two-port (transistor) oscillators [9]. In fact, a transistor oscillator (two-port) can be seen as a potentially unstable transistor terminated with load impedances designed to produce the required negative input impedance. As a consequence, the circuit can again be represented as a one-port negative-resistance device with input impedance . The classic circuit schematic of a two-port negative-resistance oscillator is shown in Fig. 2. The two-port oscillator consists of a transistor usually modeled by its measured small-signal -parameters, a passive terminating (load) network , and a passive resonator (input) network . When oscillation occurs at the transistor input, it will also simultaneously occur at the terminating output port. Therefore, for steady-state oscillation, conditions and are satisfied. This expression is equivalent to (3) provided we are assuming a real characteristic impedance. Taking into account previous concepts, the classical oscillator design criteria (based mainly on the small-signal -parameters) can be summarized in the following steps.

3128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

B. Large-Signal Analysis of Negative-Resistance Oscillators Based on Harmonic Balance Simulation

Fig. 2. Two-port transistor oscillator diagram.

Step 1) Selection of a potentially unstable transistor: usually, the transistor requires an additional parallel or series feedback network in order to increase the device potential instability at the desired oscillation frequency. Step 2) Design of the terminating (output) network in order to obtain an input reflection coefficient higher that unity or, in terms of impedance, a negative real part of the input impedance . Step 3) Design of the resonator (input) network in order to reach the steady-state oscillation regimen: the input negative-resistance at the nonlinear block is classically assumed to vary linearly with amplitude, according to

(4) Under this assumption, the real part of the resonator can be designed for obtaining maximum oscillator power, which is equivalent to maximize the power delivered to by the transistor. Therelinear variation with amplitude simfore, for an ilar to (4), the value that maximizes the oscillator power is shown in

(5) is where is the amplitude of the signal and the maximum value of . Finally, the reactive part of the resonator (input) impedance is selected to reach the oscillation steady-state, i.e., . This linear design procedure is very popular due to its simplicity, and, in practice, it provides a reasonable approximation to the real oscillator performance. However, since it is based on small-signal parameters, it cannot be used to accurately predict nonlinear performance in terms of the output power and oscillation frequency. In fact, among the main approximations assumed in this method is the independence of with the signal amplitude ( ), which will be responsible for a possible shift in the oscillation frequency prediction. Moreover, the assumed linear dependence of the negative input resistance with amplitude [implicit in (5)] will also produce inaccuracies in the oscillator power estimation.

In this classical design context, large-signal simulations (usually harmonic-balance-based) are thus mandatory as a further step to provide accurate nonlinear predictions of the oscillator performance [2], [9]. For that purpose, a precise nonlinear transistor model biased at an appropriate quiescent point should be provided. Harmonic-balance-based numerical design methods rely usually on optimizing the terminating network so that the transistor presents an appropriate negative resistance at the input port. The resulting one-port negative-resistance circuit can be designed by determining the input impedance as a function of the input drive at the desired oscillation frequency. For that purpose, a large-signal analysis can be performed using an auxiliary generator in the input port, with a commercial Harmonic Balance simulator, to compute the negative-resistance value and the power delivered to the load by the nonlinear active block. Finally, the resonator (input) impedance can be determined to force the oscillation condition (3) and, through Harmonic Balance simulations, the oscillation frequency and the corresponding output power can be easily predicted. The main drawbacks of this method are the lengthy and time-consuming simulator-based oscillator design steps and the fact that the first design estimation is obtained from -parameters and is thus not sufficiently accurate. Therefore, it would be helpful to further develop the analytical nonlinear design approach to account for nonlinear active device behavior, as in CAD based methods, but also enabling real-time synthesis, hence quickly providing an initial valid design solution that could be later, if required, validated or completed in the CAD tools, thus considerably decreasing simulation time. IV. TWO-PORT NEGATIVE-RESISTANCE OSCILLATORS DESIGN BASED ON -PARAMETERS Here, new closed-form expressions based on a load-independent -parameter transistor model are developed in order to formulate an analytical real-time oscillator design procedure that accounts for nonlinear device behavior. This design procedure can be briefly described as follows. Step 1) Selection of a potentially unstable biased transistor able to provide the desired frequency, output power, and phase noise specifications for the oscillator circuit. Step 2) Selection of the appropriate transistor terminating (output reference) impedance, following the blind iterative process described in [7] and experimentally validated in [8]. Step 3) Extraction of the load-independent -parameters transistor model at the calculated, in step 2), reference output impedance in order to predict oscillator design impedance space. Note: steps 2) and 3) are coupled through the blind process. Step 4) From the previous -parameter model, selection of the terminating load to simultaneously achieve maximum transistor output power and negative resistance at the transistor input . The deter-

PELAEZ-PEREZ et al.: LARGE-SIGNAL OSCILLATOR DESIGN PROCEDURE UTILIZING ANALYTICAL

Fig. 3. Flowchart of the classical oscillator design technique based on rameters.

-PARAMETERS CLOSED-FORM EXPRESSIONS

3129

-pa-

mination of the negative impedance space as a function of the input drive is crucial in accurate oscillator design. Thus, to predict the boundary between the negative and positive input impedance real part regions at the desired oscillation frequency, analytical expressions based on load-independent -parameters and proposed in [11] have been used. Simultaneously, for maximizing transistor output power, new closed-form expressions also based on -parameters, detailed in Section IV-A, are proposed and used in this paper in order to determine nonlinear transistor’s constant output power contours rather than -parameters computed linear gain contours. Step 5) Selection of the resonator (input) impedance. The nonlinear input impedance is computed -parameter-based analytically by means of closed-form expressions, detailed in Section IV-B. These input power-dependent analytical expressions predict the large-signal input reflection coefficients from which the resonator impedance, appropriate to obtain maximum steady-state oscillator power, is determined. In Figs. 3 and 4, a flow chart summarizes classical oscillator design procedure and the new large-signal oscillator design approach described above, respectively. A more detailed description of some of the proposed design steps is provided in next paragraphs. A. Terminating Network Design The optimum terminating impedance has to be selected carefully. It has to provide a negative resistance at the transistor input, and, at the same time, it should enable maximum power delivery to the load at the transistor output. In order to calculate this optimum terminating impedance in small-signal operation, power gain contours based on -parameters could be used, but the obtained prediction degrades with

Fig. 4. Flowchart of the new oscillator design technique.

power as device behavior becomes more nonlinear. For this reason, -parameters have been used in this paper to obtain a similar functionality but under nonlinear operation. The power delivered at the transistor output can be computed by means of normalized travelling waves, as shown in (6) where is the delivered power at the fundamental frequency, and are, respectively, the incident and reflected waves at the transistor output port. If we use (1) for describing the output wave in terms of the input wave through the extracted load-independent -parameters, the new output power closed-form expression (7) could be used to predict the oscillator fundamental power. The simplified formulation used in (7) assumes that all of the harmonic terminations are 50 , hence the waves can be neand, as this development is restricted to glected for the fundamental frequency of the driving signal, harmonic indices in (7) are equal to . Thus, in order to simwave: plify model notation, fundamental has been replaced by the following . This “one harnotation: monic” approximation is valid provided the oscillator resonator quality factor is large, since the harmonic components will be drastically attenuated. Otherwise, the accuracy in the prediction will decrease, but not as drastically as using -parameters. The above assumption will be considered for the remainder of the paper.

3130

If (7) is solved, contours of constant power on responds to an ellipse, can be obtained as

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

, which cor-

(8) plane, which is in the range where is the phase on the between 0 and , is the ellipse main axis angle, is the center, and and are the ellipse radii. The ellipse parameters are functions of the load-independent -parameters and can be obtained through the following expressions: (9) (10) (11) (12) (13) (14) (15) (16) (17) (18) (19) (20) From the expression of the constant power on contour (8), the equation for the reflection coefficients at the transistor output terminals compatible with can be easily obtained through

corresponds to a terminating impedance that can be calculated by (22). Once this impedance has been computed, it is necessary to check if the oscillation condition at the input port holds. If that is the case, then the load network can be accordingly designed; if not, another terminating value has to be tried (the impedance that obtains the next higher output power) or the transistor can be “modified” by adding a series or shunt feedback to assure energy is been delivered from its input to the resonator. This is an iterative process aimed to reach simultaneously both conditions: “energy delivered to the resonator” and the possible highest output power. To assist this process, closed-form expressions based on -parameters and proposed in [11] have been used in the present oscillator design methodology to speed up the procedure. B. Resonator Network Design Here, the resonator (input) impedance selection criterion is presented. The main goal to design this network is to deliver maximum oscillator power to the resonator, so that the power delivered to by the transistor is sufficiently high to compensate resonator losses at the large-signal solution, as well as providing the maximum power to the terminating (output) network. For this purpose, the input reflection coefficient has been computed using the simplified -parameters formulation, allowing the negative resistance to be determined for any signal amplitude. Moreover, this large-signal method also provides the imaginary part as a function of the signal amplitude, so that the oscillation frequency can be correctly predicted for the given power level. In the classical -parameter-based with approach, the variation of the imaginary part of the amplitude is neglected, resulting in inaccurate oscillation frequency prediction. The input reflection coefficient at the fundamental frequency is computed in this work in terms of fundamental frequency -parameters, defined at fixed harmonic terminations, through manipulating traveling wave’s relationships, as follows: (24)

(21) The maximum output power is located at the ellipse center. Therefore, the terminating impedance for maximum power oscillator design as a function of input power amplitude is given by

(25) From (25) system equation, the traveling wave can be expressed as a function of the input wave as

(22) Moreover, the maximum transistor output power can also be computed as a function of the -parameters and, thus, in terms of the input power amplitude, from

(26) By replacing (26) in (24), we obtain

(23) If the obtained maximum output power closed-form expression is plotted for different input drives, it can be observed that this function presents a maximum, which is the optimum operation point for maximum oscillator power. This optimum point

(27)

PELAEZ-PEREZ et al.: LARGE-SIGNAL OSCILLATOR DESIGN PROCEDURE UTILIZING ANALYTICAL

-PARAMETERS CLOSED-FORM EXPRESSIONS

3131

the oscillator resonator power and determine its maximum position. The correspondent input reflection coefficient can be calculated from (27). Once the optimum input impedance is obtained , the optimum load impedance would be calculated to fulfill the oscillation steady-state condition through (29)

Fig. 5. HBT measured and modeled (with - and -parameters) input reflection coefficient versus input power for two different transistor load impedances.

1) Input Reflection Coefficient Closed-Form Expression Experimental Validation: In order to evaluate the validity and accuracy of the input reflection coefficient expression (27) in terms of load-independent -parameters, a SiGe HBT (NESG2030M04, biased at { 87 A, 1.95 V}) has been characterized with a Maury-NMDG large-signal network analyzer (LSNA)-based measurement system. Measurements were performed at a fixed fundamental frequency, 5 GHz, sweeping the input power from 30 to 8 dBm and using two different fundamental load impedances: and 50 . The corresponding impedances at the harmonic frequencies (10 and 15 GHz in this case) were fixed at 50 . From a sequence of measurements at , the corresponding transistor’s -parameters were extracted as described in [5]. This reference impedance has been selected in this work since it is a region of stable transistor operation located at or near the maximum power point. In Fig. 5, HBT measurements for both load impedances have been compared to the values predicted from the closed-form expression (27) by using the -parameters extracted at . The extrapolation capabilities of the PHD model are evaluated here in the 50- case, where the extracted load-independent -parameter model at is then extrapolating to 50 . Note the significantly improved to ability of the -parameters determined at predict the small- and large-signal input reflection coefficients versus input power at a different load impedance (in this case, 50 ) in comparison with the -parameters approach, also shown in the plot. These measured results prove the validity of the proposed expression in terms of measurement-based load-independent -parameters for predicting the input reflection coefficient behavior versus power in a nonlinear system. From (27), the power delivered to by the transistor can be easily determined through (28) The power at the oscillator resonator calculated by means and of -parameters (28) is a function of the amplitude of presents a maximum for a specific value of and, thus, for a specific input impedance . In order to calculate this impedance, we only have to plot the analytical expression of

The approach presented in this paper, aimed to obtain the optimum input and load impedances for maximum oscillator power is a method that accounts for the large signal behavior of the active device, as that characterized by its load-independent -parameters. It does not include any approximation outside those implicit in the -parameter transistor description addressed in [7] and [8]. In this procedure, the higher the of the resonator, the higher precision can be achieved, since the role of harmonics is less important. Spurious oscillations are also less probable, provided care has been taken in designing the bias and stabilization networks to avoid low-frequency oscillations. If further analysis were required, e.g., due to a low , the oscillator designed through this method could be later implemented in a CAD simulator and analyzed for stability using some of the more established methods [13]. It has been proved in [14], that the nonlinear scattering functions can provide a useful tool to extend the concept of stability (stability factor, stability circles) to nonlinear circuits in a rigorous way by computing the conversion matrix between the potentially unstable frequencies. This method allows the identification of potential instabilities in nonlinear circuits by means of the representation of the conventional stability circles corresponding to the frequency-converting linearized network. In a similar way, the analytical expressions based on load-independent -parameters [11], used to predict the boundary between the negative and positive input impedance regions in large-signal operation, can also predict spurious out of band oscillations if in these expressions the nonlinear scattering functions are measured at the perturbation frequency, when the device-under-test (DUT) is driven by a large-signal tone at the design frequency. Nevertheless, the aim of this paper is exclusively to provide a design method for the fundamental frequency, although stability considerations can be studied in a later stage, when the matching networks design is accomplished, by means of stability analysis methods based on the nonlinear scattering functions. V. VALIDATION OF THE NEW OSCILLATOR DESIGN METHOD BASED ON -PARAMETERS IN A HARMONIC BALANCE SIMULATOR The proposed novel analytical approach for oscillator design has been validated with Harmonic Balance in a commercial CAD simulator (ADS, Advanced Design System). For this purpose, HBT-based oscillators at 5 GHz have been designed and fabricated. The transistor used in all the oscillator circuits is a packaged SiGe HBT (NESG2030M04, biased at { 87 A, 1.95 V}). A load-independent -parameter model has been generated from large-signal measurements; performed using an active load-pull LSNA-based measurement system [12]. Initially, the extraction procedure used has been

3132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 6. SiGe HBT maximum output power at the fundamental frequency. The corresponds to the input drive at the HBT input.

based in a nominal 50- system with a low-power active injection level. In the oscillator simulation, the model will have to predict device behavior at an output impedance not close to 50 . For this reason, the blind iterative process described in [7] and [8] has been used in order to obtain a load-independent -parameter model with high accuracy in the optimum load area for the oscillator design. The measurement conditions for the PHD model generation were the following: fundamental frequency range from 4.5 to 5.5 GHz (seven frequency values) and power levels range from 30 to 6 dBm (14 power levels). Once the appropriated -parameters were extracted, the new analytical design steps presented in previous sections were applied (using a worksheet design environment, i.e., MATLAB or Excel) and then validated with Harmonic Balance simulations. Note that the aim of this paper is exclusively to provide a design method only for the microwave part of the oscillator circuit. Hence, bias network design and circuit stabilization [13] in order to avoid low-frequency oscillations are not considered here. A. Terminating Network Design As considered before, our main goal when designing this network was to maximize the oscillator output power. According to (23), the transistor maximum output power can be calculated as a function of the HBT measured -parameters and thus, in terms of the input power amplitude, . In Fig. 6, the corresponding computed curve of the maximum output power versus for the considered SiGe HBT is shown. This curve presents a maximum for an input power amplitude equal to , that corresponds with a terminating impedance , which has been obtained when this value has been used in (22). impedance has been determined for maxOnce the imum output power, it is necessary to check if the terminating impedance selected fulfils the “energy delivered” condition at the input . If that is the case, then the load network can be designed but, if it is not, another impedance value has to be tried (the impedance that obtains the next higher output power). For the SiGe HBT used in this paper, it has been necessary to add a reactive series feedback, by means of a capacitor,

Fig. 7. Maximum output power of the transistor series connection at the fundacorresponds to the input drive at series connection mental frequency. The input, not just at the HBT input.

to increase the potential instability at the desired oscillation frequency without losing much power. The maximum output power of the HBT in series with the selected feedback network (see Fig. 7) has been analytically calculated using the -parameters of the combined network determined through (23). These series-connection -parameters were also analytically obtained from expressions proposed in [15], dependent on the HBT measured -parameters and the -parameters of the passive feedback network. The impedance area of the new combined -parameters remains valid (for modeling purposes), since, when the feedback is added to the active device, a translation of this space is produced, as the output impedance is the sum of each individual load impedances. The analytical expression for the output maximum power of the network series connection does not show a clear maximum point, and, thus, the target power has been selected as a compromise between maximum output power and the distortion allowed in the present design. For this reason, an input power amplitude equal to has been selected; this corresponds to the point where the device would be driven 2 dB into compression point. The computed terminating impedance is . For this input drive, the output power contours have been plotted in Fig. 8, in combination with the large-signal boundary between the negative and positive real input impedance regions, for different injection levels. Our goal is to obtain a terminating impedance that provides both a at this level in the negative region and provides high output power. In Fig. 8, the optimum terminating load calculated with this method is consistent with the load impedance for maximum power , since this impedance provides in the negative region for an input drive of (1 dBm). B. Resonator Network Design For designing the resonator network, the maximum power goal was also selected. If the power at the oscillator resonator is described by means of (28) and (27), a maximum can be observed for a specific input injection, as can be seen in Fig. 9. In

PELAEZ-PEREZ et al.: LARGE-SIGNAL OSCILLATOR DESIGN PROCEDURE UTILIZING ANALYTICAL

-PARAMETERS CLOSED-FORM EXPRESSIONS

3133

Fig. 11. Schematic of the HBT-based oscillator circuit.

Fig. 8. Negative–positive input real impedance boundary and constant power contours of the network series connection at the fundamental frequency. Load plane. The area to the left of the loci corresponds to the negative real impedance (1 dBm). region. Constant power contours plotted for an

The -parameters used in this case to calculate the input reflection coefficient are also those corresponding to the equivalent network series connection of the HBT and the passive reactive feedback. The input negative-resistance variation obtained for the network series connection is clearly nonlinear with the input injection, hence, the linear behavior (4) assumption is no longer valid, and, thus, the negative-resistance method based on -parameters cannot be used to accurately design oscillators. If the input maximum power point is analyzed, the corresponding input impedance is found to violate the Kurokawa condition. To guarantee a stable oscillation it is necessary to have a positive slope in the negative-resistance variation. Taking into account this condition, the input negative-resistance has been selected to provide both a high value of power delivered to from the transistor, for a specific input injection , and a positive slope. This input impedance can be easily solved through (27). In particular, for this HBT-based oscillator, an input impedance of has been selected. impedance Once the negative resistance is known, the has been calculated to obtain a resonance at this point, and its value has to fulfill (29). C. Harmonic Balance Simulations

Fig. 9. Input power at the network series connection.

Fig. 10. Input negative-resistance at the network series connection.

Fig. 10, the corresponding determined by (27).

for each value of

has been

Once the resonator and terminating networks have been analytically determined, both can be synthesized by means of different matching network configurations. In particular, we have selected a network composed by a transmission line and an open-circuit stub, and it has been designed by means of the commercial CAD Advanced Design System. The schematic of the designed free-running oscillator is shown in Fig. 11, where it can be observed in detail the selected matching network configuration. For the terminating network synthesis, in practice, it is difficult in a first try (and without using optimization) to design a matching network that provides a terminating impedance equal to to the series connection without taking into account bias network effects (usually composed of transmission lines). These effects slightly modify the load presented by the terminating network. In our case, taking into account the influence of the bias network, the impedance seen at the network series connection (HBT+feedback) output differs from the optimum value and is equal to , thus it belongs to the constant power contour of (10.6 dBm) while still . Therefore, the analytical solution reproviding a negative mains valid. For the resonator network synthesis, the bias network also degrades slightly the behavior of the resonator. For this reason

3134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE II HBT-BASED OSCILLATOR SIMULATIONS

Fig. 12. Total impedance imaginary part variation with the fundamental fre4.9 GHz, quency and with the input drive. Steady-state solutions: A ( ) and B ( 5 GHz, ).

TABLE I HBT-BASED OSCILLATOR: ANALYTICAL METHOD VERSUS HB SIMULATION

all the RF microwave transmission lines at the circuit input must be considered in order to obtain the desired analytical resonator impedance at the connection series input. Moreover, the resonator network role is crucial in order to guarantee that the potential steady-state solution is located at 5 GHz. For this reason, it is very useful to analyze the total impedance imaginary part variation with the fundamental frequency and with the signal power. The crossing of the plane with the surface establishes the possible steady-state solutions. In Fig. 12, these surfaces have been plotted, and they prove that the potential steady-state solution occurs at 5 GHz because the solution at 4.9 GHz does not fulfill Kurokawa condition. These surfaces have been obtained by analytically computing the imaginary part by means of (27). Finally, once the load and terminating networks have been synthesized in ADS, the resulting oscillator has been analyzed with Harmonic Balance to validate the new analytic oscillator design approach presented in this paper. A comparison between simulation results and the proposed analytical predictions (that where obtained using a worksheet design environment, i.e., MATLAB or Excel) can be shown in Table I. The fundamental power predicted at the network series connection output by the analytical -parameters method has been calculated by replacing (26) into (7), where the analytical -parameters are the corresponding to the equivalent network series connection of the HBT and the passive feedback, for the input injection at the oscillation condition . Note that the predicted power at the fundamental frequency is at the network series connection output and not at the output matching network, where the power would be affected by the matching network transmission losses. As can be seen in Harmonic Balance (oscillator mode) simulation, the new analytical method accurately predicts fundamental output power. It also accurately predicts oscillation frequency, hence avoiding the need to perform circuit optimizations to correct possible oscillation frequency shifting, as often

occurs with conventional linear techniques. In order to demonstrate this statement, an oscillator circuit has been designed with the same specifications but using the classical negative-resistance method based on -parameters. 1) Two-Port Negative-Resistance Oscillators Based on -Parameters in Comparison With Two-Port Negative-Resistance Oscillators Based on -Parameters: We found that specific design methods to determine optimum output impedance in the oscillator are not described in the literature [2]–[9]. In fact, the criterion for designing the terminating network in classical methods consists exclusively in selecting a terminating impedance that provides negative resistance at the transistor input. Maximum output power is not explicitly considered as a design goal. Nevertheless, in this paper, that target has been considered, and for that purpose we have tried Cripps design method [16] to determine transistor optimum terminating impedance for maximum oscillator power. Cripps analytical method is mainly used for predicting the maximum output power impedance of microwave amplifiers, based on load-line analysis, when load-pull measurements are not available. Thus, in principle, it makes sense to use this method instead of load-pull measurements, since linear classical methods for oscillator design assume that large-signal information is not used or available. However, load-pull measurements are more accurate, especially in the case of HBTs, to determine the optimum impedance. Using the Cripps approach, the real part of the optimum SiGe HBT output terminating impedance was determined to be 70 . The corresponding real part of the “optimum” output impedance for the series connection network, taking into account the same feedback network, is 39 . To design the corresponding resonator network, the input negative resistance has been calculated through small-signal -parameters, and the obtained value was for the design frequency (5 GHz). Then, the resonator network has been designed to present at its input an impedance of according to expressions (5) and (3). The designed oscillator has been simulated in ADS, and the results have been compared with those of the oscillator designed with the new method proposed in this paper. This comparison is shown in Table II. In the case of the negative-resistance method based on -parameters, the frequency deviation was significant in simulation (330 MHz) with respect to the target 5-GHz oscillation frequency. Thus, it would necessitate further optimization steps by using a transistor nonlinear model to correct this deviation. In the case of our proposed real-time -parameters approach, the shift is small, due to the high accuracy of the developed technique. Moreover, in Table II, it has been proved that the new design technique can obtain oscillator circuits that provide higher output power than the conventional ones, due to the ability of

PELAEZ-PEREZ et al.: LARGE-SIGNAL OSCILLATOR DESIGN PROCEDURE UTILIZING ANALYTICAL

-PARAMETERS CLOSED-FORM EXPRESSIONS

3135

Fig. 13. Photograph of the common-emitter HBT-based oscillator circuit.

TABLE III HBT-BASED OSCILLATOR: MEASUREMENTS VERSUS SIMULATIONS

X parameters to model transistor large-signal operation. Therefore, this method implies a clear improvement with respect to classical procedures based on small-signal formulations, and it is not necessary to use a Harmonic Balance simulator. VI. EXPERIMENTAL VALIDATION OF THE NEW TWO-PORT NEGATIVE-RESISTANCE DESIGN METHOD BASED ON -PARAMETERS The new design technique presented in this paper has also been validated experimentally by manufacturing some of the designed oscillators. In Fig. 13, a photograph of one of the fabricated circuits using hybrid microstrip technology is shown. Table III presents a comparison between measured and simulated behavior of the HBT-based oscillator prototype. Note that the output power is measured at the matching network output; therefore, matching network transmission losses are included. The measured output spectrum around the fundamental frequency component is shown in Fig. 14. The measured results are slightly different to the simulation results but are consistent with the tolerances provided by the manufacturers of the circuit substrate and components and with the differences we have observed when fabricating the same circuit by mechanical drilling or chemical etching. As it can be seen, for the fundamental signal, the predictions of the behavioral model and, therefore, of the new design technique are good, proving the usefulness and accuracy of the developed approach. With respect to the linear approach, with our method, the simulated oscillator frequency has improved by 300 MHz. Only the use of a conventional nonlinear model coupled to time consuming optimizations in a Harmonic Balance simulator could give results similar to those obtained with our method, provided the designer follows a design methodology similar to the one proposed in this paper. With respect to harmonics predictions, the discrepancies between simulations and measurements are higher than for the

Fig. 14. Measured output spectrum around the fundamental frequency component of the manufactured HBT-based oscillator circuit.

fundamental frequency, since the PHD model used here does not correctly predict harmonics, due to the fact that harmonic impedances in the oscillator circuit are far from 50 (impedance used to extract the -parameter model). Hence, these -parameter formulations are not able to accurately predict the incident and scattered waves at the oscillator harmonic impedances. Improvements can be obtained if the oscillator harmonic impedances are designed for values similar to 50 . However, this paper only aims to demonstrate the validity and usefulness of the analytical large-signal method developed for accurate fundamental predictions, assuming a high quality factor of the resonant circuit. In future work, harmonic predictions will also be accounted for. Furthermore, phase noise has not been an issue in the present design since the developed design approach just aims to correctly predict fundamental frequency and power in free-running oscillators. Thus, the scope of this work has been exclusively the extension of the negative-resistance method based on -parameters to provide improved results in large-signal regime. VII. CONCLUSION New closed-form expressions based on load-independent -parameters has been presented in order to enable a microwave oscillator analytical design procedure that incorporates nonlinear effects. This new approach improves predictions of fundamental output power and oscillation frequency in comparison with conventional small-signal methods based on -parameters. Furthermore, this real-time approach accounts for nonlinear active device behavior as in CAD-based numerical methods with nonlinear models, with the added value of a direct computation of the nonlinear network performance and, hence, speeding up the design process. Further stability analysis of the obtained steady-state solution could be required in order to validate each design. Using this new design procedure, oscillator circuits have been designed and manufactured. Harmonic Balance simulations and experimental results validate the accuracy and usefulness of the technique presented in the framework of microwave oscillator design.

3136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

REFERENCES [1] A. B. Carlson, Communications Systems. New York: McGraw-Hill, 1986. [2] A. Suárez, Analysis and Design of Autonomous Microwave Circuits. Hoboken, NJ: Wiley, 2009. [3] C. Baylis, R. J. Marks, II, J. Martin, H. Miller, and M. Moldovan, “Going nonlinear,” IEEE Microw. Mag., vol. 12, no. 2, pp. 55–64, Apr. 2011. [4] J. Verspecht and D. E. Root, “Polyharmonic distortion modeling,” IEEE Microw. Mag., vol. 7, no. 3, pp. 44–57, Jun. 2006. [5] J. Verspecht, D. E. Root, J. Wood, and A. Cognata, “Broad-band, multiharmonic frequency domain behavioral models from automated largesignal vectorial network measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, vol. 17, no. 8, pp. 1975–1978. [6] J. Horn, D. E. Root, and G. Simpson, “GaN device modeling with X-parameters*,” in Proc. IEEE Compound Semicond. Integr. Circuit Symp., 2010, pp. 1–4. [7] A. M. Peláez-Pérez, J. I. Alonso, M. Fernández-Barciela, and P. J. Tasker, “Validation of load-independent X-parameters formulation for use in analytical circuit design,” in Proc. IET Seminar on Active RF Devices, Circuits Syst., 2011, pp. 1–4. [8] A. M. Peláez-Pérez, J. I. Alonso, M. Fernández-Barciela, and P. J. Tasker, “Application of PNA-X and load-independent X-parameters in analytical circuit design assisted by an experimental search algorithm,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012. [9] G. González, Foundations of Oscillator Circuit Design. Norwood, MA: Artech House, 2007, pp. 251–350. [10] K. Kurokawa, “Some basic characteristics of broadband negative resistance oscillators,” Bell Syst. Tech. J., vol. 48, pp. 1937–1955, Jul.–Aug. 1969. [11] A. M. Peláez-Pérez, S. Woodington, J. I. Alonso, M. Fernández-Barciela, and P. J. Tasker, “X-parameters based closed-form expressions for evaluating power dependent fundamental negative and positive real impedance boundaries in oscillator design,” IET Microw., Antennas & Propagation J., vol. 6, no. 8, pp. 835–840, 2012. [12] A. M. Peláez-Pérez, A. Rodríguez-Testera, O. Mojón, M. FernándezBarciela, P. J. Tasker, and J. I. Alonso, “Utilization and validation of HBT nonlinear frequency domain behavioral models in the design and simulation of oscillator circuits,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 481–484. [13] S. Sancho, F. Ramírez, and A. Suárez, “General stabilization techniques for microwave oscillators,” IEEE Microw. Wireless Compon. Lett., vol. 15, pp. 868–870, Dec. 2005. [14] F. Di Paolo and G. Leuzzi, “A design approach for sub-harmonic generation or suppression in non-linear circuits,” in Proc. Gallium Arsenide Applications Symp., Sep. 2002, pp. 1–4. [15] A. M. Peláez-Pérez, S. Woodington, M. Fernández-Barciela, P. J. Tasker, J. I. Alonso, and A. Rodríguez-Testera, “Experimental verification of analytical design equations based on X-parameters for predicting role of series feedback,” in Proc. Eur. Microw. Integr. Circuits Conf., Oct. 2011, pp. 148–151. [16] S. C. Cripps, “A theory for the prediction of GaAs FET load-pull power contours,” in IEEE MTT-S Int. Microw. Symp. Dig., 1983, pp. 221–223.

A. M. Pelaez-Perez was born in Baralla, Spain, in 1984. She received the Telecommunications Engineering degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 2007, where she is currently working toward the Ph.D. degree. She is also collaborating with the Department of Signals and Communications, Universidad de Vigo, Vigo, Spain. Her field of research is microwave semiconductor devices: modeling and experimental characterization.

S. Woodington received the B.Eng. degree in electrical and electronic engineering and Ph.D. degree from Cardiff University, Cardiff, U.K., in 2006 and 2011, respectively. While with Cardiff University, he researched device modeling using harmonic load-pull measurements. He is with the Research and Development Section, Wireless Division, Alcatel-Lucent, Swindon U.K.

M. Fernández-Barciela (S’91–M’10) was born in Redondela, Spain, in 1966. She received the Ph.D. degree in telecomunications engineering from the Universidad de Vigo, Vigo, Spain, in 1996. In 1989, she joined the Vigo School of Telecommunication Engineering, Universidad de Vigo, Vigo, Spain, as an Assistant Professor and, in 1997, an Associate Professor, with teaching and research activities in the field of semiconductor devices and circuits for microwave applications. Her main research interests are in the areas of large-signal characterization and modeling of microwave active devices and circuits.

P. J. Tasker received the B.Sc. degree in physics and electronics and Ph.D. degree in electronic engineering from Leeds University, Leeds, U.K., in 1979 and 1983, respectively. From 1984 to 1990, he was a Research Associate with Cornell University, Ithaca, NY, with Prof. L. Eastman, involved in the early development of HFET transistors. From 1990 to 1995, he was a Senior Researcher and Manager with the Fraunhofer Institute for Applied Solid State Physics (IAF), Freiburg Germany, where he was responsible for the development of millimeter-wave monolithic microwave integrated circuits. He joined the School of Engineering, Cardiff University, Cardiff, U.K., as a Professor in the summer of 1995. While at Cardiff University, he established the Cardiff University Centre for High Frequency Engineering. The Centre’s research objective is to pioneer the development and application of RF I–V Waveform and Engineering Systems, with a particular focus to addressing the power amplifier design problem. He has contributed to over 200 journal and conference publications and given a number of invited conference workshop presentations. Prof. Tasker was an IEEE Distinguished Microwave Lecturer from 2008 to 2010.

J. I. Alonso received the Telecommunications Engineer and Ph.D. degrees from the Technical University of Madrid, Madrid, Spain, in 1982 and 1989, respectively. From 1982 to 1985, he was a Microwave Design Engineer with Telettra España S.A. In 1985, he joined the Department of Signals, Systems and Radiocommunications, Technical University of Madrid, Madrid, Spain, where he is currently a Full Professor. He has developed his research in the areas of the analysis and simulation of high-speed/high-frequency integrated circuits and their interconnections, the computer-aided design and measurements of hybrid and GaAs monolithic microwave integrated circuits, and their applications in the development and implementation of mobile, optical-fiber and communications systems. Likewise, he has been involved with the development of broadband point–multipoint radio systems in millimeter frequencies and the planning of wireless local area networks and its potential applications. He has authored and coauthored more than 100 publications in scientific journals, symposium proceedings and seminars and holds three patens. Prof. Alonso is currently chairman of IEEE Spanish Chapter of the Microwave Theory and Techniques and Antennas and Propagation Societies.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3137

Analysis of Oscillation Modes in Free-Running Ring Oscillators Jesús de Cos, Almudena Suárez, Fellow, IEEE, and Franco Ramírez, Member, IEEE

Abstract—An in-depth investigation of oscillation modes in ring oscillators is presented. The small-signal stability is initially considered, demonstrating that the poles associated with the dc regime are uniformly distributed on a circle on the complex plane, with increasing density for a higher number of stages. The existence of multiple pairs of poles on the right-hand side of the complex plane gives rise to different oscillation modes, related here to the eigenvectors of the circulant active-device immitance matrix. This paper shows that the stability properties of detected modes depend on both the order of appearance from dc regime, in a sequence of Hopf bifurcations, and the bifurcations undergone by each steady-state mode until reaching the final operation point, when changing a bias voltage, for instance. Thus, the stability analysis must combine a bifurcation analysis from dc regime and a bifurcation analysis of each individual oscillation mode in large-signal regime. The largesignal stability analysis presented shows the possible stabilization mechanisms, which lead to the common physical observation of some of these modes. The stabilization of the desired mode, using concepts from bifurcation theory, is also presented. All techniques have been successfully applied to a ring oscillator at 12.6 GHz. Index Terms—Bifurcation, harmonic balance (HB), oscillation modes, ring oscillator, stability.

I. INTRODUCTION

T

HERE are few previous works devoted to the stability analysis of oscillation modes in multidevice configurations [1]–[10], such as cross-coupled, -push, and ring oscillators. Some approaches are based on an eigenvalue calculation applied to a set of linear homogeneous equations [6], [7] in the frequency domain or to the system linearization about the dc solution [8]. On the other hand, the nonlinear analyses are usually limited to low-order systems, with a particular configuration and device model [9], [10]. Quite often, the linearization about the dc solution indicates the presence of more than one pair of complex-conjugate poles at different frequencies (different imaginary part) on the right-hand side of the complex plane (RHP). In this situation, it is widely argued that the steady-state oscillation observed should correspond to the pair of poles with the highest real part since these poles would dominate the transient due to a much quicker growth of the exponential terms at Manuscript received March 06, 2012; revised July 06, 2012; accepted July 17, 2012. Date of publication August 31, 2012; date of current version September 27, 2012. This work was supported by the Spanish Ministry of Economy and Competitiveness under Contract TEC2011-29264-C03-01. The authors are with the Communications Engineering Department, University of Cantabria, Escuela Técnica Superior de Ingenieros Industriales y de Telecomunicación (ETSIIT), 39005 Santander, Spain (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2210436

the frequency of the rightmost pair of poles. Nevertheless, this assumption disregards the fact that multiple pairs of unstable poles can give rise to several steady-state periodic oscillations (modes), each with its own stability properties [3]. Every stable steady-state solution has its own basin of attraction [11], [12] (or set of initial values such that the system evolves to that particular solution), so, in principle, all of the stable modes may be physically observed, as shown in [5]. On the other hand, the work in [1]–[3] deals with mode analysis in globally coupled oscillators, such as Rucker’s and -push oscillators, in which suboscillators are connected to a common power combination network. The effect of circuit symmetries is studied in detail in [1] and [2], where the various oscillation modes are related to the eigenvectors of the passive-network impedance/admittance matrix connecting the oscillator elements. In [3], it is shown that these oscillation modes are generated in consecutive Hopf bifurcations, each corresponding to a pair of complex-conjugate poles crossing the imaginary axis to the RHP. The stability of each steady-state mode is analyzed, but no coexistence of stable modes is found. In fact, only the mode generated from a stable dc solution is stable on the interval of operation conditions considered, so no investigation is carried out on possible stabilization mechanisms. As shown in [3], the oscillation modes generated from unstable dc solutions are unstable too in the neighborhood of the bifurcation. However, as the oscillation amplitude grows versus any circuit parameter, other bifurcation phenomena might lead to the stabilization of these modes. The knowledge of the possible stabilization mechanisms will be useful not only for a reliable prediction of undesired modes but also for an efficient suppression of these modes. The analysis of oscillation modes and stabilization phenomena will be applied to ring oscillators. Ring oscillators are interesting for radio frequency (RF) and microwave applications since they provide multiphase outputs and, when tuned, enable a broad band of oscillation frequencies due to the absence of LC resonators. Ideally, a ring oscillator consists of a closed loop of identical amplifiers, each one ending in a load impedance [13]–[16]. In order for the oscillation to start up, the loop must exhibit gain and a total phase shift , , at the oscillation frequency. Unfortunately, this condition may be fulfilled at different frequencies for the same or different value of , which will give rise to different oscillation modes. For stages, the inter-stage phase shift associated to each mode will be . Here, a global stability analysis will be performed about the dc regime and about each of the possible steady-state oscillation modes. In the former case, the use of linearized parasitic-less transistors will enable an analytical determination

0018-9480/$31.00 © 2012 IEEE

3138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

of the pole locus inherent in the ring topology. The system poles are demonstrated to lie on a circle of a given center and radius, determined by circuit element values. As increases, the presence of more than one pair of complex-conjugate poles on the RHP gives rise to coexistence of oscillation modes. As will be shown, the parasitic elements included in models for active devices play an essential role in the generation of oscillation modes due to the additional frequency-dependent phase shifts introduced. It will be shown that the various modes are associated with the eigenvectors of the active-device immitance matrix, with a circular structure. This is different from the case of Rucker’s and -push oscillators, where they are associated with the eigenvectors of the passive matrix [1]–[3], [17]. The mechanisms for the generation and stabilization of the modes will be analyzed with the aid of bifurcation theory, using the Center Manifold Theorem [11], [18], [19]. Indeed, this theorem enables a system simplification in the neighborhood of the bifurcation, which is very convenient for the study of the complex dynamics of the multidevice oscillator, involving several coexisting modes. This paper is organized as follows. Section II presents a theoretical analysis of poles associated with the dc solution of the ring oscillator. In Section III, a more realistic model, including active device parasitics, is considered. The various oscillation modes are related to the eigenvalues of the active-device immitance matrix. In Section IV, the mechanisms for the generation of oscillation modes and possible stabilization of undesired modes are investigated. Section V presents the application of all of the different techniques to an experimental oscillator at 12.6 GHz.

II. THEORETICAL ANALYSIS OF OSCILLATION MODES An ideal ring oscillator is formed by a closed loop of identical stages, each one constituted by an amplifier ending in a load impedance [13]–[16]. The total phase around the loop must be a multiple of , that is, , where is the phase shift introduced into the ring by each stage. Therefore, an -stage ring oscillator may exhibit one or more oscillation modes at the frequencies at which the following relationships are fulfilled: (1) Note that phase shifts given in (1) will be wrapped to match the . As demonstrated in the following, the number interval of existing modes depends on the circuit element values and the number of stages. The linearized equivalent circuit of a parasitic-less field-effect transistor (FET)-based -stage ring oscillator is shown in Fig. 1. The stability of the dc solution is analyzed through the calculation of any transfer function associated with circuit linearization about this dc solution. Actually, all possible transfer functions share the same denominator, which agrees with the system characteristic determinant [20]. The roots of this determinant provide the eigenvalues or poles associated with the dc

Fig. 1. Equivalent circuit of an -stage ring oscillator, showing the linearized transconductance of transistors and the connection of the small-signal current source used for the stability analysis of the dc solution.

solution. A particular transfer function can be obtained introducing a small-signal current source in parallel at one of the circuit nodes. The transfer function is then calculated as the ratio between the node voltage and the current introduced as

(2)

is the binomial coefficient given by where and is the number of stages. The polynomial in the numerator can be expressed as , according to Newton’s binomial formula, , so the chosen transfer function has a real zero at with order of multiplicity . The pole map can be obtained in a similar fashion performing the change of variable . The denominator can be now expressed as , whose roots are , . Once the change of variables is undone, the system poles are given by (3) to . This theoretical analysis proves that where the pole locus of the ring oscillator is a circle centered at , with radius . The poles are inherent in the system and independent of the particular definition of the transfer function. The zeroes of (2) are confined in the center of this circle. To illustrate, a ring oscillator with element values 50 , 1 pF and transistors described by Angelov’s FET model [21] with no parasitic elements is considered. The transistors are operated in common-source class A with lumped RF chokes and dc blocks. The transconductance calculated at the bias point 0.3 V, 4.5 V is 49.59 mS. Fig. 2 shows the pole-zero map for different number of stages. Because , , and are positive, there is always a stable real pole at , regardless of the number

DE COS et al.: ANALYSIS OF OSCILLATION MODES IN FREE-RUNNING RING OSCILLATORS

3139

Fig. 4. Ring oscillators can be broken up into a linear network and a nonlinear network. The linear network is formed by the isolated passive loads and the nonlinear network is formed by the ring of amplifiers.

B. Odd Number of Stages

Fig. 2. Pole-zero map of the dc solution, corresponding to a transistor-based -stage ring oscillator. The results of numerical identification for a ring oscillator based on Angelov’s FET with no parasitic elements are superimposed as squares.

Fig. 3. Three different dc solutions obtained in transient simulations for different initial conditions at a drain node of a six-stage ring oscillator based on Angelov’s FET with no parasitic elements.

of stages. For a more detailed analysis of remaining poles, the cases of even and odd numbers of stages will be considered. A. Even Number of Stages With an even number of stages, the ring oscillator has a second real pole at , which is the rightmost pole, lying on the RHP if . Depending on the element values and the number of stages, there may be some other unstable pairs of complex-conjugate poles with smaller real part. The unstable real pole can give rise to an undesired coexistence of dc solutions, even in the presence of pairs of complex-conjugate poles on the RHP. Fig. 3 shows the coexistence of two stable dc solutions for . The analysis has been performed using time domain integration and different initial conditions. The zero solution, obtained with zero initial value, is unstable.

In the ring oscillator comprising parasitic-less transistors with odd there are never real poles on the RHP. To achieve an oscillation the minimum number of stages required is 3. For , there will be only a pair of complex-conjugate poles, lying on the RHP if , as gathered from (3), so the corresponding oscillation will be unique. For higher odd , coexistence of oscillation modes will occur if several pairs of complex-conjugate poles lie on the RHP. The minimum number of stages for this situation is obtained by checking the sign of the real part of (3) with , which provides . For the minimum number , two coexistent oscillation modes will exist if the condition is fulfilled. With the element values assumed here, only one mode is obtained for . All of the above analytical results have been validated with a pole-zero identification technique [20], intended for use in harmonic balance (HB) simulators and based on numerical calculation of a closed-loop transfer function. The poles obtained with this technique are superimposed as squares in Fig. 2 and show excellent agreement. As will be shown, several pairs of complex-conjugate poles on the RHP indicate the likely coexistence of oscillation modes at frequency values near those of the detected poles. Realistic models of active devices distort the original pole locus but traits of the original circle configuration are still maintained. III. EIGENVALUE ANALYSIS In [1]–[3], [17], the various oscillation modes of globally coupled oscillators (Rucker’s and -push oscillators) are associated with the eigenvectors of the admittance or impedance matrix describing the passive embedding network, with a circular structure. The application of a similar analysis to ring oscillators will be investigated here. This will provide valuable insight into the impact of circuit symmetries on mode generation. The analysis, based on admittance matrices, is of general application. Let the ring oscillator in Fig. 4 be considered. Clearly, this oscillator can be decomposed into a nonlinear network and a linear network composed of the different isolated loads . The admittance matrix , corresponding to the linear network, is diagonal, where is the diagonal elements. The nonlinear network is composed of the closed chain formed by the amplifiers.

3140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Due to the symmetry in the circuit, its corresponding admittance matrix has the following circular structure:

.. .

.. .

.. .

..

.

.. .

Eigenvalues and eigenvectors are well-known for circular matrices [22]:

.. .

(4)

. Due to circuit symmetry, each where varies from 0 to solution can be expected to have the same voltage magnitude at the nodes, which is in agreement with (4). Note that the phase shift between the components of each eigenvector agrees with the phase shift of each of the possible modes in the simplified analysis in (1), that is, , where to . Applying the properties demonstrated in [1] and [2], for each possible mode , the steady-state oscillation condition is (5) where to . On the other hand, the startup conditions for each mode are given [23] by , , , where is evaluated in small signal . The above analysis method shows that in ring oscillators the eigenvalues of the nonlinear admittance matrix determine the possible oscillation modes. This is different in [1], [2], where the eigenvalues of the passive matrix determine the oscillation modes. The eigenvalue analysis will be applied to a three-stage ring oscillator. Two cases of study will be considered. The first one corresponds to a ring oscillator based on previously used Angelov’s FET with no parasitics and element values 50 , 0.4 pF. The startup analysis shows that only the mode , corresponding to a phase shift , satisfies startup conditions at 17.09 GHz [see Fig. 5(a)]. This frequency agrees with that corresponding to the unstable pair of complex-conjugate poles resulting from numerical identification of the associated dc solution, as can be gathered from pole diagram in Fig. 5(a). In a second analysis, parasitic elements with typical values ( 6 , 0.8 nH, in series, and 200 fF, in parallel) are added to the gate terminal of each transistor. These elements provide an additional phase shift that enables the startup of a second mode for , as can be seen in Fig. 5(b). Now, there is a noticeable disagreement between the frequencies of the poles and the startup frequencies resulting from eigenvalue

Fig. 5. Startup analysis of different oscillation modes in a three-stage ring oscillator based on Angelov’s FET and identification of the dc solution. (a) Transistors without parasitics. (b) Transistors comprising gate parasitic elements.

analysis. In fact, this analysis does not provide the system poles. Instead, it is an evaluation of the possible existence of energy imbalance under resonance conditions. Note that the poles on the RHP exhibit a semicircular configuration, similar to that obtained in the idealized analysis of Fig. 2. The steady-state oscillation modes can be obtained in HB simulations using auxiliary generators (AGs), which are artificial generators used for simulation purposes only [24], [25]. A voltage AG with amplitude and frequency is connected in parallel at the extrinsic drain node of each transistor. The phase values will depend on the particular mode being analyzed. Due to solution autonomy [24], the phase of the first AG can be arbitrarily set to 0 . This will be the AG at node 1. Then, for the analysis of mode , the phase of each AG is given by

DE COS et al.: ANALYSIS OF OSCILLATION MODES IN FREE-RUNNING RING OSCILLATORS

3141

IV. BIFURCATION ANALYSIS AND STABILIZATION MECHANISMS When varying a circuit parameter, the oscillation modes are generated at direct Hopf bifurcations from dc regime [11], [24]–[27]. At each of these bifurcations, a pair of complex-conjugate poles crosses the imaginary axis to the RHP. In [3], [24], the analysis parameter is selected so that for some values of this parameter no oscillation is possible (“oscillation-quenching parameter”); only the first mode, generated from a stable dc regime, is stable and never changes its stability properties when further varying the parameter. The same is true for the unstable modes, which never become stable. Taking this into account, the desired mode was stabilized, thus modifying the original design so as to ensure that it was the only one to be generated from a stable dc solution. The criterion used in [3] and [24] is valid provided that the stability properties of the incipient modes are maintained along the whole solution curves, up to the desired operation point, which was the case in these particular works. However, the dynamics of ring oscillators have been found to be more complex and exhibit different stabilization mechanisms. A reliable prediction of the coexisting stable modes requires two different analysis steps: the sequence of Hopf bifurcations from dc regime and the stabilization mechanisms. A. Sequence of Hopf Bifurcations Let a parameter able to quench any possible oscillation mode be considered, such as the bias voltage in FET-based oscillators. When varying this parameter, the oscillation modes will be generated in a sequence of direct Hopf bifurcations, occurring at particular parameter values. It will be assumed that the dc solution does not become stable between Hopf bifurcations, which is the case throughout this work. Then, the stability properties of the dc solutions will change versus in the following manner:

(6) Fig. 6. Admittance diagram of the ring oscillator based on Angelov’s FET com. (b) Mode . prising gate parasitic elements. (a) Mode

, where . The admittance seen by each node is given by the ratio between current through the AG (entering the circuit) and voltage delivered. To obtain each steady-state oscillation mode, is swept for a few values about the startup frequency, representing the admittance function on the complex plane. The steady-state oscillation condition is fulfilled at the origin of the admittance diagram, where is the steady-state amplitude and is the steady-state fundamental frequency. The diagrams obtained with the ring oscillator based on Angelov’s FET model comprising gate parasitic elements are shown in Fig. 6. As can be seen, for each mode, there is a significant difference between the frequency at which the oscillation startup conditions are fulfilled and the steady-state oscillation frequency. This is attributed to the small frequency sensitivity of the ring topology, which does not contain any LC resonator.

is the total number of Hopf bifurcations observed inwhere side the interval of parameter values considered, indicates the th Hopf bifurcation, where to , and the superindex refers to the number of unstable poles of the dc solution. Note that at each Hopf bifurcation an oscillatory solution is generated with a steady-state amplitude tending to zero at the bifurcation point [24]. For a global understanding of the qualitative stability changes, it will be taken into account that fundamental bifurcation phenomena occurring from dc regime involve just one or two eigenvalues (poles) [19]. Therefore, it should be possible to obtain a reduced system in one or two dimensions such that all of the qualitative properties of the original multidimensional system are preserved. This is the main idea behind the Center Manifold Theorem [11], [18], [19]. The center manifold is an invariant manifold tangent to the center eigenspace or eigenspace spanned by the eigenvectors associated with the eigenvalues with zero value or zero real part (critical eigenvalues). The Center Manifold Theorem exploits the fact that local dynamical behavior transverse to this center manifold

3142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

is relatively simple, since it is controlled by exponentially contracting and expanding behavior [11]. Taking this into account, a classification of the main types of bifurcations from dc solutions (equilibrium points) is presented in [28]. The two main types of direct Hopf bifurcations are given by (7a) (7b) where and are the number of stable and unstable eigenvalues of the original dc solution, respectively, where is the system dimension. In both cases, after the direct Hopf bifurcation, the dc solution has (as expected) two more unstable eigenvalues, corresponding to the pair of complex-conjugate eigenvalues that crosses to the RHP at the bifurcation point. The change in stability properties is indicated with two superindexes, which respectively indicate the number of stable and unstable eigenvalues. On the other hand, refers to the periodic oscillation generated at the bifurcation. The corresponding superindices indicate the number of stable, unstable, and unit-value Floquet multipliers [28]–[30], in this order. Note that the oscillatory solution has one Floquet multiplier of value 1 associated with the system autonomy [24]. In (7a), a periodic solution with the same stability properties of the dc solution is generated at the Hopf bifurcation and exists only after this bifurcation (supercritical case). In (7b), a periodic solution with unstable Floquet multipliers is extinguished at the Hopf bifurcation (subcritical case). Here, the stability of periodic solutions will be analyzed in practice by means of their associated poles instead of using Floquet multipliers. Poles and Floquet multipliers are related through [29], [30] , where is the solution period. This relationship is nonunivocal. As a matter of fact, there is an infinite set of poles of the form , where , associated with each multiplier . From the point of view of the solution poles, the critical pair of complex-conjugate poles of the dc solution involved in the Hopf bifurcation transforms into two distinct real sets of poles and , due to preservation of system dimension [24]. When varying the parameter so as to increase the steady-state oscillation amplitude, one of these sets stays at the imaginary axis (Floquet multiplier of value 1) [24], [29] whereas the other will shift either to the left-hand side of the complex plane (LHP) [(7a)] or to the RHP [(7b)]. The remaining Floquet multipliers (and their corresponding sets of poles) stay near their original values. In the case of the ring oscillator, there is, in general, a sequence of Hopf bifurcations, which may have any of the following forms:

(8a) (8b) to indicates the order of appearance in the where sequence of Hopf bifurcations. The relationships (8a) and (8b) correspond to supercritical and subcritical bifurcations, respectively.

The above theory will be applied to the analysis of a previously analyzed ring oscillator based on Angelov’s FET with parasitic elements at the input of each transistor gate, in which two different modes have been detected with the eigenvalue analysis of Section III. The stability of the dc solution has been analyzed with pole-zero identification for increasing values of , from 2 V, where all devices are in cutoff, to the highest value of 0 V. The evolution of the real part of the dominant complex-conjugate poles versus is shown in Fig. 7(a). A sequence of two Hopf bifurcations is observed for 1.397 V (bifurcation ) and 1.121 V (bifurcation ). The dc solution is stable for 1.397 V, it is unstable with one pair of poles at about 16 GHz on the RHP for 1.397 V 1.121 V and unstable with two pairs of poles at 16 GHz and 7 GHz on the RHP for 1.121 V. From Section III [see Fig. 5(b)], corresponds to the solution with 0 phase shift whereas corresponds to the solution with 120 phase shift. The AG technique [24], complemented with parameter switching [31], has been applied to obtain the steady-state oscillation curve versus for each mode. An essential difference regarding other oscillator configurations, such as -push oscillators [3], is that only one AG is required for the HB simulation of each mode (instead of several AGs with suitable phase shifts). This is attributed to the fact that the oscillation in the ring is unique, with each stage contributing a fraction of the phase shift required for this oscillation. The AG frequency is made equal to the frequency of the mode detected in the small signal stability analysis. To trace each solution curve, is swept, solving , where is the AG amplitude. In high-slope sections, parameter switching is applied, and is swept instead, solving . The curves resulting from this analysis are shown in Fig. 7(b). The bifurcation , occurring at 1.397 V, is of subcritical type (8)b, with and, therefore, no unstable poles of the dc solution prior to the bifurcation. Thus, the incipient solution with 0 phase shift will be unstable with one real pole on the RHP. The bifurcation occurring at 1.121 V, where the 120 mode is generated, is of supercritical type (8a), with . The incipient solution with 120 phase shift is unstable since it arises from an unstable dc solution with a pair of complex-conjugate poles at about 16 GHz. B. Stabilization Mechanisms As shown in (8a) and (8b), the periodic solution generated at the th Hopf bifurcation can have either or unstable Floquet multipliers. In order to become stable, all of these Floquet multipliers must enter the unit circle, or equivalently, the associated sets of poles must cross the imaginary axis to the LHP. The stabilization mechanisms may involve the crossing to the LHP of both real and complex-conjugate poles. 1) Crossing of Real Poles: Initially, the case of a subcritical Hopf bifurcation from a stable dc regime will be considered, ruled by the relationship

DE COS et al.: ANALYSIS OF OSCILLATION MODES IN FREE-RUNNING RING OSCILLATORS

Fig. 7. Bifurcation diagram versus gate bias voltage in the ring oscillator based on angelov’s FET comprising gate parasitic elements. (a) Real part of associated poles versus gate bias voltage, obtained from numerical identification of the dc solution. (b) Oscillation amplitude versus gate bias voltage (unstable sections in in the solution with 120 discontinuous line). (c) Real part of the poles at phase shift, obtained with the conversion matrix approach.

The incipient periodic solution will have a real positive Floquet multiplier outside the unit circle, or equivalently a set of poles , on the RHP. For stabilization of the solution, this set of poles must cross to the LHP. This will occur in a turning-point bifurcation of the periodic oscillation curve,

3143

traced versus the parameter. This is the case of the 0 mode in the analysis of Fig. 6(a), which stabilizes at the turning point. In general, the stabilization of periodic solutions with an odd number of unstable Floquet multipliers will require at least one turning point in the solution curve at which the set of poles , crosses to the LHP. It must be noted that there can be a change in the nature of the RHP poles when varying the analysis parameter. Let an oscillation mode at the frequency have a pair of unstable complex-conjugate poles , where and are incommensurable (which, due to the nonunivocal relationship between Floquet multipliers and poles, implies the existence of ). If under variation of a parameter decreases to zero, the complex conjugate poles will merge and split into two real poles (or ) moving in opposite directions. The pole shifting to the left may cross the imaginary axis giving rise to a turning point in the solution curve, at which the number of unstable poles will decrease in one. The pole shifting to the right can reverse and shift to the left crossing the imaginary axis in another turning point. 2) Successive Crossing of Pairs of Complex-Conjugate Poles: Let an unstable incipient oscillation mode with several pairs of complex-conjugate multipliers outside the unit circle be considered. Two different situations will be analyzed: a periodic solution of the form and a periodic solution of the form . This last case corresponds to a periodic solution generated at the subcritical Hopf bifurcation (8b) before passing the turning point. For notation convenience, the change of variable will be performed, so the incipient periodic solution will have either or Floquet multipliers outside the unit circle. In fact, this new index accounts for the amount of inverse Hopf bifurcations needed to stabilize the mode generated at the Hopf bifurcation from dc regime. To derive the possible transformations at each inverse Hopf bifurcation some properties will be taken into account. The local dynamics associated with multipliers different from those that cross the unit circle simply correspond to exponentially contracting and expanding behavior. Therefore, these noncritical dynamics will hold after the bifurcation, in analogue manner [27], [32] to what happens in relationships (7). The poles of the periodic solution are given by the roots of the characteristic determinant, resulting from system linearization about the periodic solution at , with the complexfrequency perturbation. This characteristic determinant will be written in a compact manner as , where JH stands for Jacobian. At the Hopf bifurcation, there are two complex-conjugate multipliers on the border of the unit circle. If critical multipliers are expressed as , the following equation can be written: , which is, in fact, a linearized steady-state equation (frequencies with real part equal to zero), fulfilled by a solution with two fundamental frequencies and an amplitude tending to zero. Therefore, a quasi-periodic solution is generated at the Hopf bifurcation, which due to the continuity of the equations, should grow in amplitude when either increasing or decreasing the parameter. The original periodic solution is autonomous and thus has a multiplier of value 1. The Hopf bifurcation gives rise to the

3144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

onset of a second autonomous frequency. Hence, a quasi-periodic solution, with two degrees of autonomy, is generated. The stability of quasi-periodic solutions is defined by real numbers, known as Lyapunov exponents [29], [33]. A quasi-periodic solution with two autonomous fundamental frequencies will have two Lyapunov exponents of zero value. For stability, the remaining Lyapunov exponents must be smaller than zero. As already stated, at the Hopf bifurcation the periodic solution has two critical complex-conjugate Floquet multipliers. In order to preserve the system dimension, the quasi-periodic solution must have two Lyapunov exponents of zero value at the bifurcation point (besides the one associated to the original oscillation). When varying the parameter so as to increase the amplitude of the quasi-periodic solution, one of the two critical Lyapunov exponents will stay at zero and the other will become negative, in the case of a supercritical bifurcation, or positive, in the case of a subcritical one. The first situation is initially considered. Provided there is no merging of poles, stabilization of mode would require pairs of complex-conjugate multipliers to enter successively the unit circle in a series of inverse Hopf bifurcations versus the parameter. Applying the above concepts, it is possible to derive the two following forms of inverse Hopf bifurcation: (9a) (9b) where indicates a quasi-periodic solution. The superindices in refer to the number of stable, unstable, and zero-value Lyapunov exponents, in this order. Transformation (9a) corresponds to a supercritical inverse Hopf bifurcation, since there is no quasi-periodic solution after the pair of complex-conjugate multipliers enters the unit circle, unlike the situation (9b) (subcritical bifurcation). As shown in (9), the stabilization through inverse Hopf bifurcations implies the existence of quasi-periodic steady-state regimes, extinguished at these bifurcations. Note that the analysis in (9) focuses on the stabilization mechanisms, so it does not consider the possible occurrence of direct Hopf bifurcations or turning points in the solution curve. The extension of the analysis to cover those situations would be straightforward. To clarify the transformations in (9), some sketches are presented in Fig. 8 which are particularized to the last bifurcations leading to the stabilization of a particular mode. The transformations in Fig. 8(a) and (b) correspond to the stabilization of a periodic solution with only one unstable pair of complex-conjugate multipliers. In Fig. 8(a), the inverse Hopf bifurcation is supercritical, agreeing with (9a), and a stable quasi-periodic regime is generated at the bifurcation point. If prior to IH there are no direct Hopf bifurcations in the periodic path, one would expect the quasi-periodic solution to become unstable (with one positive Lyapunov exponent) through a turning point , that is, the curve cannot grow indefinitely when decreasing the quenching parameter. In Fig. 8(b), the inverse Hopf bifurcation is subcritical, agreeing with (9b), and gives rise to the onset of an unstable quasi-periodic regime with one positive Lyapunov exponent.

Fig. 8. Inverse Hopf bifurcations from a periodic regime. (a), (b) Supercritical and subcritical types, respectively, for either a periodic solution generated at a supercritical Hopf bifurcation from dc regime or the stable (upper) section generated at a subcritical one. (c), (d) Supercritical and subcritical types, respectively, for the unstable (lower) section of a periodic solution generated at a subcritical Hopf bifurcation from dc regime.

The second situation implies the existence of pairs of complex-conjugate multipliers plus one positive real multiplier outside the unit circle. An inverse Hopf bifurcation occurring with the positive real multiplier still outside the unit circle would correspond to any of the two following transformations:

(9c) (9d) where case (9c) corresponds to a supercritical bifurcation and case (9d) corresponds to a subcritical one. Note that the periodic solution still exhibits one positive real multiplier larger than 1 after the bifurcation point. Fig. 8(c) and (d) shows the last bifurcations leading to the stabilization of a periodic solution with a pair of complex-conjugate multipliers and a real positive multiplier outside the unit circle. In both cases, the inverse Hopf bifurcations occur when decreasing the parameter. The bifurcation IH in Fig. 8(c) corresponds to a transformation of type (9c). At IH, the pair of complex-conjugate multipliers enters the unit circle. The remaining real multiplier is expected to enter the unit circle at a turning-point bifurcation of the periodic solution leading to the stabilization of the periodic regime from this point. The quasi-periodic solution generated at the bifurcation is unstable with one positive Lyapunov exponent. The sketch in Fig. 8(d) corresponds to the transformation (9d). Similarly to (9c), the periodic solution has one positive real multiplier larger than 1 after IH, which is expected to enter the unit circle at , leading to the stabilization of the periodic solution. The quasi-periodic solution is unstable with two positive Lyapunov exponents. To illustrate, the stability of the two modes detected in Fig. 5(b) has been analyzed along the periodic oscillation solution curves. With this aim, pole-zero identification [20] was applied to a transfer function obtained by linearizing

DE COS et al.: ANALYSIS OF OSCILLATION MODES IN FREE-RUNNING RING OSCILLATORS

Fig. 9. Unstable poles resulting from numerical identification of the 120 mode 1 V: , with integer. depicted in Fig. 7(b) for Theoretical poles (crosses) are in total agreement with numerical identification (squares).

the circuit equations about each particular periodic solution, using the conversion-matrix approach [27], [34], [35]. As an example, Fig. 9 shows the unstable poles of the 120 mode at 1 V, oscillating at 6.88 GHz. Due to the nonunivocal relationship between Floquet multipliers and poles, there are image poles at frequencies , where . In order to follow the stability variations along the periodic oscillation curves it is necessary to keep in mind all the possible image poles; otherwise, poles associated with the same Floquet multiplier could be wrongly identified as distinct poles. The solution exhibits a pair of unstable complex-conjugate poles at 15.94 GHz, corresponding to the oscillation frequency of the 0 mode. The stability analysis along the solution curve of the 0 mode in Fig. 7(b) shows that a real pole crosses the imaginary axis to the LHP at the turning point , so the mode stabilizes at this point. On the other hand, the pair of complex-conjugate poles at about 16 GHz (transferred from the unstable dc solution) in the 120 mode shift to the left and cross the imaginary axis to the LHP at [see Fig. 7(c)]. From this bifurcation, both the 0 mode (stabilized at ) and the 120 mode (stabilized at ) are stable. At the bifurcation , the following relation is fulfilled: (10) gives rise to Thus, the subcritical inverse Hopf bifurcation an unstable quasi-periodic solution with a positive Lyapunov exponent. For confirmation, this quasi-periodic solution has also been obtained in HB simulations, which are involved due to the existence of two incommensurate and autonomous fundamental frequencies. The solution has been obtained with two AGs, each operating at one of the fundamental frequencies , , and fulfilling a nonperturbation condition , where , with the following initial values for the incipient quasi-periodic solution 6.82 GHz, 15.9 GHz,

3145

Fig. 10. Waveform and spectrum obtained from transient simulation of the ring oscillator based on Angelov’s FET comprising gate parasitic elements, for dif10 V. (b) Initial ferent initial conditions at a drain node. (a) Initial voltage short high-amplitude current pulse.

1.304 V, 0.01 V, 0.963 V. For each parameter value a system of two complex equations , is solved in the four unknowns , , , . The variation of the oscillation amplitude at each of the two fundamental frequencies of this solution is represented with two branches in Fig. 7(b). As can be seen, the point where the quasi-periodic solution is generated is in total agreement with the results of the large-signal stability analysis. The quasi-periodic solution curve exhibits two turning points. The positive Lyapunov exponent decreases along the solution curve up to the first turning point , where it takes zero value and the quasi-periodic regime becomes stable. From the second turning point , the Lyapunov exponent becomes positive, so the quasi-periodic solution is unstable again. Both the 0 mode and the 120 mode are stable for 0.963 V, so both should be physically observable depending on the initial conditions provided. Therefore, convergence towards one solution or the other can be obtained, depending on these initial conditions. At the operation point previously considered 0.3 V 4.5 V , the dc solution has the unstable poles and . With an initial perturbation at a drain node of 10 V, transient simulation evolves (as expected) to a steadystate periodic solution at 6.4 GHz, which corresponds to the unstable poles with larger real part [Fig. 10(a)]. A short current pulse of relatively high amplitude was introduced at a drain node as an alternative perturbation and this time the transient evolves to the solution at 16.2 GHz [Fig. 10(b)]. It must be taken into account that, as the perturbation grows, the system evolution is no longer ruled by the linearization about a particular solution. Instead, nonlinear manifolds rule the actual system evolution to the steady state, which justifies the differences with respect to the expected behavior.

3146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I SEQUENCE OF HOPF BIFURCATIONS

prototype [see Fig. 11(b)]. These modes are not expected to appear outside the operation bandwidth of the embedded amplifier. A. Simulation Results

Fig. 11. (a) Schematic and (b) photograph of the ring oscillator with its matched loads. The port without a load is the input to the spectrum analyzer. The wavelength at 12 GHz in RO4003 substrate has been illustrated, relative to the size of the circuit.

V. EXPERIMENTAL DESIGN The three-stage ring oscillator is based on NE3210S01 FET operating in class A, with bias voltages 0.2 V and 1.68 V. The bias tees and series feedback introduced at each source terminal, all implemented using microstrip structures, have been optimized to provide a small-signal gain of 12 dB in the frequency band from 10 to 14 GHz. Due to the lack of lumped components rated at 12 GHz readily available, the RC loads were replaced with matched loads, since low-pass filtering is contributed by parasitics in transistors and distributed elements in transmission lines. Fig. 11(a) shows the ring oscillator constituted by three stages. The prototype has been built on RO4003 substrate 20 mil . The main purpose of this design is to study generation and stabilization of oscillation modes. Due to its hybrid implementation, there will be delay effects associated to the propagation in a microstrip structure (phase-shifting effects of distributed elements), which contribute to the proliferation of modes, especially at the scale of the

The EE_HEMT model, provided by the manufacturer, is used for the active devices, with two different approaches for the passive elements: one using electrical models for all the distributed elements and the other based on an electromagnetic description of the microstrip elements. The results of both approaches are quite similar, with seven modes detected in the two cases, which validates the electrical description. The sequence of Hopf bifurcations from dc regime obtained, giving rise to seven oscillation modes, is shown in Table I. The subindex indicates the order of occurrence of these Hopf bifurcations, as considered in Section IV. A stability analysis of the seven periodic solutions, generated at the Hopf bifurcations shown in Table I, has been performed along each curve in Fig. 12(a). To simplify the diagram, only the last bifurcation, leading to the stabilization of a particular mode, has been marked.The bifurcations have been detected with polezero identification. As gathered from Fig. 12(a), all Hopf bifurcations from dc regime are of subcritical type, so the lower section of each curve, up to the turning point, will have a pole on the RHP. The first mode, generated from a stable dc solution at , stabilizes at the turning point . Several Hopf bifurcations and a turning point are observed in the remaining modes. As a matter of fact, modes 2, 3, 4, 6, and 7 stabilize at , , , , and , respectively, where the superindex in brackets refers to the value of the index defined in Section IV. Mode 5 does not stabilize because it does not undergo a sufficient number of inverse Hopf bifurcations. As an example, the stability analysis of the mode at 11.9 GHz has been detailed in Fig. 12(b). The diagram shows the variation of the real part of initially unstable poles. The frequency of each pair of poles is indicated in the inset. For a correct interpretation of the diagram it must be taken into account that the curves start at the gate bias voltage value where the Hopf bifurcation from dc regime occurs, marked with a vertical line in Fig. 12(b). The pair of complex-conjugate poles at the oscillation frequency (equivalent to a real pole) passes through zero at the turning point of the solution curve . Then, the upper section of the solution curve undergoes several direct and inverse Hopf bifurcations, and from the last inverse Hopf bifurcation , occurring for 0.676 V, this oscillation mode is stable.

DE COS et al.: ANALYSIS OF OSCILLATION MODES IN FREE-RUNNING RING OSCILLATORS

3147

Fig. 13. Analysis of the voltage transfer function of a single stage of the ring oscillator: Magnitude (left axis) and phase (right axis). Points fulfilling (1) with positive gain have been marked. Note that, in all cases, the phases and frequencies agree with those of the modes given in Table I.

B. Experimental Results

Fig. 12. Bifurcation diagram versus gate bias voltage in the experimental design. (a) Oscillation amplitude (measured at one of the loads) versus gate bias voltage for the seven modes detected (unstable sections in discontinuous line). Turning points and inverse Hopf bifurcations have been marked to illustrate the stabilization mechanisms. (b) Detail of the stabilization of mode at 11.9 GHz: evolution of the real part of the unstable poles versus gate bias voltage. The mode stabilizes after a turning point and a sequence of inverse Hopf bifurcations.

The large amount of detected modes can be theoretically understood by the fact that the phase condition (1), which determines the different oscillation modes, may be fulfilled at different frequencies in the gain band of the embedded amplifier. To illustrate, the small-signal voltage transfer function of a single ring stage, comprising the embedded amplifier with its load, has been analyzed. Attention has been paid to the input and output loads, which should have similar values of the equivalent impedances seen by this stage in the ring configuration. This is addressed by unwrapping the ring into an arrangement of multiple cascaded stages so that the central amplifier has conditions similar of those inside the ring. Actually, the gain of the amplifier is limited by the bias networks, so it is fairly independent of the input and output loads. Fig. 13 shows the magnitude and phase of this transfer function. As can be seen, within the band with positive gain (continuous line), there are seven frequencies fulfilling the phase condition (1). The frequency values agree approximately with those of the seven modes detected and the phase values are in correspondence with the phase shifts of these modes (see Table I).

The ring oscillator has been manufactured and experimentally characterized. Three different oscillation modes have been measured at the respective frequencies 9.4, 12.6, and 11.6 GHz. In Fig. 14(a), the measured output power of the three different modes has been represented versus gate bias voltage. The simulation results corresponding to the modes with oscillation frequencies closer to the experimental ones are also superimposed. The frequencies of these simulated modes are 10, 12.8, and 11.9 GHz, respectively. When increasing from 2.5 V, the circuit is initially in a stable dc regime. At 1.157 V, the oscillation mode at 12.6 GHz arises. When further increasing , this mode remains observable on the interval of considered in measurements. When reducing , the mode vanishes at 2.5 V, which confirms the existence of a hysteresis phenomenon associated with a turning point in the solution curve. The difference between the extinction point in measurements and the turning point obtained in simulations is attributed to an inaccurate modeling of transistors for these low values. The mode at 9.4 GHz has been measured from 1.602 V to 0.629 V. At this last value, the mode degenerates into a quasi-periodic solution with two incommensurable frequencies that agree with the fundamentals of modes at 9.4 and 12.6 GHz [see the spectrum in Fig. 14(b)]. Then, the quasi-periodic solution becomes unstable for 0.504 V and the system evolves to the mode at 12.6 GHz. The mode at 11.6 GHz becomes observable (with hysteresis) at 0.602 V. In simulation, this mode becomes stable at 0.676 V through the last inverse Hopf bifurcation , showing good agreement with the experimental value. When there are several stable coexisting periodic solutions, a circuit is expected to evolve to the solution corresponding to the unstable poles with larger real part. Nonetheless, the fact that a particular mode finally turns up depends exclusively on the initial conditions provided. Every stable solution is robust versus small perturbations coming from noise. Its stability properties are locally determined and therefore no information is avail-

3148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 14. Bifurcation diagram versus gate bias voltage in the practical design. (a) Comparison between measurements (continuous line) and simulations (discontinuous line). Hysteresis phenomena found in measurements have been marked. (b) Detail of the spectrum associated to the quasi-periodic solution observed.

able about its global behavior under larger perturbations in the phase space involving multiple solutions. As an example of this, the hop from the mode at 9.4 GHz to the mode at 12.6 GHz is observed in practice as the spectrum analyzer switches its internal filters and attenuators to recalibrate. Mode hopping is also observed under some other kinds of large perturbations like shaking a bias wire, hitting the circuit slightly or touching a track directly with a finger. There are some cases in which even random initial conditions lead to different modes: either the mode at 9.4 GHz or the mode at 12.6 GHz builds up when connecting drain bias for a given gate bias ranging from 0.852 to 1.075 V. C. Stabilization of the Solution at 12.6 GHz The desired oscillation mode in the design of Fig. 11(b) is the one at 12.6 GHz. As shown in previous subsection, two other undesired modes, at 9.4 GHz and 11.6 GHz, are also experimentally observed. As shown in the measurements of Fig. 14(a), the most disturbing mode is that at 9.4 GHz, since the mode at 11.6 GHz can be avoided by biasing the transistor below . In the stabilization procedure, our purpose is to eliminate the mode at 9.4 GHz with minimum impact on the desired mode at 12.6 GHz. With this aim, an

Fig. 15. Bifurcation diagram versus gate bias voltage in the practical design. (a) Detail of the stubs added. (b) Comparison between measurements before and after adding the stubs.

open ended stub is connected in parallel with each output load [see Fig. 15(a)]. Together with the associated microstrip tee and the output 50- load, the stub provides a series resonance at 9.4 GHz, with a reduction of the equivalent shunt positive resistance at this frequency, which shunts the mode for this value. For higher , the mode might reappear due to a higher transconductance compensating the introduced resistive effect. After this change, the entire bifurcation diagram was retraced [Fig. 15(b)]. Now the Hopf bifurcation from dc regime, responsible for the generation of the mode at 9.4 GHz, occurs at higher 0.886 V , modifying the sequence of Hopf bifurcations. The stability analysis carried out indicates that this undesired mode is initially unstable and never becomes

DE COS et al.: ANALYSIS OF OSCILLATION MODES IN FREE-RUNNING RING OSCILLATORS

stable. Note the significant amplitude reduction of this mode as increases with regard to Fig. 14(a). On the other hand, the stability properties of the other modes, the desired one at 12.6 GHz and the one at 11.7 GHz, are not modified. A slight reduction of output power at 12.6 GHz is observed, with minimum changes in the qualitative behavior. The mode at 12.6 GHz is stable for gate bias voltage between 1.156 V and 0.616 V, as can be gathered from Fig. 15(b). VI. CONCLUSION An in-depth analysis of oscillation modes and stabilization mechanisms in multidevice oscillator has been presented and applied to ring oscillators. Using an idealized transistor model, the stability analysis of the dc solution provides a pole locus corresponding to a circle in the complex plane. From some number of stages and circuit element values, this configuration can lead to several pairs of complex-conjugate poles on the RHP, responsible for the coexistent oscillation modes. As has been shown, the parasitic elements have great impact on the generation of oscillation modes due to the frequency-dependant phase shifts introduced. The mechanisms for generation and stabilization of the modes have been analyzed with the aid of bifurcation theory, using the Center Manifold Theorem. The inverse bifurcation sequences have been studied in-depth and classified, showing that some bifurcation phenomena imply the generation of quasi-periodic solutions in the multidevice circuit. This knowledge has enabled the understanding of the complex behavior of a ring oscillator at 12.6 GHz and has also been used for a sound stabilization of the desired mode. ACKNOWLEDGMENT The authors would like to thank Dr. J. A. García, University of Cantabria, Santander, Spain, for helpful discussions, and Dr. A. Herrera, University of Cantabria, for providing valuable advice on the design and testing of the prototype. REFERENCES [1] K. Kurokawa, “An analysis of Rucker’s multidevice symmetrical oscillator,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 5, pp. 967–969, May 1970. [2] K. Kurokawa, “The single-cavity multiple-device oscillator,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 10, pp. 793–801, Oct. 1971. [3] F. Ramírez, M. Pontón, S. Sancho, and A. Suárez, “Stability analysis of oscillation modes in quadruple-push and Rucker’s oscillators,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2648–2661, Nov. 2008. [4] L. Romanò, S. Levantino, C. Samoni, and A. L. Lacaita, “Multiphase LC oscillators,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 7, pp. 1579–1588, Jul. 2006. [5] S. Li, I. Kipnis, and M. Ismail, “A 10-GHz CMOS quadrature LC-VCO for multirate optical applications,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1626–1634, Oct. 2003. [6] J. Wang, J. Tan, and O. Wing, “Theory of cross-coupled RF oscillator for multi- and quadrature-phase signal generation,” in Proc. 5th Int. Conf. ASIC, 2003, pp. 1014–1017. [7] T.-P. Liu, “A 6.5 GHz monolithic CMOS voltage-controlled oscillator,” in IEEE Int. Solid-State Circuits Conf. Dig., 1999, pp. 404–405. [8] X. Ge, M. Arcak, and K. N. Salama, “Nonlinear analysis of ring oscillator circuits,” in Proc. Amer. Control Conf., 2010, pp. 1772–1776.

3149

[9] X. Ge, M. Arcak, and K. N. Salama, “Nonlinear analysis of cross-coupled oscillator circuits,” in Proc. IEEE Conf. on Decision and Control, 2008, pp. 13–18. [10] T. Endo and S. Mori, “Mode analysis of a ring of a large number of mutually coupled van der pol oscillators,” IEEE Trans. Circuits Syst., vol. CAS-25, no. 1, pp. 7–18, Jan. 1978. [11] J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamic Systems, and Bifurcations of Vector Fields. New York: Springer-Verlag, 1983. [12] S. Wiggins, Introduction to Applied Nonlinear Dynamical Systems and Chaos. New York: Springer-Verlag, 1990. [13] B. Razavi, “A study of phase noise in CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 31, no. 3, pp. 331–343, Mar. 1996. m [14] C.-H. Park and B. Kim, “A low-noise, 900-MHz VCO in CMOS,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 586–591, May 1999. [15] Y. A. Eken and J. P. Uyemura, “A 5.9-GHz voltage-controlled ring oscillator in m CMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 230–233, Jan. 2004. [16] W.-C. Wu and H.-H. Lin, “GaAs monolithic 1.5 to 2.8 GHz tunable ring oscillator with accurate quadrature outputs,” Electron. Lett., vol. 38, no. 4, pp. 185–186, Feb. 2002. [17] U. L. Rohde, A. K. Poddar, and G. Böck, The Design of Modern Microwave Oscillators for Wireless Applications: Theory and Optimization. Hoboken, NJ: Wiley, 2005. [18] J. Carr, Application of the Centre Manifold Theory, Volume 35 of Applied Mathematical Sciences. New York: Springer-Verlag, 1981. [19] J. M. T. Thompson and H. B. Steward, Nonlinear Dynamics and Chaos. Chichester, U.K.: Wiley, 1986. [20] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, no. 4, pp. 226–228, Mar. 2001. [21] I. Angelov, H. Zirath, and N. Rorsman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [22] P. J. Davis, Circulant Matrices. New York: Wiley, 1979. [23] K. Kurokawa, “Some basic characteristics of broadband negative resistance oscillators,” Bell Syst. Tech. J., vol. 48, pp. 1937–1955, Jul. 1969. [24] A. Suárez, Analysis and Design of Autonomous Microwave Circuits. Hoboken, NJ: Wiley, 2009. [25] A. Suárez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Boston, MA: Artech House, 2003. [26] J. Marsden and M. McCracken, Hopf Bifurcation and its Applications. New York: Springer-Verlag, 1976. [27] V. Rizzoli and A. Neri, “State of the art and present trends in nonlinear microwave CAD techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 343–365, Feb. 1988. [28] N. V. Butenin, Y. I. Neimark, and N. A. Fufaev, An Introduction to the Theory of Nonlinear Oscillations. Moscow, Russia: Mir, 1987. [29] T. S. Parker and L. O. Chua, Practical Numerical Algorithms for Chaotic Systems. New York: Springer-Verlag, 1989. [30] J. M. Collantes, I. Lizarraga, A. Anakabe, and J. Jugo, “Stability verification of microwave circuits through Floquet multiplier analysis,” in Proc. IEEE Asia–Pacific Circuits Syst. Conf., 2004, pp. 997–1000. [31] A. Suárez, J. Morales, and R. Quéré, “Synchronization analysis of autonomous microwave circuits using new global-stability analysis tools,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 494–504, May 1998. [32] H. Kawakami, “Bifurcation of periodic responses in forced dynamic nonlinear circuits: Computation of bifurcation values of the system parameters,” IEEE Trans. Circuits Syst., vol. CAS-31, no. 3, pp. 248–260, Mar. 1984. [33] A. Suárez, E. Fernández, F. Ramírez, and S. Sancho, “Stability and bifurcation analysis of self-oscillating quasi-periodic regimes,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 528–541, Mar. 2012. [34] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [35] J. M. Paillot, J. C. Nallatamby, M. Hessane, R. Quéré, M. Prigent, and J. Rousset, “A general program for steady state, stability, and FM noise analysis of microwave oscillators,” in IEEE MTT-S Int. Microw. Symp. Dig., 1990, pp. 1287–1290.

3150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Jesús de Cos was born in Santander, Spain. He received the Telecommunications Engineering degree and the MsC degree from the University of Cantabria, Santander, Spain, in 2010 and 2011, respectively, where he is currently working towards the Ph.D. degree. His research interests include stability analysis and bifurcation theory.

Almudena Suárez (M’96–SM’01–F’12) was born in Santander, Spain. She received the Electronic Physics degree and Ph.D. degree from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. She is currently a Full Professor with the Communications Engineering Department, University of Cantabria, Santander, Spain. She coauthored the book Stability Analysis of Nonlinear Microwave

Circuits (Artech House, 2003) and authored the book Analysis and Design of Autonomous Microwave Circuits (IEEE-Wiley, 2009). Prof. Suárez is a member of the Technical Committees of the International Microwave Symposium (IMS) and the European Microwave Conference. She was an IEEE Distinguished Microwave Lecturer during the period 2006–2008.

Franco Ramírez (S’03–A’05–M’05) was born in Potosí, Bolivia. He received the electronic systems engineering degree from the “Antonio José de Sucre” Military School of Engineering, La Paz, Bolivia, in 2000, and the Ph.D. degree in communications engineering from the University of Cantabria, Santander, Spain, in 2005. He is currently a Research Associate, under the “Ramón y Cajal” Programme of the Spanish Ministry of Science and Innovation, with the Communications Engineering Department, University of Cantabria, Santander, Spain. His research interests include phase noise, stability, and the development of nonlinear techniques for the analysis and design of autonomous microwave circuits.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design of Low Phase-Noise Microwave Oscillator and Wideband VCO Based on Microstrip Combline Bandpass Filters Chao-Hsiung Tseng, Member, IEEE, and Chih-Lin Chang

Abstract—This paper presents a new low phase-noise microwave oscillator and wideband voltage-controlled oscillator (VCO) based on microstrip combline bandpass filters. For this type of oscillator, the passband filter is embedded into the feedback loop to treat as a frequency stabilization element. Instead of designing the oscillator at the group-delay-peak frequency of the filter to achieve a good phase-noise performance, in this paper, the peak frequency of the complex quality factor is adopted for oscillator design. To demonstrate the effectiveness of using -peak frequency, two filter-based oscillators are implemented at the -peak and group-delay-peak frequencies, respectively. -peak frequency improves The oscillator designed at the the phase-noise about 10 dB as compared with that realized at the group-delay-peak frequency. The developed oscillator with the three-pole combline filter is experimentally demonstrated at 2.05 GHz with 148.3-dBc/Hz phase noise at 1-MHz offset frequency. Moreover, by attaching a varactor on each resonator of the combline filter, the oscillator can be extended to a wideband VCO. The developed VCO has a frequency tuning range from 1.3 to 2.2813 GHz with a 54.8% bandwidth. Over this frequency range, all the phase noises measured at 1-MHz offset frequency are better than 117.19 dBc/Hz. Index Terms—Combline filter, filter-based oscillator, microwave oscillator, voltage-controlled oscillator (VCO).

I. INTRODUCTION

I

N THE microwave regime, the oscillator is mainly employed to produce a continuous wave (CW) signal. It can then be treated as a local-oscillating (LO) signal generator for performing the frequency up-conversion/down-conversion in a wireless communication system, or it can be applied to be a microwave source in a radar system. As the oscillation frequency of an oscillator can be properly tuned by embedded voltagecontrolled devices, it can be also referred to as a voltage-controlled oscillator (VCO). The key performances of a VCO include low phase noise, low power consumption, and wide frequency tuning range.

Manuscript received June 21, 2012; revised July 08, 2012; accepted July 17, 2012. This work was supported by the National Science Council of Taiwan under Grant NSC 101-2221-E-011-080. The authors are with the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2210441

According to the Leeson’s phase-noise model [1], the spectrum-based quality factor dominates the oscillation spectrum. To achieve a good phase-noise performance, the overall network of the oscillator should have a high value at the oscillation frequency. Hence, a resonator with a high quality factor is usually employed to increase . Here, the quality factor of the resonator is defined as (1) is the phase rewhere is the oscillation frequency, is the group delay. Note that sponse of the resonator, and [2], [3] is different from the spectrum-based quality factor . In practical applications, the quality factor of the resonator resonators, such as the dielectric resonator (DR) the high[4] and metallic air cavity [5], are usually adopted to develop low phase-noise oscillators. However, they are not easy to integrate with other planar circuits, and they are impossible to be implemented in the integrated circuit (IC) process. Besides, the mechanical tuning technique should be adopted to realize the frequency tuning function of the DR or cavity oscillator. To overcome the above-mentioned problems, recently, a new type of the planar microwave oscillator [6], [7] has been proposed to achieve a good phase-noise performance. Instead of resonator, it utilizes a four-pole elusing only one highliptic-response filter as a frequency-selective element to develop a loop oscillator. It is because the multipole bandpass filter can synthesize two group-delay peaks near two corner frequencies of the passband. According to (1), these two group-delay peaks values, respectively. Thereare corresponding to two high fore, as the oscillation frequency is designed at the group-delaypeak frequency, the phase-noise performance can be dramatically improved. However, for some types of microwave filters, values are not absolutely mapped the frequencies of the peak values. If the oscillator is still designed to those of the high at the group-delay-peak frequency, it may not achieve the best phase-noise performance. Besides, the low phase-noise oscillator proposed in [6] and [7] is difficult to be extended to the VCO with a moderate frequency tuning range. Although the multiple split-ring resonator filter [8] and trisection filter [9] have been employed to develop VCOs, their frequency tuning ranges are very limited. To further reduce the phase noise, the passive four-pole filter in [6] and [7] is extended to become an active filter and embedded into the feedback loop of the oscillator [10]. However, it increases the manufacturing cost,

0018-9480/$31.00 © 2012 IEEE

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Block diagram of a feedback oscillator.

namely, adding two microwave transistors, to achieve a better performance. Instead of using the group-delay peak and the phase-noise figure-of-merit (PNFOM) [7] to evaluate the filter characteristics for the oscillator design, in this paper, the complex quality factor [2], [3] is introduced to simultaneously consider effects of the amplitude and phase responses of the filters, and then applied to implement low phase-noise oscillators using the two-pole coupled-resonator and three-pole combline filters [11]. Since the is inherently related to the spectrum-based quality factor of the Leeson’s model [1], the phase-noise performance of the oscillator can be significantly improved as designed at the frequency of the peak . In addition, by attaching varactors to the resonators of the combline filter [12], [13], one can easily extend the developed oscillator to a wideband low phase-noise VCO with a 54.8% frequency tuning range.

Fig. 2. (a) Four-pole coupled-resonator filter and (b) its complex quality factor and group delay.

II. OSCILLATOR DESIGN USING QUALITY FACTOR A. Complex Quality Factor Referring to the Leeson’s oscillator model [1], the output oscillation spectrum can be expressed as (2) is the offset frequency from the oscillation frequency where , is the additive noise component, and the is the spectrum-based quality factor, which dominates the oscillation spectrum. Fig. 1 shows a filter-based loop oscillator with a current-controlled current source (CCCS) active device, namely, the BJT amplifier. The characteristics of the bandpass filter are represented by two-port impedance parameters . Assuming that the effects of the output load, connecting lines, and parasitic components are ignored, the complex quality factor is defined as [2] (3) It can be related to the spectrum-based quality factor as [3]. Moreover, translating into the polar coordinate, it can be presented as [3] (4)

Observing (4), one can learn that the not only considers the derivative of the phase response, , with respect to the frequency, but also the amplitude effects of the filter. It is more rigorous than the quality factor defined in (1). For filterbased oscillator design in [7], the oscillation frequency is designated at the group-delay peak of the filter, namely, only considering the derivative of the transmission phase angle, , of the filter. In [7], the amplitude effects influenced by the bandwidth, location of transmission zero, and return loss of the filter are evaluated by a newly defined factor, i.e., the PNFOM. Actually, the amplitude effects discussed in [7] has been including in (4). Section II-B will use complex quality factor to evaluate the two- and four-pole coupled-resonator filters. B. Complex Quality Factor of Filter In [6] and [7], the four-pole coupled-resonator filter is employed to design a low phase-noise oscillator. To comprehensively understand the characteristics of this filter, as shown in Fig. 2(a), a four-pole elliptic-response bandpass filter is implemented on an RO4003 substrate with a thickness of 0.508 mm, a dielectric constant of 3.38, and a loss tangent of 0.0027. The center frequency and fractional bandwidth of the filter are set to 2 GHz and 4%, respectively. The normalized frequency of the attenuations pole is selected to . Hence, the element values of the low-pass prototype filter [11] are , , , , and .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TSENG AND CHANG: DESIGN OF LOW PHASE-NOISE MICROWAVE OSCILLATOR AND WIDEBAND VCO

The coupling coefficients and external quality factor [11] are calculated as , , , and . The electromagnetic (EM) simulator Agilent Momentum is used to determine the physical dimensions as shown in Fig. 2(a). Transferring the simulated -parameters of the filter to -parameters, the complex quality factor are calculated by (3), while the group delay is obtained by performing the derivative of the phase response . The calculated and group delay are plotted in Fig. 2(b). For this four-pole filter, the frequencies of two group-delay peaks are almost the same as those of the peak. Consequently, the oscillators designed at the high-frequency [6] and low-frequency [7] group-delay peaks can achieve a significant improvement of the phase-noise performance. In addition, since the filter at the design center frequency has a lower insertion loss and a moderate group delay value, it forms a peak at about 2 GHz. Note that since the modulus is performed in (3), the transmission zeros of the filter will contribute two pseudo peaks indicated in Fig. 2(b), which are also corresponding to negative notch points of the group-delay curve. As shown in Fig. 3(a), a two-pole coupled-resonator filter with the Butterworth response is designed at 2 GHz with a 3% fractional bandwidth. The element values of the low-pass prototype filter [11] are , , , and , and their corresponding coupling coefficients and external quality factor are calculated as and . The simulated phase response of the developed filter is given in Fig. 3(b). It has an abrupt slope at the design frequency of the filter, about 2 GHz. Observing the group delay and complex quality factor given in Fig. 3(c), two group-delay peaks are located near the corner frequencies of the filter. However, as compared with Fig. 2(b), only a peak appears at the center frequency of the passband because the slope of the phase response curve is not sharp enough to form two peaks close to two group-delay peaks. According to design procedures in [6] and [7], the oscillator is suggested to be designed at the frequency of group-delay peak. However, based on (2), the oscillator should be implemented at the frequency of the peak. To judge which peak frequency shown in Fig. 3(c) is better for the low phase-noise oscillator design, in Sections II-C and II-D, two oscillators will be designed at the -peak and group-delay-peak frequencies. C. Oscillator Designed at

-Peak Frequency

Based on the block diagram shown in Fig. 1, a filter-based loop oscillator can be realized by an amplifier with a bandpass filter embedded in the feedback path. Here, the filter is replaced by the two-pole coupled-resonator filter shown in Fig. 3(a). The amplifier is realized by an Infineon BFP405 bipolar transistor, which is biased at V with a collector current mA, as shown in the inset of Fig. 1. To completely consider effects of the parasitic components and bias circuitry, the phase response of the amplifier are first measured as shown in Fig. 4(a) for the oscillator design. By integrating the filter, amplifier, parts of the connecting lines, and 50- load in the circuit simulator, the total phase response can be achieved as shown in Fig. 4(b). According to the “Barkhausen oscillation criteria,” the loop gain of the oscillator must be greater than unity and the total

3

Fig. 3. (a) Two-pole coupled-resonator filter and (b) its phase response, , and group delay. (c) complex quality factor

loop phase should satisfy 0 or multiple of 360 . Thus, to design the oscillator operated at the -peak frequency, namely, 2.01 GHz, the length of the transmission line to connect ports 1 and 2 in Fig. 4(b) can be determined as 360 220.78 . The physical dimensions of the connecting line are clearly indicated in Fig. 5(a). Fig. 5(a) and (b) shows the circuit photograph of the developed oscillator, and simulated loop gain and phase, respectively. As shown in Fig. 5(c), the output spectrum is measured by Agilent Spectrum Analyzer N9010A with the settings of a 100-kHz resolution bandwidth and a 10-MHz frequency span. The output power is 2.4 dBm at the oscillation frequency 1.986 GHz, which is close to the frequency with a 0 loop phase, as shown in Fig. 5(b). The amount of the consumed dc power is 20 mW. The phase noise of the developed oscillator is measured by Agilent source signal analyzer E5052B. As shown in Fig. 6, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. (a) Measured phase response of the amplifier. (b) Total phase response of the amplifiter with the filter, parts of connecting lines and 50- load.

measured phase noises are 117 dBc/Hz and 140 dBc/Hz at 100-kHz and 1-MHz offset frequencies, respectively. The figure of merit (FOM) [14] of an oscillator can be calculated by

mW

(5)

where is the phase noise at the offset frequency , is the oscillation frequency, and is the dc power consumption

Fig. 5. (a) Circuit photograph of the oscillator designed at the -peak frequency and (b) its simulated loop gain and loop phase and (c) measured output spectrum.

(in milliwatts). The FOM of the developed oscillator at 1-MHz offset frequency is 192.9 dBc/Hz. D. Oscillator Designed at Group-Delay-Peak Frequency Following the design procedures described in Section II-C, the oscillator operated at the group-delay-peak frequency,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TSENG AND CHANG: DESIGN OF LOW PHASE-NOISE MICROWAVE OSCILLATOR AND WIDEBAND VCO

Fig. 6. Measured phase noises of the oscillator designed at the group-delay-peak frequencies.

5

-peak and

namely, 1.98 GHz, is developed as shown in Fig. 7(a). Except for the length of the connecting line, the oscillator shown in Fig. 7(a) is the same as that of Fig. 5(a). Since the phase response of the filter shown in Fig. 3(b) has a abrupt curve slope around the design frequency, a longer folded microstrip should be adopted to satisfy the loop phase requirement as compared with the oscillator in Fig. 5(a). Shown in Fig. 7(b) are the simulated loop gain and phase of the developed oscillator. Referred to the measured output spectrum shown in Fig. 7(c), the output power is 3.919 dBm at the oscillation frequency 1.9466 GHz. As expected, the frequency with a 0 loop phase is close to the measured oscillation frequency, 1.98 GHz. The amount of the consumed dc power is 20 mW. As plotted in Fig. 6, the measured phase noises are 107 dBc/Hz and 130 dBc/Hz at 100-kHz and 1-MHz offset frequencies, respectively. It reveals that designing the oscillator at the -peak frequency can achieve a 10-dB phase-noise improvement as compared with the oscillator designed at the group-delay-peak frequency. However, since the oscillator designed at the group-delay-peak frequency has a higher loop gain, it can provide a higher output power as expected. It is worth noting that the -peak and group-delay-peak frequencies of the four-pole coupled-resonator filter in Fig. 2(a) are almost located at the same frequency, as well as the conventional LC tank resonator. Therefore, whether the oscillator is designed at the -peak or group-delay-peak frequency, one will obtain a similar phase noise level. However, as the peak and group-delay peak of the filter are located at different frequencies, such as those of the two-pole coupled resonator filter, as shown in Fig. 3(c), the oscillator should be designed at the -peak frequency to achieve a better phase-noise performance. It also demonstrates that the complex quality factor is more rigorous parameter than the group delay for evaluating the filter. E. Design Procedures of Filter-Based Oscillator The design procedures of the filter-based oscillator proposed in this paper are summarized as follows.

Fig. 7. (a) Circuit photograph of the oscillator designed at the group-delaypeak frequency and (b) its simulated loop gain and loop phase and (c) measured output spectrum.

1) Design and simulate the filter by the EM simulator and then apply the de-embedded technique to acquire the phase response, as shown in Fig. 3(b).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

2) Use (3) to calculate of the filter, as illustrated as Fig. 3(c), and then designate the oscillation frequency of the oscillator to be the -peak frequency. 3) Design the amplifier to have a proper gain, and then measure the phase response, as shown in Fig. 4(a), with the thru-reflect-line (TRL) calibration to remove the effects of the test fixture. 4) Integrate the -parameters of the filter and amplifier [obtained in 1) and 3)] with the 50- load and connecting lines in the circuit simulator, as plotted in Fig. 4(b), and predict the total phase angle . 5) Design the transmission line with the electrical length of at the oscillation frequency. III. OSCILLATOR DESIGN BASED ON COMBLINE FILTER In [6] and [7], the filter-based oscillators have been successfully developed with very low phase-noise performances. However, they are only operated at a single frequency and are unsuitable for applying in the wireless communication or radar system with the frequency tuning requirement. Since the combline filter has the advantage of the simple circuit structure and easy extension to a tunable filter [12], [13], it will be employed to implement the oscillator and VCO in this paper. In Sections III-A–C, the oscillator based on the combline filter will be first designed and then extended to a wideband VCO. A. Oscillator Design Using Combline Filter As shown in Fig. 8(a), the three-pole combline bandpass filter developed in this paper is composed of three coupled quarterwave resonators. The open- and short-circuited terminations are connected with the bilateral ends of each resonator. Moreover, the filter is directly fed by two tapped lines. The filter is designed with the Chebyshev response at 2 GHz and fabricated on the RO4003 substrate. Since the fractional bandwidth of the filter is set to 4%, the element values of the low-pass prototype filter [11] are , , , , and . The coupling coefficients and external quality factor are calculated as , and . Based on the above parameters, the physical dimensions of the filter are determined by the EM simulator and also indicated in Fig. 8(a). Shown in Fig. 8(b) are the measured insertion loss and group-delay response of this filter. Although the group delay peak at about 2.05 GHz has a higher value, it is corresponding to a worse insertion loss. If the designer determines the quality factor only by the group delay, namely, by using (1), the group-delay peak at about 2.05 GHz will reasonably correspond to a higher quality factor. However, as simultaneously considering the effects of the insertion loss and group delay, two peak values of illustrated in Fig. 8(c) are almost equal. In addition, two peaks are close to group-delay peaks, but not exactly located at the same frequencies. In [7], the amplitude effects of the filter are considered by performing the PNFOM parameter study. In this paper, the more rigorous complex quality factor is adopted to evaluate the filter performance, and the frequency with the peak will then be chosen to design a low phase-noise oscillator. Followed by the oscillator design procedures in Section II-E, the combline filter shown in Fig. 8(a) is employed to design the

Fig. 8. (a) Three-pole combline filter and (b) its measured insertion loss and group delay and (c) complex quality factor calculated from measured -parameters of the combline filter.

oscillator operated at the -peak frequency, 2.0493 GHz, as shown in Fig. 9(a). Basically, the circuit configuration is similar to the oscillators developed in Section II, except for replacing the filter structure and adding the tuning inductors. The microstrip lines are adopted to connect the filter and the amplifier, and their dimensions are indicated in Fig. 9(a). Here, the shunt tuning inductors are mainly used to fine tune the loop phase for satisfying the oscillation criteria. Their function is similar to the network embedded in feedback loop in [6] and [7]. Since this oscillator will be extended to a wideband VCO, in this design, there are no narrowband matching networks attached with the transistor. As shown in Fig. 9(b), the measured output power is 0.685 dBm at the oscillation frequency of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TSENG AND CHANG: DESIGN OF LOW PHASE-NOISE MICROWAVE OSCILLATOR AND WIDEBAND VCO

7

Fig. 10. Measured wideband output spectrum of the developed oscillator using the combline filter.

Fig. 11. Measured phase noises of the developed oscillator using the combline filter.

B. Complex Quality Factor of Oscillator

Fig. 9. (a) Circuit photograph of the oscillator using the combline filter and (b) its measured output spectrum.

2.0472 GHz. Referred to the wideband output spectrum illustrated in Fig. 10, this oscillator has an 18.82-dBc second harmonic and 18.18-dBc third harmonic suppressions. The measured phase noise is shown in Fig. 11, and two asymptotes with the slopes of 30 and 20 dB/decade are also plotted to reveal the trend of the phase-noise curve. The measured phase noises are 125.6 and 148.3 dBc/Hz at 100-kHz and 1-MHz offset frequencies, respectively. The dc power consumption of the developed oscillator is 22 mW. By using (5), the FOM at 1-MHz offset frequency is 201.1 dBc/Hz.

is used to In Section II-B, the complex quality factor evaluate the filter, and then choose the -peak frequency for the low phase-noise oscillator design. In order to figure out the reason why the phase-noise performance of the developed oscillator using a combline filter can be significantly improved, in this section, will be employed to quantitatively evaluate the oscillator. Based on the method in [2], the developed oscillator using the combline filter shown in Fig. 9(a) can be represented as Fig. 12(a) with the equivalent circuit model of the bipolar junction transistor (BJT). The component values of the BJT (Infineon BFP405) circuit model are given in Table I. Here, the two-port impedance network represents the characteristics of the combline filter, conneting lines, bias network, and output load of the oscillator. Embedding the parasitic components of the BJT to the network, one can obtain the equivalent circuit shown in Fig. 12(b), which is mainly composed of the intrinsic part of the BJT and the parasitic-embedded network . By applying (3), the complex quality factor of the oscillator can be calculated from -parameters, as shown in Fig. 13. Since the oscillator is designed at the peak of the filter, namely, 2.0493 GHz, the peak of the oscillator around this frequency is conserved well. The other peak of the filter at 1.9708 GHz is obviously degraded. Referring to Fig. 13, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Calculated complex quality factor using the combline filter.

of the developed oscillator

Fig. 12. (a) Developed oscillator represented with the BJT equivalent model and (b) its equivalent circuit with parasitic components embedding from the BJT to the filter network.

TABLE I COMPONENT VALUES OF BJT EQUIVALENT CIRCUIT MODEL

peak appears at 2.0515 GHz, which is very close to the measured oscillation frequency, 2.0472 GHz, of the developed oscillator. Hence, one can achieve a very good phase-noise performance. C. VCO Design Using Tunable Combline Filter

Fig. 14. (a) Developed tunable combline filter and (b) its complex quality factors calculated from measured -parameters for different tuning voltages.

Based on the design procedures in [13], the combline filter shown in Fig. 8(a) can be extended to a tunable combline filter, as depicted in Fig. 14(a). The varactor (Skyworks SMV 1233) is attached on the open-circuited end of the resonator for providing a capacitance tuning range of 0.84–3.28 pF. The bias circuit of the varactor is also clearly shown in Fig. 14(a). The center frequency of the tunable filter is set to 2 GHz and the electrical length of the resonator is 37.5 . The resonator becomes shorter because the parasitic capacitance of the varactor provides some

equivalent length. Plotted in Fig. 14(b) are the peak values of the tunable combline filter for the different tuning voltages . They are calculated from measured -parameters by the procedures in Section II. For a decreasing tuning voltage, the peak goes to the lower frequency band with a lower value. It implies that as one uses this tunable filter to develop a VCO, the phase-noise performance will be degraded by tuning the oscillation frequency to the lower frequency band.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TSENG AND CHANG: DESIGN OF LOW PHASE-NOISE MICROWAVE OSCILLATOR AND WIDEBAND VCO

9

TABLE II PERFORMANCE COMPARISONS BETWEEN PUBLISHED VCOs AND THIS STUDY

Fig. 15. Circuit photograph of the wideband VCO using the tunable combline filter.

length of the connecting line is adjusted to obtain an optimal frequency tuning range. As shown in Fig. 16(a), the available frequency tuning range of the developed VCO is from 1.3 to 2.2813 GHz with a 54.8% bandwidth. Over this tuning range, all the measured phase noises at the 100-kHz and 1-MHz offset frequencies are better than 93 and 117.19 dBc/Hz, respectively. The best phase noises at the 100-kHz and 1-MHz offset frequencies can be achieved at 2.2813 GHz, and they are 109.87 and 134.17dBc/Hz, respectively. Here, the measured phase noises become better as the oscillation frequency is tuned to the higher frequency band. The phase-noise curve is opposite to the curve tread of the peak values of the tunable combline filter, as illustrated in Fig. 14(b). The output powers are varied from 4.7 to 2.38 dBm, as shown in Fig. 16(b). Since the measured power gain of the amplifier decreases about 2.5 dB over the frequency tuning range, as given in Fig. 16(b), it leads to a notable variation of the VCO output power. The FOM at 1-MHz offset frequency is 188.3 dBc/Hz. As compared with the developed oscillator in Section III-B, the phase-noise performance of the VCO has some degradation due to the parasitic element losses of the varactors. The performance comparisons between published VCOs and this study are summarized in Table II. Although the VCO in [8] has a better FOM than that developed in this paper, it occupies a large circuit area and only provides a 1.6% frequency tuning range. IV. CONCLUSION

Fig. 16. (a) Measured phase noises, oscillation frequencies and (b) output power of the developed wideband VCO.

Replacing the combline filter in Fig. 9(a) by the developed tunable filter, one can form a VCO, shown in Fig. 15. Here, the

In this paper, the complex quality factor is introduced to evaluate the filter performance, and then employed to designate the -peak frequency for the low phase-noise oscillator design. Section II experimentally demonstrates that as the -peak frequency of the filter is different from the group-delay-peak frequency, one should design the oscillator at the -peak frequency to achieve a better phase-noise performance. Since the value simultaneously considers the amplitude and phase effects of the filter, it is more rigorous than the group-delay evaluation approach [7], and able to replace the PNFOM parameter study in [7]. Based on the proposed oscillator design procedures, the oscillator using the three-pole microstrip combline filter is developed at 2.05 GHz with a measured 148.3-dBc/Hz phase noise at 1-MHz offset frequency. In addition, the value of the developed oscillator is calculated to quantitatively figure out the reason of achieving this very low phase noise. By attaching a varactor on each resonator of the combline filter, the developed oscillator can be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

easily extended to a wideband VCO with a 54.8% frequency tuning range. In the future, the proposed circuit schematics of the VCO may be implemented in the IC process to achieve a low phase-noise monolithic microwave integrated circuit (MMIC) VCO. REFERENCES [1] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [2] T. Ohira, “Rigorous -factor formulation for one- and two-port passive linear networks from an oscillator noise spectrum viewpoint,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 52, no. 12, pp. 846–850, Dec. 2005. [3] T. Ohira and K. Araki, “Oscillator frequency spectrum as viewed from resonant energy storage and complex factor,” IEICE Electron. Exp., vol. 3, no. 16, pp. 385–389, Aug. 2006. [4] G. Lan, D. Kalokitis, E. Mykietyn, E. Hoffman, and F. Sechi, “Highly stabilized ultra-low noise FET oscillator with dielectric resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., 1986, pp. 83–86. [5] G. D. Vendelin, A. M. Pavio, and U. L. Rohde, Microwave Circuit Design Using Linear and Nonlinear Techniques. New York: Wiley, 1990, ch. 6. [6] J. Choi, M.-H. Chen, and A. Mortazawi, “An -band low phase noise oscillator employing a four-pole elliptic-response microstrip bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1529–1532. [7] J. Choi, M. Nick, and A. Mortazawi, “Low phase-noise planar oscillators employing elliptic-response bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1959–1965, Aug. 2009. [8] J. Choi and C. Seo, “Microstrip square open-loop multiple split-ring resonator for low-phase-noise VCO,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3245–3252, Dec. 2008. [9] C.-L. Chang and C.-H. Tseng, “Design of low phase-noise oscillator and voltage-controlled oscillator using microstrip trisection bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 622–624, Nov. 2011. [10] M. Nick and A. Mortazawi, “Low phase-noise planar oscillators based on low-noise active resonators,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1133–1139, May 2010. [11] J.-S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Application. New York: Wiley, 2001. [12] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [13] G. Torregrosa-Penalva, G. López-Risueno, and J. I. Alonso, “A simple method to design wideband electronically tunable combline filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 172–177, Jan. 2002.

[14] M. Tiebout, “Low-power low-phase-noise differentially tuned quadrature VCO design in standard CMOS,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1018–1024, Jul. 2001. [15] C. M. Yuen and K. F. Tsang, “A 1.8-V distributed voltage-controlled oscillator module for 5.8-GHz ISM band,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 525–527, Nov. 2004. [16] G. Avitabile, F. Cannone, M. Capodiferro, L. Carella, and N. Lofù, “Coarse-fine, wideband distributed voltage controlled oscillator for wireless applications,” Electron. Lett., vol. 42, no. 5, pp. 285–286, Mar. 2006. [17] J. Choi and C. Seo, “Broadband and low phase noise VCO using tunable metamaterial transmission line based on varator-loaded split-ring resonator,” in Proc. Korea–Japn. Microw. Conf., 2007, pp. 145–148. Chao-Hsiung Tseng (S’03–M’05) was born in Miaoli, Taiwan, in 1974. He graduated in electronic engineering from the National Taipei Institute of Technology, Taipei, Taiwan, in 1994. He received the M.S. and Ph.D. degrees in communication engineering from National Taiwan University, Taipei, Taiwan, in 1999 and 2004, respectively. From November 1999 to August 2000, he was an Associate Microwave Researcher with the Center for Measurement Standards, Industrial Technology Research Institute, Hsinchu, Taiwan. From August 2001 to July 2002, he was a Teaching Assistant with the Department of Electrical Engineering, National Taiwan University, where from February 2004 to July 2005, he was a Postdoctoral Research Fellow. From August 2005 to July 2006, he was with the Department of Electrical Engineering, University of California at Los Angeles (UCLA), as a Visiting Scholar. Since August 2006, he has been on the faculty of the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan, where he is currently an Associate Professor. His research interests include microwave circuits and modules, microwave and millimeter-wave ICs, left-handed metamaterials, microwave measurement and calibration techniques, microwave-imaging systems, and techniques.

Chih-Lin Chang was born in Chiayi, Taiwan, in 1983. He received the B.S. degree in electrical engineering from Da-Yeh University, Changhua, Taiwan, in 2006, the M.S. degree in electronic engineering from National Taiwan University of Science and Technology, Taipei, Taiwan, in 2008, and is currently working toward the Ph.D. degree at the National Taiwan University of Science and Technology. His research interests include microwave/millimeter-wave ICs, left-handed metamaterials, and microwave active and passive circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3161

Low-Power-Consumption Wide-Locking-Range Dual-Injection-Locked 1/2 Divider Through Simultaneous Optimization of VCO Loaded Q and Current Sanghun Lee, Member, IEEE, Sunhwan Jang, Student Member, IEEE, and Cam Nguyen, Fellow, IEEE

Abstract—A new 1/2 dual-injection-locked frequency divider (dual-ILFD) with wide locking range and low-power consumption is proposed, analyzed, and developed together with a divide-by-2 current-mode logic (CML) divider. The chip was fabricated using a 0.18- m BiCMOS process. The 1/2 dual-ILFD enhances the locking range with low-power consumption through optimized load quality factor ( ) and output current amplitude ( OSC ) simultaneously. The relationship between OSC and , and hence the locking range, is explained analytically. The designed 1/2 dual-ILFD also works as a free-running oscillator between 3.592 and 4.102 GHz without injection signals. The 1/2 dual-ILFD achieves a locking range of 692 MHz between 7.512 and 8.204 GHz. The current consumption of the designed core 1/2 dual-ILFD is 2.93 mA with 1.5-V supply. The designed 1/2 dual-ILFD increases the locking range by 9.9 times over a single-injection counterpart. The new 1/2 dual-ILFD is especially attractive for microwave phase-locked loops and frequency synthesizers requiring low power and wide locking range. Index Terms—Complementary voltage-controlled oscillator (VCO), even-divider, injection-locked frequency divider (ILFD), injection-locked oscillator, phase-locked loop (PLL), synthesizer.

I. INTRODUCTION NCREASING demand for higher data rates for growing number of users and applications has pushed up the carrier frequency. At high frequencies, the frequency spectrum is less congested while having a relatively wide bandwidth. Communication through high-frequency carrier signal generally requires frequency up- or down-conversion by LO signals in mixers. Typically, a LO signal is provided by the phase-locked loop (PLL) or frequency synthesizer. Frequency dividers are one of the most crucial building blocks in frequency synthesizers. They are used for the frequency division of LO signals. Once divided, the phase and

I

Manuscript received March 21, 2012; revised June 29, 2012; accepted July 03, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. This work was supported in part by the U.S. Air Force Office of Science Research and by the U.S. National Institute of Justice. S. Lee is with Samsung Electronics Co., Ltd, 446-711 Gyeonggi, Korea (e-mail: [email protected]). S. Jang and C. Nguyen are with the Electrical and Computer Engineering Department, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209451

frequency of the divided signal is then compared with a reference signal. The reference signal is generally supplied by a crystal oscillator, whose maximum frequency is typically limited to only a few hundreds of megahertz due to increased error at higher frequencies and limitation on physical material. To compare the low-frequency reference signal and high-frequency LO signal for tracking the phase and frequency, the LO signal needs to be divided until it has the same frequency with the reference signal. Frequency divider can be categorized into three types: current-mode logic (CML) type, true single-phase clock (TSPC) type, and injection-locked (IL) type. The CML frequency divider is widely used in the PLL, accredited to its good input sensitivity. However, it generally requires high power and even higher power as the operating frequency is increased [1], [2]. The TSPC frequency divider is limited to relatively low frequencies while having low-power characteristics [3]. Among the different divider types, the injection-locked frequency divider (ILFD) is popular since it can be designed to operate at high frequencies with low power consumption. Fig. 1(a) shows a simple circuit schematic of a conventional direct injection-locked frequency divider (DILFD). The injecis applied to the gate of the trantion signal of frequency . Due to the nonlinearities of , many inter-modusistor lation products are generated between the injection signal at and output signal at . For 1/2 frequency division, only the desired signal at is extracted through the LC-resonator at the output. Fig. 1(b) shows an equivalent model of the DILFD shown in Fig. 1(a), which includes a bandpass filter (BPF) formed by the LC resonator, an th-order multiplier (x N), and a mixer. Fig. 1(c) shows a phasor diagram between the current of the and injection current , where is cross-coupled pair the relative phase between them. The locking range is enhanced as the angle is increased, and the maximum locking range is , which happens when the angle achieved when reaches and is 90 . between The conventional DILFD generally has limited locking range. This locking range can be increased to some extent by employing techniques such as shunt-peaking [4], impedance matching at the injection device, and frequency tuning using a varactor and/or capacitor bank. In super-harmonic dividers, the locking range is even narrower in case of higher order division

0018-9480/$31.00 © 2012 IEEE

3162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 1. Conventional DILFD with single injection at NMOS: (a) schematic, (b) equivalent model using mixer, BPF, multiplier , and (c) phasor diagram between and .

i

i

N

since the coefficients of the th-order harmonic of the injection are smaller for higher order harmonics [5], [6]. signal In order to overcome the shortcomings of super-harmonic dividers, various works have been conducted to achieve a wider locking range while keeping the power consumption as low as possible [7]–[11]. A dual-injection method was implemented to enhance the locking range using two injection signals having the same amplitude and phase [7]. The locking range is increased due to the increase of the amplitude of the injected signal resulting from the addition of the two injection signal amplitudes, as can be inferred from Fig. 1(c). In [8], the two injection signals are applied with 90 phase difference to obtain an increased locking range. In this paper, a new dual-injection method is proposed to increase the locking range. The new method can enhance the of the comlocking range by optimizing the quality factor and , the amplitude of the output bined transistors , and the phase angle between cross-coupled current the injected signals. The paper also analyzes the effects of the on the and , as well as the optimized phase bias angle between two injection signals, which ultimately affect the locking range. This paper is organized as follows. Section II presents the operation of the 1/2 DILFD using single injection. Section III discusses the proposed dual-injection method for improving the locking range. Section IV shows the simulation and measurement results, and Section V gives the conclusion. II. DIVIDE-BY-TWO DIVIDER USING SINGLE INJECTION DILFD is a frequency divider that can lock its frequency to the frequency of an externally applied signal or its harmonics. DILFD can be categorized into three different types by the division ratio: first-harmonic DILFD, subharmonic DILFD, and

Fig. 2. Conventional 1/2 super-harmonic DILFD using single injection. (a) Circuit schematic. (b) Output of divider (upper) with injection (lower). and . Z11 is the input impedance of (c) Phasor interpretation between the resonator.

!

!

V

super-harmonic DILFD. Fig. 2(a) shows the schematic of a conventional super-harmonic DILFD for divide-by–two. Since the injection signal is periodic, the output of the divider is perioditurns ON and OFF by the injection cally “short” and “open” as , respectively. Fig. 2(b) plots the transient results of signal the DILFD, which shows that the output frequency is divided by

LEE et al.: LOW-POWER-CONSUMPTION WIDE-LOCKING-RANGE DUAL-INJECTION-LOCKED 1/2 DIVIDER

3163

Fig. 3. Behavioral model of the proposed 1/2 dual-ILFD.

through the switching operation of . The resultant total output current ( at ) flows into the resonator. In order to examine the locking range of the super-harmonic DILFD, we assume that there exists the necessary phase condition for oscillation. For instance, the phase shift in the loop is is zero in the steady state. When an injection current by applied, a deviation from the free-running frequency results, and, consequently, a phase shift of occurs in the resonator, as shown in Fig. 2(c), which results in is the relative phase between the frequency change where at voltage and current in the resonator. The resultant current the output varies according to , and the locking range can be estimated as [12] (1) is the loaded quality factor of the LC tank with exwhere ternal elements such as transistors. As can be seen in (1), the locking range can be increased by , reducing , and/or decreasing . Equation adjusting cannot be greater than . (1) also indicates that can be increased by using either the current reuse technique in [13] or dual-injection method in [7] and [8] without consuming can be achieved by employing additional power. Reduced . The relationship between reduced a resonator with lower and locking range is explained in Section III. III. PROPOSED DIVIDE-BY-TWO USING DUAL-INJECTION METHOD A. Proposed Concept of Dual-Injection Method With Optimization of Loaded and In the previous section, a 1/2 DILFD using single-injection method is discussed, and its locking range is estimated as in (1). The dual-injection method can be used to increase the locking range. Fig. 3 shows an equivalent model for the proposed 1/2 dual-ILFD shown in Fig. 4, which does not consume additional power as compared with a single-injection counterpart. The new 1/2 dual-ILFD has two injection signals and a control voltage for optimizing and the amplitude of needed for enhancing the locking is controllable, and it is modeled range. The amplitude of is tunable and its resas a variable gain amplifier (VGA). onant characteristic, and hence quality factor, can be modeled

Fig. 4. Schematic of the proposed 1/2 dual-ILFD. A 1/2 CML frequency divider is integrated with the dual-ILFD and used to compensate for the output voltage variation. The injection signal is via either a balun or divider.

TABLE I PARAMETERS OF THE DESIGNED DUAL-ILFD

as a BPF response. An injection signal at splits into and at node P through a balun or (equal-phase) divider depending on the device type used for injection in is injected into a mixer, which represents a Figs. 3 and 4. in Fig. 4), and it MOSFET used for direct injection (such as . is injected at the common-source node is added to of a cross-coupled pair and then added to the output current ). At the output, only a desired output component ( is obtained by filtering. Table I lists the element values and transistor sizes of the designed 1/2 dual-ILFD. Similar to the single-injection case, the locking range under the dual-injection can be approximately estimated by (1), where . Note that the summation between and is a vector. From (1), the locking range can be enhanced by reducing and as well as adjusting . When the resonator is connected to an external load, the can be expressed as loaded quality factor (2) where and represent the quality factor and external quality factor of the resonator, respectively.

3164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

value of

, respectively, according to (7). This

leads to

(8) , we let be 0.5 V and In order to estimate the range of be 1.8 V. We also let be 1.5 V, which is a reasonable value for guaranteeing oscillation. Substituting these values into (8) gives

Fig. 5. Equivalent circuit of resonator with external load R .

Fig. 5 shows an equivalent circuit of the resonator circuit with , where is the effective resistance an external load of the LC tank. The external load can be modeled as series connection of the negative transconductance of the cross-coupled , where is the transconductance for one pair, pair and the impedance due to parasitic capacitors at high frequency , where with being the parasitic capacitance at the common-source node, as seen in Fig. 4. due to the cross-coupled pair The external quality factor and parasitic can be expressed using its transconductance as [14]. capacitance (3) An optimization mechanism of can be deduced from (3). If the supply voltage is decreased, (dc current) reduce accordingly. This will result in lower , thus and . Also, the voltage , across leading to reduced decreases in a linear manner as lowers according to (4) is . Consewhere the maximum amplitude of to an optimum point gives an optimum quently, reducing and thus . value for is changed by varying To verify how and how much , we use the fundamental current equations of MOSFET, neglecting the channel-length modulation effect, in the saturation region as follows: (5) (6)

(9) which shows a possible tuning range for . can be reduced by about 60% We can see from (9) that can be reduced by the from the maximum. Correspondingly, same amount, leading to a reduction in as can be seen from to a (3). Therefore, according to (4) and (8), y reducing , and hence can be optimized certain minimum value, to produce an enhanced locking range. Fig. 6 shows the conceptual phase diagrams under different conditions. Fig. 6(a) illustrates the effect of increasing the deviwith respect to the locking range by decreasing ation angle , which correspondingly results in reduced as we discussed earlier, for single injection. For reduced (expressed ) corresponding to reduced , the locking range can be as while the total resultant current is lowincreased by ered as compared with the original one . Fig. 6(b) compares the dual injection with the single injection. The second injection is added to the resultant current after the first signal injection. When they bear a 90 phase difference, the effect of the second injection on the locking range is maximized. The efoptimized, fect of the dual injection with the amplitude of , is shown in Fig. 6(c). Fig. 6(d) shows that the and hence locking range of the proposed 1/2 dual-ILFD can be extended further as compared with the other dual-injection methods [7], and opti[8]. It is manifested that a dual injection with mized will maximize the locking range of ILFD. This approach, however, has a disadvantage in that the output amplitude of the , and thus is changed. In 1/2 dual-ILFD varies as order to compensate for the output voltage variation, a CML divider is connected to the output of the 1/2 dual-ILFD to produce adjustment. a constant output amplitude with respect to B. Design of the Proposed Divide-by-Two Dual-ILFD

where is the threshold voltage and is 0.5 ( ), where is the mobility of the carriers in the channel, is and are the transistor width the oxide capacitance, and of the and length, respectively. The gate–source voltage , which is the output cross-coupled pair is equal to common-mode level of the designed 1/2 dual-ILFD. Equating obtained from (5) and (6) with gives (7) in the designed 1/2 DILFD is minimum The amplitude of and maximum and maximum at the minimum

Fig. 4 shows the schematic of the proposed 1/2 dual-ILFD. The VCO is operated at 3.5 GHz and consists of two complementary cross-coupled pairs and a 3.5-GHz LC resonator. The source and drain terminals of the N/PMOS are connected across the output terminals for direct injection. The 1/2 CML divider is connected at the output of the VCO. A 7-GHz signal is injected through the balun. The shunt-peaking resonator at 7 GHz is connected at the common-source node of the nMOS cross-coupled pair to create a high-impedance point at 7 GHz, forcing and . is the 7-GHz injected signal to flow toward connected in parallel with the resonator in order to control the impedance of the resonator by adjusting its gate bias voltage.

LEE et al.: LOW-POWER-CONSUMPTION WIDE-LOCKING-RANGE DUAL-INJECTION-LOCKED 1/2 DIVIDER

Fig. 7. Simulation results for current and g

3165

of M1.

Fig. 8. Output amplitude of 1/2 dual-ILFD.

Fig. 6. Phase diagrams for (a) single-injection versus single-injection with reduced i and hence reduced Q , (b) single injection versus dual injection, (c) (equivalent to with and without dual injection with and without reducing i optimized Q ), and (d) proposed dual injection versus other dual injection.

The operation of the proposed 1/2 dual-ILFD is as follows. and ) at 7 GHz generTwo injection signals ( ated from a single external source through a balun are fed to and . Since these signals are 180 out of phase, (PMOS) and (NMOS) are turned on at the same time, thereby the resultant injection currents are constructively added at the output, effectively simulating the dual injection. The injection current at due to flows between the output terminals of the VCO. The second injection is applied to according to common gate which is selected for broadband characteristics. When the 7-GHz signal is injected into the common, it mixes source node through the common-gate device

with one of the odd-harmonic products (10.5 GHz) generated to produce a 3.5-GHz by the switching differential pair signal. This 3.5-GHz intermodulation product further increases . The corresponding drain curthe output signal at 3.5 GHz rent of at 3.5 GHz splits into two branches at the commonat the output. source node, which constitutes and the current of the cross-coupled pair Fig. 7 plots for different values of the control voltage . As the control voltage is reduced, and the current decrease which, as . This, in turn, recan be seen from (3), leads to reduced when is decreased, and, hence, an sults in reduced increase in the locking range can be expected. The output ampliis lowered. This can be tude, however, also decreases as problematic if the 1/2 dual-ILFD is cascaded with other blocks such as prescaler or other divider, which is normal in PLLs. Suppose that a digital divider for obtaining a desired division ratio is connected in cascade with the proposed 1/2 dual-ILFD. In standard 0.18- m CMOS or BiCMOS processes, the supply voltage is typically 1.8 V and the common-mode dc voltage of the digital divider is normally set to be 0.9 V. It means that the (peak-to-peak) output voltage amplitude of the 1/2 dual-ILFD should be fixed at 1.8 V so that the output common level is 0.9 V. Since the output amplitude is different from 1.8 V due to is reduced, the common-mode output level reduction as of the 1/2 dual-ILFD becomes lower than 0.9 V, which affects the common-mode level of the next stage. A 1/2 CML divider, instead of a simple dc-level shifter, is then connected at the output of the dual-ILFD in order to provide variation. constant output amplitude, regardless of the A 1/2 CML divider also provides an additional 1/2 division, hence relaxing additional division possibly needed in the next stage. Fig. 8 compares the output voltages of the proposed 1/2

3166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 9. Locking range characteristics of the proposed 1/2 dual-ILFD.

Fig. 12. Measured output spectrum of (a) free-running and (b) locked 1/2 dual-ILFD.

Fig. 10. Die photograph of the 1/2 dual-ILFD. Fig. 13. Measured phase noise of the 1/2 dual-ILFD and input signal.

the enhancement in the locking range is over 500 MHz at an injection voltage of 1 V while it is about 100 MHz at 0.2 V. IV. MEASURED RESULTS

Fig. 11. Measured tuning frequency and output power without injection.

dual-ILFD with and without the 1/2 CML divider versus , which shows a constant output for the proposed 1/2 dual-ILFD. Fig. 9 plots the locking ranges versus injection voltage from a single external source at 7 GHz. Four cases are considered: and opsingle-ILFD and dual-ILFD without optimizing . The timized single-ILFD and dual-ILFD by changing , and, as optimized locking range is obtained with lower . Therefore, we we noted earlier, this corresponds to lower can see and verify the positive effects of optimizing on the locking range of both dual-ILFD and single-ILFD. It can also be clearly seen that the dual-injection method increases the locking range over the single-injection technique. The effect is more significant as the injection voltage level is increased. For example,

The designed 1/2 dual-ILFD was fabricated on a 0.18- m BiCMOS process [15], and its die photograph is shown in Fig. 10. The entire chip size is 0.8 mm while the core size of the 1/2 dual-ILFD (without the 1/2 CML and pads) is 0.156 mm . Fig. 11 shows the measured frequency range and output power without signal injection (free running) of the designed 1/2 dual-ILFD at the 1/2 CML output as a function of the control voltage of the nMOS varactor. As can be seen, the output power varies little over the entire tuning range. The free-running frequency without signal injection is 1.84 GHz at 0.9-V control voltage, as shown in Fig. 11. The frequency changes from 1.78 to 1.9 GHz when the voltage of the nMOS varactor is tuned from 0 to 1.8 V. Fig. 12 shows the measured output spectrum of the free-running and locked 1/2 dual-ILFD. As can be seen, the spurious signals are reduced after the output signal is locked with the injected signal. Fig. 13 displays the measured phase noise of the 1/2 dual-ILFD and input signal from an external source. The ILFD exhibits a measured phase noise of 128.21 dBc/Hz using an input signal having a phase

LEE et al.: LOW-POWER-CONSUMPTION WIDE-LOCKING-RANGE DUAL-INJECTION-LOCKED 1/2 DIVIDER

3167

TABLE II COMPARISON OF MEASUREMENT RESULTS BETWEEN PROPOSED ILFD AND OTHERS

of 0.8 V; enhancement in [17] is obtained with reduced however, its effect is not analyzed. In [18], is reduced from 1.8 to 0.9 V to increase the locking range in a 0.18- m process without analytical explanation. V. CONCLUSION

Fig. 14. Measured locking range with single and dual injection.

noise of 123.82 dBc/Hz at 1-MHz offset; it would be better if a signal generator with better phase noise was used for the injection signal. Without injection, the phase noise is 115 dBc/Hz at 1-MHz offset. The locking ranges with single injection and dual and optimized are about 70, injection without and with 502, and 692 MHz, respectively, and the flatness of the output spectrum is within 1 dB, as shown in Fig. 14. The locking range and is of the proposed 1/2 dual-ILFD with optimized extended almost 10 times better than that for the single-injection case and about 27% more than the dual-injection case without and optimized. The current consumption of the 1/2 dual-ILFD with the CML divider is 4.8 mA, while that of the core 1/2 dual-ILFD is 2.93 mA with a supply voltage of 1.5 V and 1.2 mA with a supply voltage of 1.25 V via the balun and divider, respectively. Table II compares the measured performance of the proposed 1/2 dual-ILFD and other work. Results for injecting signals via a balun and divider are also listed in Table II. The power consumption of the proposed 1/2 dual-ILFD (via the divider) is lower than those in [16]–[18]. The proposed 1/2 dual-ILFD (via the balun) achieves 8.8% locking range as compared with 4.14% (for PMOS) and 6.41% (for NMOS) in [16]. The locking-range

A new 1/2 dual-ILFD that increases the locking range through optimizing the loaded and current while minimizing the output amplitude variation as well as providing additional dividing function using a 1/2 CML divider is proposed. The proposed 1/2 dual-ILFD was fabricated using a 0.18- m CMOS in BiCMOS process. The measured locking range of the designed 1/2 dual-ILFD is 692 MHz while that of the single-injection ILFD is 70 MHz, which demonstrates a significant improvement by a factor of almost 10. The designed core 1/2 dual-ILFD only consumes 2.93 mA at 1.5-V supply voltage via a balun and 1.2 mA at 1.25 V via a divider. With low power consumption and wide locking range, the proposed 1/2 dual-ILFD is attractive for various RF systems such as broadband PLLs requiring a stringent power budget. ACKNOWLEDGMENT The authors would like to thank Tower Jazz, Newport Beach, CA, for providing the fabrication. REFERENCES [1] C. Shanfeng, T. Haitao, J. Silva-Martinez, and A. I. Karsilayan, “A fully differential low-power divide-by-8 injection-locked frequency divider up to 18 GHz,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 583–591, Mar. 2007. [2] S. Pellerano, S. Levantino, C. Samori, and A. L. Lacaita, “A 13.5-mW 5-GHz frequency synthesizer with dynamic-logic frequency divider,” IEEE J. Solid-State Circuits, vol. 39, no. 2, pp. 378–383, Feb. 2004. [3] J. Lee and B. Razavi, “A 40-GHz frequency divider in 0.18-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 594–601, Apr. 2004. [4] W. Hui and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 m CMOS frequency divider with shunt-peaking locking-range enhancement,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2001, pp. 412–413, 471.

3168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[5] Y. N. Miao, C. C. Boon, M. A. Do, K. S. Yeo, and Y. X. Zhang, “Highfrequency low-power LC divide-by-2/3 injection-locked frequency divider,” Microw. Opt. Technol. Lett., vol. 53, no. 2, pp. 337–340, Feb. 2011. [6] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [7] L. Tang-Nian, Y. J. E. Chen, and H. Deukhyoun, “A V -band wide locking range CMOS frequency divider,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 563–566. [8] L. Tang-Nian and Y. J. E. Chen, “A 0.8-mW 55-GHz dual-injectionlocked CMOS frequency divider,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 3, pp. 620–625, Mar. 2008. [9] Z. Hui and H. C. Luong, “Ultra-low-voltage 20-GHz frequency dividers using transformer feedback in 0.18-m CMOS process,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2293–2302, Oct. 2008. [10] S. Hara, K. Okada, and A. Matsuzawa, “10 MHz to 7 GHz quadrature signal generation using a divide-by-4/3, 3=2; 5=3; 2; 5=2; 3; 4, and 5 injection-locked frequency divider,” in Proc. IEEE VLSI Circuits Symp., Madrid, Spain, Jun. 2010, pp. 51–52. [11] K. Yen-Hung, T. Jeng-Han, and H. Tian-Wei, “A 1.5-mW, 23.6% frequency locking range, 24-GHz injection-locked frequency divider,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 73–76. [12] L. J. Paciorek, “Injection locking of oscillators,” Proc. IEEE, vol. 53, no. 11, pp. 1723–1727, Nov. 1965. [13] R. Sujiang, A. W. L. Ng, and H. C. Luong, “0.9 mW 7 GHz and 1.6 mW 60 GHz frequency dividers with locking-range enhancement in 0.13 um CMOS,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2009, pp. 96–97. [14] W. Ting, M. Un-Ku, and K. Mayaram, “Dependence of LC VCO oscillation frequency on bias current,” in Proc. Int. Symp. Circuits Syst., May 2006, pp. 5039–5042. [15] Jazz Semiconductor. Newport Beach, CA. [16] M. Tiebout, “A CMOS direct injection-locked oscillator topology as high-frequency low-power frequency divide,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1170–1174, Jul. 2004. [17] Z. D. Huang and C. Y. Wu, “Design of 24-GHz 0.8-V 1.51-mW coupling current-mode injection-locked frequency divider with wide locking range,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1948–1958, Aug. 2009. [18] Y. T. Chen, M. W. Li, H. C. Kuo, T. H. Huang, and H. R. Chuang, “Low-voltage K -band divide-by-3 injection-locked frequency divider with floating-source differential injector,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 60–67, Jan. 2012.

0

0

0

0

0 0

0

Sanghun Lee (M’11) received the B.S. degree and M.S. degree in electrical engineering from Kwangwoon University, Seoul, Korea, in 2002 and 2004, respectively, and the Ph.D. degree in electrical engineering from Texas A&M University, College Station, in 2012. Since June 2012, he has been with Samsung Electronics Co., Ltd, Giheung, Korea, where he designs HSI products for high-speed I/O systems. His research interests include transceiver system and circuit design at digital, RF, and millimeter-wave frequencies.

Sunhwan Jang (S’10) received the B.S. degree in electrical engineering from Texas A&M University, College Station, in 2007, where he is currently working toward the Ph.D. degree in electrical engineering. His research interests include energy-efficient integrated receiver design at millimeter-wave frequencies.

Cam Nguyen (F’05) received the Ph.D. degree in electrical engineering from the University of Central Florida, Orlando, in 1990. He joined the Department of Electrical and Computer Engineering, Texas A&M University, College Station, in December 1990, where he is currently the Texas Instruments Endowed Professor, after working for over 12 years in industry. From 2003 to 2004, he was Program Director with the National Science Foundation (NSF), where he was responsible for research programs in RF electronics and wireless technologies. From 1979 to 1990, he held various engineering positions in industry, including a Microwave Engineer with the ITT Gilfillan Company, a Member of Technical Staff with the Hughes Aircraft Company (now Raytheon), a Technical Specialist with the Aeroject ElectroSystems Company, a Member of Professional Staff with the Martin Marietta Company (now Lockheed-Martin), and a Senior Staff Engineer and Program Manager with TRW (now Northrop Grumman). While in industry, he led numerous microwave and millimeter-wave activities and developed many microwave and millimeter-wave hybrid and monolithic integrated circuits and systems up to 220 GHz for communications, radar and remote sensing. His research group at Texas A&M University currently focuses on Si RFICs and systems, microwave and millimeter-wave ICs and systems, and ultra-wideband (UWB) devices and systems for wireless communications, radar, and sensing—developing not only individual components, but also complete systems including design, signal processing, integration, and test. He was the Founding Editor-in-Chief of Sensing and Imaging: An International Journal. Prof. Nguyen was the Founding Chairman of the International Conference on Subsurface Sensing Technologies and Applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3169

A Wideband Low-Power CMOS LNA With Positive–Negative Feedback for Noise, Gain, and Linearity Optimization Sanghyun Woo, Member, IEEE, Woonyun Kim, Member, IEEE, Chang-Ho Lee, Senior Member, IEEE, Hyoungsoo Kim, Member, IEEE, and Joy Laskar, Fellow, IEEE

Abstract—A wideband common-gate (CG) low-noise amplifier (LNA) utilizing positive–negative-feedback technique is presented. The positive–negative-feedback technique boosts effective transconductance and output impedance, which leads to an LNA with higher gain and lower noise figure (NF) over the previously reported amplifiers. In addition, this approach provides high linearity with an aid of third harmonic cancellation, and it breaks transconductance constriction for input matching in CG amplifiers. In this paper, linearity and output impedance improvement through the proposed technique are fully analyzed. An LNA prototype is implemented in 0.18- m CMOS technology occupying a total area of 0.33 mm . The implemented LNA delivers a maximum voltage gain of 21 dB, a minimum NF of 2 dB, an third-order intermodulation intercept point of 3.2 dBm, and 3.6 mW of power consumption in 300–920 MHz of 3-dB dB . bandwidth with input matching Index Terms—CMOS, common gate (CG), feedback, low-noise amplifier (LNA), multistandard, wideband. Fig. 1. Conventional wideband LNA topologies. (a) SFCS amplifier. (b) CG amplifier.

I. INTRODUCTION

W

ITH THE move to the next generation of wireless communication systems, the wideband low-noise amplifier (LNA) is essential to accommodate the needs of small form factor and low power operation. However, the wideband LNA is vulnerable to interferers and intermodulations generated from blockers and/or transmitter leakages over a wide frequency range because the power of these aggressors are not reduced by preceding filters [1]. Thus, for applying multistandards applications, the linearity is the stringent requirement of the wideband LNA design. Moreover, the wideband LNA has to provide high gain and low noise to improve receiver sensitivity. Manuscript received February 07, 2012; revised July 07, 2012; accepted July 17, 2012. Date of publication August 22, 2012; date of current version September 27, 2012. S. Woo, W. Kim, and C.-H. Lee were with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA. They are now with Qualcomm, San Diego, CA 92121 USA (e-mail: [email protected]; [email protected]; [email protected]). H. Kim was with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA. He is now with the Department of Electrical Engineering, University of North Texas, Denton, TX 76203 USA (e-mail: [email protected]). J. Laskar was with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA. He is now with InSite Partners, Cupertino, CA 95014 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2211379

A small area with low power consumption is also preferred for adapting a mobile terminal efficiently. Several LNA topologies for wide bandwidth have been reported [1]–[4], [9], [12]–[20]. In recent research, these topologies are classified as a shunt feedback common source (SFCS) type and a common gate (CG) type, presented in Fig. 1. For achieving higher gain and lower noise figure (NF) in the SFCS topology, a composite NMOS/PMOS cross-coupled transistor [2] is proposed for boosting gain, and a wideband active feedback with a voltage combiner [3] is suggested for reducing NF according to the large negative-feedback loop gain. However, gain boosting and noise reduction performance of these techniques are restricted because inherent drawbacks of SFCS topology have not been improved. First, the resistor or the active device in these topologies not only offers the feedback path, but also provides the feedforward path. As the feedforward path signal is out-of-phase with the main amplified signal, it degrades gain due to cancellation of these signals at output nodes. Second, the output impedance is reduced by the shunt negative-feedback loop at output nodes because the feedback loop makes the output impedance divided by an amount of negative-feedback loop gain. These signal cancellation and low output impedance restrict the improvement of gain and NF in SFCS-based wideband LNAs. On the other hand, the CG-type amplifier for wide bandwidth is superior in linearity and stability to the SFCS-type LNA due

0018-9480/$31.00 © 2012 IEEE

3170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

to low parasitic capacitances in the RF signal path. In addition, the signal cancellation and output impedance reduction issues in the SFCS topology do not exist in the CG topology. However, the CG-type LNA suffers from low gain and high NF, both of which are still inherent problems in the wideband LNA. Through the positive–negative-feedback technique in a CG configuration, the LNA boosts the effective transconductance, , and output impedance of the CG transistor, resulting in the higher gain and lower NF with low power consumption [4]. By utilizing the positive–negative technique, [5] and [6] also demonstrated the optimized gain and NF in the CG LNA at different frequency bands. However, as the linearity of the positive–negative-feedback LNA has not been analyzed thus far, [5] and [6] cannot provide optimized linearity performance with low power consumption. In addition, the output impedance of the LNA has been explained just conceptually with a general feedback theory. This study will verify that the positive–negative-feedback technique provides high linearity at certain positive-feedback loop gain. By applying a power series model to the positive-feedback and differential configuration, it clearly presents the third-order intercept point (IP3) improvement through third harmonic cancellation. Moreover, the gain, NF, and output impedance of the proposed LNA are fully analyzed. From these studies, a wideband CG LNA having high gain, low noise, and high linearity with low-power consumption simultaneously is proposed through a positive–negative feedback. Section II reviews the previous CG wideband LNA topologies, focusing on several feedback techniques. The concept and implementation of the proposed LNA is introduced in this section. Section III presents a detailed explanation of a proposed LNA including gain, NF, and linearity. In Section IV, the experimental results are provided. Finally, conclusions are presented in Section V. II. CG LNA TOPOLOGY COMPARISONS AND THE PROPOSED IDEA In the CG LNA, the input impedance is simplified as when it operates in low frequency. Thus, , the transconductance of a CG transistor, is uniquely defined as 20 mS for 50input matching and will affect gain and noise performance. The voltage gain of the CG LNA is proportional to and the noise factor is inversely proportional to [7]. Due to this restricted value for input matching, the CG LNA provides limited gain and noise performances. A. CG LNA With Previous Feedback Techniques value for input matching To alleviate the restricted of the CG LNA, a positive-feedback technique and a dual negative-feedback technique have been reported, as shown in Fig. 2 [8]–[13]. The main properties of these topologies are summarized in Table I. From a general feedback theory, the input impedances of the CG LNAs with a shunt–shunt positive feedback and a dual negative feedback are given as and , respectively. , which is supposed as a multiplication of the transconductance in a positive-feedback stage, , and load resistance, , should be lower than unity for stable operation. These equations illustrate that can be higher than

Fig. 2. (a) Positive-feedback CG LNA. (b) Dual negative-feedback CG LNA.

20 mS by increasing the and the in each case, while maintaining 50- input matching. Thus, an arbitrary value for input matching in CG LNAs can be achieved from these techniques. However, the high value imposes high power consumption of the circuit since the bias current of a MOSFET transistor is proportional to . Moreover, the output impedance of the dual negative-feedback case is calculated as , which presents the increased value of for achieving high with a constant input matching condition will decrease output impedance. Hence, improved gain and NF cannot be reached without increasing power consumption in the positive-feedback and the dual negative-feedback topologies. B. Concept of the Proposed Wideband LNA To achieve low power consumption with high gain and low noise simultaneously, a CG LNA with a positive–negative-feedback technique is proposed. In this topology, the same positive-feedback loop is utilized, and a negative-feedback loop having a gain of is inserted between the source and gate of the transistor, as shown in Fig. 3. From this configuration, the input impedance is computed from the feedback theory as . From the equation, the proposed positive–negative-feedback topology still offers the arbitrary value for input matching. Furthermore, the required value for input matching in the proposed topology is smaller than the one in the positive-feedback case. Referring again to Fig. 3, the negative-feedback loop senses a small-signal voltage from the source of , and the feedback loop amplifies with the gain of . The output voltage of the negative-feedback loop, which is expressed as , is seen by the gate of . In this case, the smallsignal voltage difference between the gate and source of can be defined as . Thus, of the topology is calculated as when input matching is considered. It is observed that increases by times in this topology compared to that in the positive only feedback case. In addition, the output impedance of the proposed topology can be

WOO et al.: WIDEBAND LOW-POWER CMOS LNA

3171

TABLE I COMPARISON OF CG LNAs

presents output impedance without feedbacks. is calculated without loading effect. shows NF from CG transistors.

Fig. 3. Conceptual diagram of proposed topology.

estimated as from the feedback theory. The output impedance of the topology also increases by times compared to that of the positive-only feedback topology because of the shunt-series negative-feedback loop. Therefore, the proposed topology provides much higher voltage gain with a help of increased and output impedance. The noise factor of the channel noise contribution in the proposed topology can be expressed under input matching condition as , where is the thermal noise coefficient of the CG transistor, and is defined as the ratio of and the zero bias drain conductance . The channel noise of can be greatly reduced through as well as , while maintaining input matching and stable condition with . Both gain and noise enhancements through the positive–negative-feedback technique are achieved with a low , which leads to low power consumption. By using the proposed technique, high gain and low noise with low power as well as the arbitrary value for input matching in the CG configuration are achieved.

Fig. 4. Entire schematic of the proposed topology including buffers (biasing not shown).

C. Implementation of the Proposed Wideband LNA The conceptual idea of the positive–negative-feedback technique is implemented in a differential configuration with the CMOS technology in Fig. 4. The differential structure eliminates common-mode noise, such as substrate noise, and is suitable for connecting directly with the following stage such as a double-balanced mixer [14]. The positive-feedback loop is formed by pMOS transistors that are cross-connected from the output to the input. The gates of pMOS transistors and sense the opposite positive output signals, and , and output currents of and inject to input ports and . The gate bias and the size of and need to be adjusted to have a loop gain from a 0 to 1 for stability. The negative-feedback loop is implemented by a cross-coupled capacitor, . The differential input signals of the circuit flow to the sources of nMOS transistors, and , and these are cross-coupled to the gates of the opposite nMOS transistors through capacitors. The is made by a metal-to-

3172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 5. Small-signal circuit model in a single side for output impedance calculation. Fig. 6. Simulation and calculation results of the output impedance.

metal (MIM) capacitor, which provides lower thermal noise than the MOS capacitor. The loop gain of the negative feedback needs to be high to maximize gain and to minimize the NF of the topology. In this structure, when the differential signals are perfectly balanced, the negative-feedback loop gain, , is given as , in which is the gate-to-source capacitance of or . can be nearly unity when is much larger than . With the help of large output impedance in this topology, the cascode structure can be avoided to secure large voltage headroom. The large voltage headroom allows the use of a large value of the load resistance, which provides higher gain. The differential output ports use two on-chip buffers designed by source follower configuration with current sources. These buffers offer to match 50- output impedance of measurement equipment and facilitate to measure voltage gain of the LNA.

and that of the proposed positive–negative-feedback case is

(4) For the positive-feedback-only case, the output impedance increases as the positive-feedback loop gain increases from the equation. On the other hand, for the proposed positive–negative-feedback case, the output impedance increases as the positive-feedback loop gain increases and the magnitude of the increment is much higher than positive-feedback-only case due to the negative-feedback loop gain. This analysis can be verified by simulations shown in Fig. 6. The results show that the analyses are well matched to simulation results. Thus, the gain is calculated as Gain

III. ANALYSIS OF THE PROPOSED LNA

(5)

A. Gain For simplicity, the output impedance calculations in Section II assumed no loading effect. When we consider the loading effect for the more precise calculations, the output impedance can be modeled shown in the Fig. 5. In this case, the output impedance is derived from output voltage over output current, as shown in the following two equations in circuit analyses: (1) (2) of the positive-feedback-only As mentioned earlier, the case is , and that of the proposed positive–negative-feedback case is . From these calculations, the output impedance equation of positive-feedback-only case is (3)

for the positive-feedback-only case, and Gain

(6) for the positive–negative-feedback case. From these equations, when the current consumption is the same, the gain of the proposed technique can be much higher than that of positive-feedback-only case through the improved and output impedance. B. Stability In such a high-gain LNA design, stability needs to be carefully considered to prevent the circuit from oscillation. Even though the CG-type LNA itself is very stable, we still need to investigate the stability issue due to the additional gain from the positive-feedback loop in the topology. The Rollett’s stability

WOO et al.: WIDEBAND LOW-POWER CMOS LNA

Fig. 7. Graphically explained

3173

Fig. 8. Stability factor with positive-feedback-only and positive–nega. tive-feedback case over the different size of

characteristic in a single-ended model.

factor ( factor), which presents stability using a set of -parameters, is expressed as (7) where . When 1 and , the circuit is unconditionally stable, and the reduction of provides better stability. Fig. 7 represents how much the output signal transfers to the input, which corresponds to the reverse isolation -parameter . When only feedback signals from the output to the input is considered, the in-phase output signal flows to the input by two paths. One path is that the in-phase output voltage signal couples through the gate-to-drain capacitance of and presents in-phase voltage at the input. The other path is that in-phase output voltage changes to out-of phase voltage at the input through the positive-feedback loop. On the other hand, out-of-phase output voltage couples to input as out-of-phase through the source-to-drain capacitance, , which occurs in routing of layout. These two out-of-phase voltage paths and one in-phase voltage path will partially cancel out each other at the input port. Due to the cancellation, increased isolation between the input and the output, which means decreased , provides higher stability. This mechanism is verified from the simulation results in Fig. 8. The factor of the positive–negative-feedback technique is higher than that of positive-feedback-only case. The factor of the positive–negative feedback is much higher at higher frequency and larger device size, where the in-phase output signal voltage can go to the input port easily due to the low impedance of parasitic paths. Thus, the output voltage cancellation at the input port of the positive–negative feedback improves the stability.

Fig. 9. Channel noise contributions of the LNA in simplified single-ended model.

and resistors is taken into account since the circuit is assumed to operate in low frequency. In this condition, the noise factors contributed by , , and can be derived by the following expressions because the noise factor of each component is given as output noise current from the component over output noise current from the source: (8) (9) (10)

C. NF Fig. 9 illustrates the analysis of the NF in the proposed topology. In the analysis, only the thermal noise of transistors

. The output noise from where the source, which is given in the denominator from (8)–(10),

3174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

For further simplifying the diagram, the other model shown in Fig. 10(b) describes differential systems having the same characteristics as the system in Fig. 10(a). In this case, (14) From (14), each nonlinear coefficient is obtained when the input signal is supposed to approach to 0. For finding coefficient , we calculate from (12) to (13), and then (15) Fig. 10. Feedback block diagrams for the positive-feedback case. (a) Differential. (b) Simplified differential. (c) Single ended.

where (16)

includes boosted , which reduces the NF of the topology. In this case, the total noise factor is approximated as

(11) This equation comes from the assumption of the input matching condition, . From the equation, the channel noise of in the second term of the right-hand side in (11) can be reduced by the positive-feedback loop gain, as well as the negative-feedback loop gain. As both and increase, the channel noise of will be greatly decreased. The channel noise due to the pMOS transistor, given in the third term of (11), will be also decreased by choosing a low value of . Furthermore, the load noise given in the fourth term of (11) is also reduced by the positive-feedback loop gain.

(17) , the output signals and In the initial condition approaches to 0 from (14). In addition, the difference between input and feedback signal and approaches to 0 from (12) as well. Substituting (16) and (17) into (15), we get

(18) Thus, the coefficient

is derived from (18) with

, (19)

when we suppose that the positive loop gain, . To find coefficient , we calculate

, is defined as from (15),

D. Linearity For analyzing the third-order intermodulation intercept point (IIP3) of the circuit topology, the power series model based on Sansen’s theory can be applied [15]. Although the model is only effective in weakly nonlinear memoryless systems, it facilitates the calculations of the complicate feedback and differential configurations. The positive-feedback case of the proposed topology is analyzed separately for simplicity, as shown in Fig. 10(a). The nonlinear amplifier portions, consisting of transistors of the topology, are characterized by power series coefficients, . In addition, the positive-feedback loops, forming in the topology, have feedback coefficients, , , and . This model assumes no mismatch between the differential paths of the topology. From the assumptions, the differential output signals, , are calculated by differential input signals, , and the feedback coefficients as follows:

(20) where

(21)

(22) In the initial condition,

, the

summaries as

(12) (13)

(23)

WOO et al.: WIDEBAND LOW-POWER CMOS LNA

3175

Fig. 12. Die micrograph.

Fig. 11. Simulation results of IIP3. (a) 2 mA of total current case. (b) 3 mA of total current case.

Thus, the coefficient ,

Fig. 13. Simulated and measured results. (a) Voltage gain and (b) ters.

-parame-

is derived from (18) and (23) when

(24) To solve coefficient initial condition,

, we calculate ,

from (20) in the Fig. 14. Measured results. (a) NF and (b) IIP3 at 698 MHz.

(25)

(26)

where (27)

3176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE II PERFORMANCE COMPARISON

Voltage gain,

Graphically estimated,

Active area size

Using the Taylor coefficients, the IIP3 is derived from [17]

Substituting (26) and (27) into (25), we get

dB

(28) Thus, the coefficient

is calculated when

,

(29) can be derived In addition, the nonlinear coefficients of the same as that of cases. Finally, the differential nonlinear coefficients of to and to can be simplified as a single-ended model having the nonlinear coefficient of , , and , shown in Fig. 10(c). When we suppose input signal, , as and output signal, , as , we can derive the coefficient of from (14) (30) (31)

(33)

From these results, it can be concluded that second-order harmonics of the positive-feedback configurations are cancelled out because the differential benefits of the LNA still persist even with the positive-feedback loop. In addition, the thirdorder term, , will be reduced when the first and second terms in (32) cancel each other by choosing a proper value of the positive-feedback loop gain. These characteristics of the proposed LNA are verified by the simulation results shown in Fig. 11. The total current consumption of 2- and 3-mA cases are simulated while maintaining the same operating bandwidth in both cases. From these results, the IIP3 of the LNA will be greatly increased in a certain positive-feedback loop gain, . The positive-feedback loop gain can be easily controlled by gate biases of and . As the improved IIP3 from positive feedback is observed at overall in-band bandwidth, the proposed LNA is effective to apply multistandard receiver. The optimum IIP3 is observed around 0.5 of the positive-feedback loop gain, which is a safe region for stability. The IIP3 is more effectively improved in high current condition because the term is more dominant in (32). These results imply that the design of the proposed LNA has a degree of freedom to select the value of the IIP3. IV. EXPERIMENTAL RESULTS

(32)

A prototype of the proposed LNA is fabricated in 0.18- m standard CMOS technology and assembled in chip-on-board. Fig. 12

WOO et al.: WIDEBAND LOW-POWER CMOS LNA

shows a die photograph of the LNA. The total chip occupies 0.33 mm including pads and buffers. The application of the designed LNA is for cognitive radio systems in UHF white space (300–698 MHz) and for fourth-generation (4G) mobile communications (698–862 MHz), which require a high signal-to-noise ratio (SNR) for high data-rate communication. The differential LNA is biased at 2 mA from a 1.8-V supply voltage. The voltage gain and -parameters of the LNA are measured using a four-port network analyzer. Fig. 13 represents the measured voltage gain, input return loss , and the reverse transmission . The voltage gain curve is presented when the output buffers and printed circuit board (PCB) losses are de-embedded. Since the output buffer having 6.3-dB loss is used for 50- output impedance matching, this effect needs to be removed in voltage gain simulation. The fabricated LNA is achieved lower than 10 dB from 300 MHz to 1.32 GHz, and then the measured 3-dB bandwidth is achieved from 300 to 920 MHz with the input matching condition. The maximum voltage gain measured 21 dB at 430 MHz with 3.8-mW power consumption. , which includes the effect of output buffers, shows over 40-dB reverse isolation in operating frequency. The measured NF and IIP3 of the LNA are illustrated in Fig. 14. These performances are measured using external single to differential wideband baluns on input and output ports, and the loses of baluns are de-embedded. The average NF measures 2.8 dB within the operating bandwidth and minimum NF value, 2 dB, occurred at the maximum gain frequency. In addition, the measured IIP3 is shown in Fig. 14. It shows an IIP3 of 3.2 dBm when applied two tone at 699 and 700 MHz. The aberration of the NF results and the slight degradation of the IIP3 are due to asymmetry of the external test balun. In Table II, the measured result is compared with previously reported results. The power consumption of this design is less than half of the others with an enhanced gain and NF while considering differential topology. V. CONCLUSIONS In this paper, a new differential LNA is proposed to achieve high gain, low NF, and high linearity simultaneously without sacrificing wideband advantages of a conventional CG topology. From the positive–negative-feedback technique, gain and NF are greatly improved in relatively low power consumption due to and output impedance boosting, and arbitrary value is achieved for input matching. The linearity is also improved by utilizing the third harmonic distortion cancellation through the positive feedback. This is the first reported analysis for proving the distortion cancellation in positive feedback to the authors’ best knowledge. The measurement results implemented in 0.18- m standard CMOS technology present 21 dB of maximum voltage gain, 2 dB of minimum NF, and 3.2 dBm of IIP3. The LNA occupies 0.33 mm including all pads and buffer, and it consumes only 2 mA from a 1.8-V supply. These results clearly show that the proposed LNA maximize gain and linearity while minimizing NF with low power consumption. REFERENCES [1] W. Chen, G. Liu, B. Zdravko, and A. M. Niknejad, “A highly linear broadband CMOS LNA employing noise and distortion cancellation,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1164–1176, May 2008.

3177

[2] M. El-Nozahi, A. Helmy, E. Sanchez-Sinencio, and K. Entesari, “An inductor-less noise-cancelling broadband low noise amplifier with composite transistor pair in 90 nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1111–1122, May 2011. [3] D. Im, I. Nam, and K. Lee, “A CMOS active feedback balun-LNA with high IIP2 for wideband digital TV receivers,” IEEE Trans. Microw. Theory Tech, vol. 58, no. 12, pp. 3566–3579, Dec. 2010. [4] S. Woo, W. Kim, C. Lee, K. Lim, and J. Laskar, “A 3.6 mW differential common-gate CMOS LNA with positive–negative feedback,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 218–219. [5] X. Wang, C. Dong, S. Cao, N. Yan, X. Tan, and H. Min, “1.9 2.6 GHz tuning range variable gain low-noise amplifier with digital assisted automatic tuning loop,” in IEEE RFIC Symp., Jul. 2011, pp. 1–4. [6] R. Ye, T. Horng, and J. Wu, “Wideband common-gate low-noise amplifier with dual-feedback for simultaneous input and noise matching,” in IEEE RFIC Symp., Jul. 2011, pp. 1–4. [7] X. Guan and A. Hajimiri, “A 24-GHz CMOS front-end,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 368–373, Feb. 2004. [8] A. Linscidini, M. Brandolini, D. Sanzogni, and R. Castello, “A 0.13 m CMOS front-end, for DCS1800/UMTS/802.11b-g with multiband positive feedback low-noise amplifier,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 981–988, Apr. 2006. [9] S.-S. Song, D.-G. Im, H.-T. Kim, and K. Lee, “A highly linear wideband CMOS low-noise amplifier based on current amplification for digital TV tuner applications,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 118–120, Feb. 2008. [10] A. Liscidini, G. Martini, D. Mastantuono, and R. Castello, “Analysis and design of configurable LNAs in feedback common-gate topologies,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 8, pp. 733–737, Aug. 2008. [11] P. Gray, P. Hurst, S. Lewis, and R. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed. New York: Wiley, 2001. [12] J. Kim, S. Hoyos, and J. Silva-Martinez, “Wideband common-gate CMOS LNA employing dual negative feedback with simultaneous noise, gain, and bandwidth optimization,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2340–2351, Sep. 2010. [13] W. Zhuo, S. Embabi, J. P. de Gyvez, and E. Sanchez-Sinencio, “Using capacitive cross-coupling technique in RF low noise amplifiers and downconversion mixer design,” in IEEE Eur. Solid-State Circuits Conf., Sep. 2000, pp. 77–80. [14] B. Razavi, Design of Analog CMOS Integrated Circuits, ser. Elect. Comput. Eng. New York: McGraw-Hill, 2001. [15] W. M. C. Sansen, Analog Design Essentials. Berlin, Germany: Springer, 2008. [16] S. Wang, A. Niknejad, and R. Brodersen, “Design of a sub-mW 960-MHz UWB CMOS LNA,” IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2449–2456, Nov. 2006. [17] S. Blaakmeer, E. Klumperink, D. Leenaerts, and B. Nauta, “Wideband balun-LNA with simultaneous output balancing, noise-canceling and distortion-canceling,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1341–1350, Jun. 2008. [18] W. Chen, G. Liu, B. Zdravko, and A. Niknejad, “A highly linear broadband CMOS LNA employing noise and distortion cancellation,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1164–1176, May 2008. [19] R. Ramzan, S. Andersson, J. Dabrowski, and C. Svensson, “A 1.4 V 25 mW inductorless wideband LNA in 0.13 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 424–425. [20] J. Borremans, P. Wambacq, and D. Linten, “An ESD-protected DC-to-6 GHz 9.7 mW LNA in 90 nm digital CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 422–423.

Sanghyun Woo (S’07–M’10) received the B.S. and M.S. degrees in electrical engineering from Sogang University, Seoul, Korea, in 1998 and 2000, respectively, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2010. From 2000 to 2006, he was with the Samsung Advanced Institute of Technology, Yongin-Si, Korea, as a Member of Research Staff, where he was involved with 4G wireless communication systems. Since 2010, he has been with Qualcomm, San Diego, CA, where he is involved in CMOS RF integrated transceiver design. His research interest is analog/RF circuit design for wireless communications.

3178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Woonyun Kim (S’94–M’01) received the B.S., M.S., and Ph.D. degrees in electrical and electronics engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 1994, 1996, and 2001, respectively. In 2001, he joined Samsung Electronics, Giheung, Gyeonggi-Do, Korea, where he was involved in the design and development of RF integrated circuits (ICs) and RF tuners. From 2007 to 2009, he was a Member of the Research Staff with the Georgia Institute of Technology, Atlanta. In 2011, he joined Qualcomm, San Diego, CA. His research interests include RF/analog circuits for wireless applications.

Chang-Ho Lee (S’97–M’01–SM’06) received the B.S. and M.S. degrees in electrical engineering from Korea University, Seoul, Korea, in 1989 and 1991, respectively, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 1999, and 2001, respectively. In 2000, he joined RF Solutions Inc. Norcross, GA. In 2003, he joined the Georgia Institute of Technology, Atlanta, as a member of the research faculty. In 2005, he joined the Samsung Design Center, Atlanta, GA, where he was a Technical Director, as well as an Adjunct Professor with the Georgia Institute of Technology. In 2011, he joined Qualcomm, San Diego, CA, where he is currently a Principal Engineer involved with RF integrated circuit (RFIC) design.

Hyoungsoo Kim (S’04–M’11) received the B.S. degree in electrical engineering from Yonsei University, Seoul, Korea, in 2000, and the M.S. and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2004 and 2010, respectively. Since Fall 2010, he has been with the Department of Electrical Engineering, University of North Texas, Denton, as an Assistant Professor. During 2008 and 2009, he was a Design Intern with Lyric Semiconductor (now Analog Device), Cambridge, MA. His research has focused on mixed-signal integrated circuit (IC) designs. He is interested in signal integrity such as equalization system and circuits and crosstalk noise systems for backplane and optical applications. He is also interested in RF circuit designs such as noise cancelling, power amplifiers, and signal generation.

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.Sc. degree in computer engineering from Clemson University, Clemson, SC, and the M.Sc. and Ph.D. degrees from the University of Illinois at Urbana–Champaign. He is currently the Vice President of Advanced Technology with InSite Partners (an investment and advisory group), Cupertino, CA. From 1992 to 2011, he held various faculty positions with the University of Hawaii, and most recently, with the Georgia Institute of Technology. Since 1995, he has cofounded four companies. He has coauthored five textbooks and authored or coauthored over 600 peer-reviewed journal and conference papers. He has generated 52 patents (issued or pending) and has graduated 42 Ph.D. students. He has helped pioneer the development of integrated high-efficiency integrated power amplifier (PA) technology in both GaAs and CMOS PA technology and has investigated aggressive mixed-signal communication architectures. Most recently, he has helped pioneer the development of low-power millimeter-wave gigabit wireless circuits. Dr. Laskar is a member of the Editorial Board of the PROCEEDINGS OF THE IEEE.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3179

Wideband CMOS Amplification Stage for a Direct-Sampling Square Kilometre Array Receiver Donuwan Navaratne, Member, IEEE, and Leonid Belostotski, Member, IEEE

Abstract—The design of a second amplification stage (SAS) for a highly sensitive direct-sampling receiver for the Square Kilometre Array (SKA) radio synthesis telescope is discussed. The SAS is intended to follow a Square Kilometre Array low-noise amplifier (SKA-LNA), which is being designed by others and is not a subject of this study, to obtain the high gain required from the SKA receiver. Due to the SKA ultra-low noise-temperature requirements, the SAS noise must be minimized, even though it is preceded by an SKA-LNA. The first two stages of the SAS consist of an inductorless partially noise-canceling resistive-feedback amplifier and a differential gain stage that achieve both low noise figures (NFs) and convert the single-ended input signal to a differential output. Following this, an additional gain stage is cascaded to increase the SAS gain. Over the midband SKA frequency range of 0.7–1.4 GHz, a 65-nm CMOS SAS achieves an dB, voltage gain 36 dB, and sub-1-dB NFs ( 75-K noise temperature), P1dB of 52 dBm, input third-order intercept point (IP3) of 43 dBm and input second-order intercept point (IP2) of 34 dBm, while consuming 96.8 mW of dc power. While the proposed SAS is not required to be input power matched, a method for matching with minimum effect on NF and gain is also presented and experimendB, tally verified. The power match SAS achieves an voltage gain 35 dB, and sub-1.6-dB NFs ( 130-K noise temperature), input P1dB of 52 dBm, input IP3 of 44 dBm, and 34 dBm, while consuming 58.9 mW of dc power. input IP2 of Index Terms—CMOS, low-noise amplifier (LNA), resistive feedback, Square Kilometre Array (SKA), wideband amplifier.

I. INTRODUCTION

T

HE DESIGN of the Square Kilometre Array (SKA) radio synthesis telescope is currently entering the detailed-design stage, which is being carried out by teams of researchers in over 20 countries. Scientific goals, driving the SKA development, demand very high sensitivities, m K , and a large survey speed figure-of-merit, deg m K [1]. Both of these performance metrics relate to the system noise temperature, , and the telescope effective collecting area, , by (1) Manuscript received June 25, 2012; revised July 10, 2012; accepted July 18, 2012. Date of publication August 23, 2012; date of current version September 27, 2012. This work was supported by the University of Calgary, the Natural Sciences and Engineering Research Council of Canada (NSERC), The Dominion Radio Astrophysical Observatory, CMC Microsystems, Alberta Ingenuity Fund, and under the NSERC Special Research Opportunity Program. The authors are with the Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2210730

Fig. 1. Conceptual diagram of a direct sampling SKA receiver. The SAS is the subject of this study. The blocks, which follow the SAS, are replaced by an output buffer in this study for measurement purposes.

and (2) is the telescope where is the telescope field of view and is bandwidth [1], [2]. While the “nice-to-have” target for K results in the total 35 K, the estimated worst case telescope collecting area for the required sensitivity of 1 km , which gives rise to the telescope’s name. Clearly, a fully steerable single-antenna telescope of such an area is not feasible, and therefore aperture synthesis will be employed to comprise . Due to various cost drivers associated with synthesizing the large collecting area, an array of 3000 15-m parabolic antennas, each equipped with several hundred receiving elements constituting phased-array feeds, is being considered for the SKA [1]. In addition, to achieve a wide field of view, aperture arrays are also considered [1]. With these configurations of antennas, a few tens of millions of receivers will be required [3], [4]. In contrast to conventional radio telescopes, which only need a handful of receivers implemented with cryogenically cooled or ambient-temperature GaAs or InP low-noise amplifiers (LNAs), CMOS technology is adopted in this study to reduce costs associated with fabricating millions of receivers and to allow the integration of the majority of the receiver sub-systems on a single integrated circuit (IC). Aided by high-integration capabilities of CMOS and by a constant increase in transistor operating frequencies, a midband 0.7–1.4-GHz SKA direct-sampling receiver depicted in Fig. 1 K for such is becoming feasible. Out of the targeted a receiver, 5 K of noise temperature is estimated for antenna and receiver input interconnection losses, and 15 K is estimated for the receiver, with the remainder of the input-referred noise temperature being contributed by sky noise, spillover noise, and noise due to antenna mutual coupling in phased-array feeds and aperture arrays [3]–[5]. A prototype for the Square Kilometre Array low-noise amplifier (SKA-LNA) was previously developed in digital 90-nm CMOS [6]. Designed for an 85- antenna impedance, this wideband inductively degenerated LNA demonstrated 14-K

0018-9480/$31.00 © 2012 IEEE

3180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

noise temperatures [0.2-dB noise figure (NF)] and an available power gain of 17 dB over the 0.7–1.4-GHz SKA frequency range of interest. The LNA achieved an output P1dB of 2 dBm, output input third-order intercept point (IP3) of 12 dBm, and output second-order intercept point (IP2) of 22 dBm while consuming 43 mW of power. Such low-noise temperatures are necessary and are inline to meet the SKA sensitivity requirements stated above. However, since a radio telescope receives very weak signals, which are buried in the noise of the receiver, the receiver output appears as white Gaussian noise, which is to be digitized by an analog-to-digital converter (ADC). Due to relatively large voltage levels needed for ADCs to digitize their input signals, the telescope receivers need to provide sufficient signal amplification. With only 17 dB of gain, the LNA in [6] is not sufficient, and as a result, a second amplification stage (SAS) with a power gain of at least 30 dB is required and is the subject of this study. With the additional 30 dB of gain from the SAS, the total gain of the two circuits of 47 dB will result in approximately 45 dBm of power driving a variable gain amplifier (VGA), such as in [7]. The VGA in [7] is designed to drive an ADC, such as in [8], which can digitize the receiver output. In addition to the gain requirements, a 1-K noise contribution to the SKA receiver from the SAS is desired to minimize its effect on . For the SKA-LNA with 17 dB of gain, this translates to 75 K of noise temperature (sub-1-dB NF) for the SAS. While low noise temperatures are required for a radio astronomy receiver, its linearity specifications are often significantly relaxed when compared to standard wireless systems. This is, to a large degree, due to the intentional selection of the telescope location being as far away as possible from sources of man-made radio interference. In addition, very sensitive radio telescopes point their very narrow beams at the areas of the sky where no interference is present. Therefore, the linearity of the SAS is not a critical design goal as long as the output 1-dB compression point (P1dB) is at least 10 dB higher than the power of the output signal, i.e., the amplified white noise, to avoid compression of its peak amplitude. In Section II, the architecture of the SAS is introduced. This is followed by a description of the SAS low-noise amplifier (SAS-LNA), which is based on an inductorless 65-nm1 CMOS resistive-feedback low-noise amplifier (R-LNA) with partial noise cancellation. While the implemented SAS is not input power matched to 50 , a method of improving the match with only little degradation to the NF is discussed in Section II-A.3. A description of the differential gain stages cascaded to the SAS-LNA follows. Section III presents measurement results for both the low-noise and the input-power-matched 65-nm CMOS SASs. II. SAS AND ITS SUB-COMPONENTS As was explained in Section I, the two most important performance parameters for the SAS are the noise temperature of 1Note that 65-nm CMOS, rather than 90-nm CMOS used for the SKA-LNA design in [6], is selected because of concurrent development of an ADC and a VGA and a redesign of the SKA-LNA being carried out in 65-nm CMOS [9].

Fig. 2. R-LNA.

75 K dB and gain of 30 dB in the frequency range from 0.7 to 1.4 GHz. The other requirements that are not explicitly stated in Section I are the desire to avoid inductors and to convert the single-ended output of the SKA-LNA to differential signals within the receiver. Although differential circuitry doubles power consumption, it improves common-mode noise rejection and even-mode distortions. The common-mode rejection is considered important for the SKA direct-sampling receivers in which a large broadband gain can cause instabilities if any of the output signal leaks through ground or power supply in one of the earlier gain stages. Also, the switching noise of the ADC can appear as a common-mode signal in the gain stages and desensitize the receiver. For these reasons, conversion to differential circuit topology is highly desirable. Similarly, large inductors increase the possibility of coupling between SAS stages and coupling of substrate noise into the SKA receiver, and thus are avoided. An illustration of the proposed SAS architecture is presented in Fig. 1. The SAS is separated into three stages followed by a buffer. In this architecture, the primary design goals for the SAS-LNA are low noise temperatures and the possibility of single-ended-to-differential signal conversion. The 1st gain stage is expected to have a low input-referred noise contribution to the SAS while producing differential output. The 2nd gain stage amplifies the signal to desired levels. For measurement purposes, a buffer stage is connected to the output of the 2nd gain stage. In Section II-A, the design of the SAS-LNA, the most critical stage of the SAS, is discussed. A. SAS-LNA In previous studies [10]–[12], R-LNAs shown in Fig. 2 have been demonstrated to achieve sub-2.5-dB NFs. In this study, an R-LNA topology is also selected for the SAS-LNA since it provides the possibility of single-ended to differential signal conversion, as will be shown in Section II-A.2. However, high NFs of the previously published amplifiers are not acceptable for the SAS. Therefore, we first investigate the R-LNA noise contributors to determine whether the amplifier can be redesigned to meet our specifications. 1) Review of Conventional R-LNAs: The noise factor for an R-LNA in Fig. 2 is approximately given by [10]

(3)

NAVARATNE AND BELOSTOTSKI: WIDEBAND CMOS AMPLIFICATION STAGE FOR DIRECT-SAMPLING SKA RECEIVER

3181

where and are the combined transconductances and output conductances of and , respectively. As indicated by (3) increasing and reduces the noise factor (figure). For NFs of 1 dB, large ’s are necessary to reduce the second term in (3). Since for transistors in strong inversion cannot be increased significantly by increasing the gate–source voltage [13], large ’s require wide transistors, which limit the gain- and input-match bandwidths due to their parasitic capacitances. In addition to affecting the NF of the R-LNA, also determines its input power match. At low frequencies, the input impedance of an R-LNA is [12] (4) where its voltage gain is (5) dependence An illustration of on for an R-LNA implemented with wide transistors is shown in Fig. 3(a). In this particular example, at low frequencies, when k , is near the center of the Smith chart and results in better than 10-dB return loss, as indicated by the trajectories inside the circle of the voltage standing wave ratio (VSWR) of 2. As expected, however, due to large parasitic capacitances, at high frequencies is poor. Fig. 3(b) shows the simulated dependence on . As expected from (3), NF decreases when increases. Having discussed the dependence of and NF on and , a proposed SAS-LNA based on R-LNA is discussed in Section II-A.2. 2) Partially Noise-Canceling Resistive-Feedback SAS-LNA: The goal of this study is to demonstrate an inductorless sub-1-dB NF SAS. To do this, a noise-cancellation technique is incorporated within an R-LNA to create a noise-canceling resistive-feedback low-noise amplifier (NCR-LNA) shown in Fig. 4. It should be observed that rather than demonstrating the full cancellation of transistor drain noises as in [14] and [15], the two main objectives for the SAS-LNA and the stage that follows are to achieve the single-ended to differential signal conversion and sub-1-dB NFs. Given that the following stage (1st gain stage) creates a differential signal and is required to be fully differential to provide common-mode noise rejection, the amount of noise cancellation in the SAS-LNA is traded off with the amount of noise contributions from , and the 1st gain stage. Also, design constraints are different in this study from that in [15], where a relatively low is necessary in order to achieve an acceptable input return loss. Relaxed requirements for input power matching give us the flexibility in choosing large . While this may result in poor input power match, an approach to improving the match with a minimum affect on NF is presented in Section II-A.3. Referring to Fig. 4, which shows the flow of drain noise currents in the NCR-LNA, when the noise cancellation is attempted, the 1st gain stage following the SAS-LNA, as in Fig. 1, is tasked with subtracting out-of-phase and and subtracting in-phase and . As in [15], we refer to this stage as the “adder”. In [15], the adder is designed such that

Fig. 3. Examples of simulated: (a) , with “ ” marking 0.7 GHz and “ ” and (b) NF for an R-LNA marking 1.4 GHz, and the circle of k and amplifier in Fig. 2. In both sub-figures, R-LNA with pF are for R-LNA with improved match, as discussed in Section II-A.3.

Fig. 4. NCR-LNA. Noise and signal voltages at its output are identified by , , , and , respectively. The and drain-noise current path is identified by the red (– – –) line (in online version).

the subtraction of from results in full cancellation of the NCR-LNA transistor drain noise. In this case, the remaining noises from and the adder’s transistors dominate the overall noise factor of the amplifier. Since in this study the NCR-LNA is not constrained to having 50- input impedance, as it is intended to follow an SKA-LNA, can be increased significantly to reduce the NCR-LNA noise factor, as was discussed in Section II-A.1. Intuitively, when is increased, the amplifier

3182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I NOISE PARAMETERS OF THE NCR-LNA AND R-LNA

Fig. 5. Small-signal model of an NCR-LNA. The combined small-signal paand are identified as , , , and and rameters of transistor and . The noise currents of and drain and gate noise currents are are shown.

gain is increased to its maximum of approximately seen from

as

(6) which is obtained from an analysis of the NCR-LNA smallsignal equivalent model in Fig. 5. Since large results in a large output , and therefore large and , there is a significant imbalance between the magnitudes of and . For full noise cancellation, the NCR-LNA would need to be followed by an adder with very different gains for its two inputs. Such a gain unbalanced adder will not provide common-mode noise rejection and will be the main noise contributor since it is required to amplify considerably more than and as such would have to be designed to achieve 1-dB NFs. Due to this, rather than shifting the NF performance burden on the adder and attempting full cancellation of drain noises, the drain noises are reduced by increasing . This gives the flexibility of selecting the NCR-LNA gain with and such that noise contribution of the adder, , , and , are traded off against each other. By doing this, the NCR-LNA NF is reduced. This type of tradeoff was investigated in [16], although for a different circuit. Continuing with the small-signal model in Fig. 5, noise parameters of the R-LNA and NCR-LNA are derived and are presented in Table I. In these derivations, the relatively small contribution due to was ignored to simplify equations, , , and , where is the conductance of the transistor when its drain–source voltage is 0, is the Boltzmann’s constant, is the noise bandwidth, and is the absolute temperature. Examining each of the noise parameters in Table I, it is observed that is absent from of the NCR-LNA, where is the optimum admittance for minimum noise. The absence of reduces noise factor frequency dependence. Due to appearing in noise parameters of the NCR-LNA, the NCR-LNA has lower equivalent noise resistance, , lower minimum noise factor, , and larger . Larger is convenient because it shifts closer to 50 . Small mini-

Fig. 6. (a) NCR-LNA with . (b) Small-signal model. Note: are the combined small-signal parameters of and and

,

,

Fig. 7. Input admittance model for the R-LNA and NCR-LNA with

.

,

.

mizes the sensitivity of the NCR-LNA to differences between the signal-source admittance and . In addition to reof the NCR-LNA, the numerators of the ’s last ducing terms differ by a factor . The reason for this could be explained with an analysis of the input- and output-referred noise currents. Both of these currents are directly dependent on the drain noise currents of and . This results in partial cancellation of and noise currents in and in the disappearance of the term. As a result of lower and lower and for identical dc-bias conditions, transistor dimensions, and passives, the NCR-LNA is expected to achieve a lower noise factor than the R-LNA. As expected from the analysis of the noise parameters, the noise factor expressions

(7)

NAVARATNE AND BELOSTOTSKI: WIDEBAND CMOS AMPLIFICATION STAGE FOR DIRECT-SAMPLING SKA RECEIVER

Fig. 8. Schematic diagram of the complete three-stage 65-nm CMOS

3183

and an output buffer.

improvement in the input power match, the small-signal circuit in Fig. 6(b) is analyzed and gives

and

(8) (9) in their third term and by terms containing and differ by . These differences result in as was anticipated from the analysis of their noise parameters and from the fact that , which is not “contaminated” by the NCR-LNA noise, is one of the NCR-LNA’s outputs. Numerical analysis of the SAS-LNA, which was implemented in this study and presented later in Section II-B.1, has shown that the larger denominators in last two terms in (7) than in (8) account for 80% of their noise-temperature difference with the rest of the difference contributed by the smaller numerator of the third term of (7). Also as expected from Section II-A.1, (7) indicates that increasing and improves noise performance. Since in this study input power match to 50 is not a requirement, is increased to reduce the noise factor. The poor input power match, when is large, can be improved with a slight redesign of the NCR-LNA and an addition of a single capacitor at the NCR-LNA output, as shown below. 3) NCR-LNA Input Power Match When is Large: The proposed wideband input power-matching method for an NCR-LNA (and an R-LNA) with large involves incorporating a drain–source capacitor shown in Fig. 6. In Fig. 3(a), the curve labeled k pF appeared different from other curves. The visible difference in the shape of this curve is at higher frequencies where it deviates from the circular trajectories of other curves. This deviation is caused by and can be used to improve input power match, even when wide input transistors and large are used. In addition, a comparison of k pF to k , shown in Fig. 3(b), reveals that there is only a small NF penalty encountered at higher frequencies when is added. To better understand the mechanisms that lead to an

where , , , and . This input admittance can be represented by an approximate model in Fig. 7, where it is assumed that and since, in this study, both transistors and are large. The critical elements within the model are resistance , capacitances and , and synthetic elements , , , and . The other elements, such as negative resistance , negative capacitances and , and frequency-dependent negative resistance have little impact on . As seen, creates several synthetic elements including , , and . Of these, enhances the values of and . At low frequencies, when is large, . At high frequencies, when and are relatively large and admittance of and are still low, . Due to the presence of these resistive terms at both low and high frequencies, an input power match can be engineered. However, as frequency increases further, becomes increasingly dependent on the parallel combination of and and this limits the bandwidth of the network. As a result in the band of interest, , , , and are essential for achieving a wideband input power match, while performs the important role of generating the desired and . Although and appear as resistors, they do not generate thermal noise. In addition, behaves as an ideal inductor, which does not have parasitic resistance and a large footprint. The drawback of this topology is that lowers output impedance at high frequencies, and thus reduces the gain. B. SAS Implementation : Fig. 8 presents the schematic 1) Low-Noise SAS diagram of the 65-nm CMOS . The SAS-LNA, dis-

3184

Fig. 9. Schematic diagram of the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

.

cussed in Section II-A.2, is followed by the 1st gain stage, which is implemented as a differential amplifier with resistive loads. Its outputs are connected to the 2nd gain stage through dc-blocking capacitors . This stage is designed with a differential resistive-feedback amplifier consisting of transistors – and resistors . The differential-to-single-ended output buffer, implemented for testing purposes, consists of transistors and and resistors and . As discussed in Section II-A.1, to achieve low noise, wide transistors are used in the design of the SAS [13] with the penalty of higher power consumption and lower bandwidth. In this implementation, the SAS-LNA contributes simulated 26 K of noise temperature and voltage gain of 20 dB at 1.4 GHz, and the SAS-LNA amplifies and phase-inverts the input voltage to generate the output voltage . Both and are inputs to the 1st gain stage. The input transistors of this stage form a differential pair with a pMOS current source. When the output impedance of the current source is large, the outputs of the 1st gain stage are

(10)

As a result, this stage converts its two unbalanced inputs and to a balanced differential output and . The noise parameters of the cascade of the SAS-LNA and the 1st gain stage can be found as

(11) (12) and (13)

where , , and , and to simplify2 and make equations tractable, we have assumed that , , and . To reduce and the noise factor of the cascade, should be increased, as it appears in the denominators of (11), by making wide. The tradeoff is that wide transistors limit the bandwidth and increase dc current, thus increasing the voltage drop across , which reduces the drain–source voltages of and can affect biasing of the SAS-LNA to keep in saturation. Note that, in the design of the 1st gain stage, one has the option of choosing in order to obtain better noise cancellation by increasing the gain in the branch connected to . We have not done this, however, because this imbalances the differential 1st gain stage and reduces its common-mode noise rejection, whereas common-mode rejection was the reason for adopting the differential topology in the first place. Since the requirement of having differential signals limits the amount of noise cancellation, the noise is reduced by increasing transconductances of the first two stages of the SAS. Simulations show that the 1st gain stage accounts for additional 10 K of input-referred noise temperature and 7 dB of voltage gain at 1.4 GHz. The 2nd gain stage and the buffer contribute another 1 K of noise temperature and 13 dB of voltage gain at 1.4 GHz. 2) Input-Power-Matched SAS : To verify the theoretical expectations in Section II-A.3 of improved input power match with an addition of capacitor at the SAS-LNA output, an input-power-matched NCR-LNA was implemented as a part of an with its schematic diagram presented in Fig. 9. Using the small-signal parameters specified in Fig. 9, the circuit elements in Fig. 7 are determined as pF, , , and nH, and , fF, fF, and . In Fig. 10, the NCR-LNA obtained with BSIM4 simulations, (BSIM4), and modeled with Fig. 7 are shown. As frequency increases, there is some divergence between (BSIM4) and , but the overall agreement is reasonable, 2Full

expressions (11)–(13) can be found in [17].

NAVARATNE AND BELOSTOTSKI: WIDEBAND CMOS AMPLIFICATION STAGE FOR DIRECT-SAMPLING SKA RECEIVER

3185

Fig. 10. Simulated and calculated for the circuit in Fig. 9. Simulations are performed with BSIM4 and calculations are based on (9). “ ” marks 700 MHz and “ ” marks 1.4 GHz.

which verifies the model in Fig. 7. When , due to the absence of and , moves away from the center of the Smith chart and demonstrates that can be used to improve the input power match. In this study, this improvement amounts to approximately 5-dB reduction of in the implemented circuit, as will be shown later in Fig. 19(a). III. EXPERIMENTAL RESULTS The in Fig. 8 and the in Fig. 9 were fabricated in the ST Microelectronics 65-nm digital CMOS process. The CMOS IC microphotograph is presented in Fig. 11(a). To facilitate the measurements, the fabricated die was wire-bonded to a custom designed Rogers 4003 printed circuit board (PCB) presented in Fig. 11(b). A. Once wirebonded to the PCB, the -parameters were measured and are presented in Fig. 12. Over the 0.7–1.4-GHz frequency range, the gain varies from 40 to 34 dB, which meets SAS requirements. Measured is higher than 10 dB. This, however, is acceptable, as the will be preceded by an SKA-LNA in the final implementation of the SKA receiver, and the SKA-LNA load will be tuned to resonate with its own and the SAS node capacitances. Note that, in this SAS, the input power-matching capacitor, described in Section II-A.3, was not implemented to avoid any NF penalty and gain penalty that may result from it. For other applications, when better input power match is needed, an additional capacitor at the drains of and and a slight SAS redesign can be used to improve as verified experimentally later in this section. The noise parameters were obtained using the noise-parameter measurement system in [18] and [19]. The measured noise resistance, , and optimal reflection coefficient, , for minimum noise are presented in Figs. 13 and 14, respectively. The measured NF, and minimum NF, , are presented in Fig. 15. Over the fre-

Fig. 11. (a) Microphotograph of the 65-nm SAS. SAS size is 1 mm 0.6 mm, including bondpads. (b) Photograph of an SAS IC wirebonded to a PCB.

Fig. 12. Measured -parameters and voltage gain

for the

.

quency range of interest, the SAS demonstrates NF lower than 1 dB, as desired.

3186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 15. Measured NF, and minimum NF, Fig. 13. Measured noise resistance

.

.

Fig. 16. Measured input IP3, IP2, and P1dB.

Fig. 14. Measured

. The “ ” marks 700 MHz and “ ” marks 1.4 GHz.

The complete consumes 110.4 mW of dc power. Excluding the differential-to-single-ended output buffer, the power consumption is 96.8 mW. The first stage of the SAS (SAS-LNA) alone consumes 32.6 mW. Over the 0.7–1.4-GHz frequency range, the 65-nm CMOS and the output buffer achieve an output P1dB of more than 14 dBm, which is more than sufficient for a 45-dBm output signal, input P1dB of 52 dBm, input IP3 of 43 dBm, and input IP2 of 34 dBm, as shown in Fig. 16. B. Two sets of measurements were performed for : one with and one with removed by laser cutting the metal-9 trace connecting to the NCR-LNA. Fig. 17 indicates the burn mark resulting from the post-fabrication laser cut. Using this approach, the effect of on input impedance is verified. Figs. 18 and 19 show measured and simulated results. When is cut off, there is a noticeable degradation in . Over

(a)

(b)

Fig. 17. Zoomed-in view of the region containing the NCR-LNA. Location of: to NCR-LNA and (b) the burn mark due to (a) the metal trace connecting . the post-fabrication laser cut to remove

the 0.7–1.4-GHz frequency range, measured3 dB, whereas dB and agree well with the simulations. The voltage gain4 in Fig. 19(a) is dB and dB, i.e., 2-dB penalty due to . Beyond 1.3 GHz, and the voltage gains for both circuits decrease. In Fig. 19(b), the NF is higher than by about 0.3 dB at 1.4 GHz. The consumes 58.9 mW, which excludes 13.6 mW for the output buffer. The NCR-LNA 3Measurements and simulations include PCB transmission lines visible in from what is expected from Fig. 11(b). This results in clockwise rotation of Fig. 10. 4Voltage

gain is determined from measured -parameters.

NAVARATNE AND BELOSTOTSKI: WIDEBAND CMOS AMPLIFICATION STAGE FOR DIRECT-SAMPLING SKA RECEIVER

Fig. 20. Measured IP3, IP2, and P1dB of the SAS with

Fig. 18. Measured and simulated . Measurements and simulations include PCB transmission lines visible in Fig. 11(b).

3187

.

TABLE II MEASURED PERFORMANCE SUMMARY AND COMPARISON WITH PRIOR PUBLISHED HIGH-GAIN WIDEBAND CIRCUITS. EXCEPT FOR [20], ALL ENTRIES ARE INDUCTORLESS

External inductor With Automatic Gain Control Estimated from IP3 At maximum gain Estimated from output IP3 Fig. 19. Measured: (a) voltage gain, , and -parameters and (b) NF . Measurement results include PCB transmission lines visible in and Fig. 11(b).

alone consumes 8.8 mW. The linearity performance of the SAS with is shown in Fig. 20. C.

and

other high-gain circuits operating over similar frequency ranges is presented in Table II. The table shows that the proposed circuit achieves the lowest NFs and meets the gain and linearity requirements discussed in Section I. However, the low noise temperatures come at the cost of power consumption, which was expected when large transistors were selected.

Performance Summary

Due to the lack of published results dealing with SKA receivers, a direct comparison with this study is not possible. Instead, a summary of the SAS performance and performance of

IV. CONCLUSION This paper has presented an SAS circuit that was designed in digital 65-nm CMOS and targeted for an SKA receiver. Exper-

3188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

imentally, the low-noise version of the SAS achieved an dB and an dB over the 0.7–1.4-GHz frequency range. The front-end of the SAS uses an inductorless R-LNA, which incorporates partial noise cancellation. Only partial noise cancellation is implemented because the stage that follows the SAS-LNA was required to be fully differential, which precluded the needed gain imbalance for full noise cancellation. While the full noise cancellation was not performed, the SAS-LNA noise was minimized by increasing transistor transconductances and by making the feedback resistor large. The large feedback resistor is viable in this study, as the input power-matching requirements are relaxed for the SAS since it will be preceded by the SKA-LNA when the SKA receiver is implemented. A method of improving input power matching, however, is also proposed and experimentally verified. This method has very minimal effect on the amplifier NF and gain. REFERENCES [1] P. Dewdney, P. Hall, R. Schilizzi, and T. Lazio, “The Square Kilometre Array,” Proc. IEEE, vol. 97, no. 8, pp. 1482–1496, Aug. 2009. [2] New Astronomy Reviews: Science With the Square Kilometre Array, C. Carilli and S. Rawlings, Eds. New York: Elsevier, 2004, vol. 48. [3] F. Schreuder and J. G. Bij de Vaate, “Localized LNA cooling in vacuum,” in 12th Int. Thermal Investigation of ICs and Syst. Workshop, Nice, France, Sep. 27–29, 2006, pp. 175–179. [4] P. J. Hall, “The Square Kilometre Array: An international engineering perspective,” Experiment. Astron., vol. 17, pp. 5–16, Jun. 2004. [5] K. Warnick, E. Woestenburg, L. Belostotski, and P. Russer, “Minimizing the noise penalty due to mutual coupling for a receiving array,” IEEE Trans. Antennas Propag., vol. 57, pp. 1634–1644, Jun. 2009. [6] L. Belostotski and J. W. Haslett, “Sub-0.2 dB noise figure widesignal-source band room-temperature CMOS LNA with non-50 impedance,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2492–2502, Nov. 2007. [7] G. Wu, L. Belostotski, and J. Haslett, “Broadband automatic gain control amplifier for the square kilometer array,” in IEEE Int. North-East Circuits Syst. Workshop, Montreal, QC, Canada, Jun. 17–20, 2010, pp. 153–156. [8] K. Townsend, A. Macpherson, and J. Haslett, “A fine-resolution time-to-digital converter for a 5 GS/s ADC,” in IEEE Int. Circuits Syst. Symp., Paris, France, May 30–Jun. 2, 2010, pp. 3024–3027. [9] L. Belostotski, “Comparison of LNAs fabricated in 65-nm CMOS GP and LP processes for the Square Kilometre Array,” IEICE Electron. Exp., vol. 9, pp. 636–641, Apr. 2012. [10] B. G. Perumana, J. H. C. Zhan, S. S. Taylor, B. R. Carlton, and J. Laskar, “Resistive-feedback CMOS low-noise amplifiers for multiband applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1218–1225, May 2008. [11] J. Borremans, P. Wambacq, and D. Linten, “An ESD-protected DC-to-6 GHz 9.7 mW LNA in 90 nm digital CMOS,” in IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb. 11–15, 2007, pp. 422–423. [12] J. H. C. Zhan and S. S. Taylor, “A 5 GHz resistive-feedback CMOS LNA for low-cost multi-standard applications,” in IEEE Int. SolidState Circuits Conf., San Francisco, CA, Feb. 6–9, 2006, pp. 721–730. [13] Z. Deng and A. Niknejad, “On the noise optimization of CMOS common-source low-noise amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 4, pp. 654–667, Apr. 2011. [14] S. Blaakmeer, E. Klumperink, D. Leenaerts, and B. Nauta, “Wideband balun-LNA with simultaneous output balancing, noise-canceling and distortion-canceling,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1341–1350, Jun. 2008.

[15] F. Bruccoleri, E. A. M. Klumperink, and B. Nauta, “Wide-band CMOS low-noise amplifier exploiting thermal noise canceling,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 275–282, Feb. 2004. [16] D. P. Navaratne, A. J. Beaulieu, and L. Belostotski, “Noise figure optimization of a noise-cancelling wideband CMOS LNA,” in IEEE Int. North-East Circuits Syst. Workshop, Montreal, QC, Canada, Jun. 20–23, 2010, pp. 125–128. [17] D. P. Navaratne, “Wide-band low-noise CMOS amplification stage for a Square Kilometre Array receiver,” M.Sc. thesis, Univ. Calgary, Calgary, AB, Canada, Aug. 2011. [18] L. Belostotski and J. W. Haslett, “Evaluation of tuner-based noise-parameter extraction methods for very low noise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 236–250, Jan. 2009. [19] L. Belostotski, “A calibration method for RF and microwave noise sources,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 178–187, Jan. 2011. [20] H.-C. Lee, C.-S. Wang, and C.-K. Wang, “A 0.2–2.6 GHz wideband noise-reduction Gm-boosted LNA,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 5, pp. 269–271, May 2012. [21] H. Lee, K. Lee, and S. Hong, “A wideband CMOS variable gain amplifier with an exponential gain control,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1363–1373, Jun. 2007. [22] E. Sobhy, A. Helmy, S. Hoyos, K. Entesari, and E. Sánchez-Sinencio, “A 2.8-mW sub-2-dB noise-figure inductorless wideband CMOS LNA employing multiple feedback,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3154–3161, Dec. 2011. [23] M. Moezzi and M. Bakhtiar, “Wideband LNA using active inductor with multiple feed-forward noise reduction paths,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 4, pp. 1069–1078, Apr. 2012. Donuwan Navaratne (S’09–M’12) received the B.Sc. and M.Sc. degrees in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008 and 2011, respectively. His M.Sc. thesis research was conducted at the Micro/Nano Technologies (MiNT) Laboratory, University of Calgary, where he designed and prototyped LNAs in both 90- and 65-nm CMOS technology for the SKA radio telescope. In particular, his M.Sc. thesis addressed the design of inductorless CMOS LNAs using noise-cancellation techniques.

Leonid Belostotski (S’97–M’01) received the B.Sc. and M.Sc. degrees in electrical engineering from the University of Alberta, Edmonton, AB, Canada, in 1997 and 2000, respectively, and the Ph.D. degree from the University of Calgary, Calgary, AB, Canada, in 2007. A large portion of his M.Sc. thesis program was spent with the Dominion Radio Astrophysical Observatory, National Research Council (NRC), Penticton, BC, Canada, where he designed and prototyped a distance measurement and phase synchronization system for the Canadian Large Adaptive Reflector telescope. Following his graduation, he was with Murandi Communications Ltd., as an RF Engineer, during which time he designed devices for high-volume consumer applications and low-volume high-performance devices for the James Clerk Maxwell Telescope, Mauna Kea, HI. He is currently an Associate Professor with the University of Calgary, the Director of the Micro/Nano Technologies (MiNT) Laboratory, University of Calgary, and the President of his own engineering consulting firm. His research interests include RF and mixed-signal ICs, high-sensitivity receiver systems and antenna arrays, and terahertz systems. Dr. Belostotski was the winner of the IEEE Microwave Theory and Techniques 2008 MTT-11 Contest on “Creativity and Originality in Microwave Measurements.” He was the recipient of the Analog Devices Inc. Outstanding Student Designer Award in 2007.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3189

Vectorially Combined Distributed Power Amplifiers for Software-Defined Radio Applications Kumar Narendra, Senior Member, IEEE, Ernesto Limiti, Member, IEEE, Claudio Paoloni, Senior Member, IEEE, Juan-Mari Collantes, Member, IEEE, Rolf Jansen, Life Fellow, IEEE, and Siddik Yarman, Fellow, IEEE

Abstract—A new technique for distributed power amplifier (DPA) design maximizing dc-RF conversion is presented. The topology is featured by the vectorial combination of the output current sources when the transistors are loaded by their distributed output networks. To verify the design concept, two prototype DPAs (a 600-mW pseudomorphic HEMT (pHEMT) DPA and a 23-W pHEMT/GaN DPA) are designed, realized, built, and tested. To the authors’ knowledge, the second DPA achieved state-of-the-art power performance (i.e., the combination of output power, gain, and efficiency) for DPAs within the frequency range up to 2 GHz, well suited for software-defined radio applications. Index Terms—Broadband performance, current combining technique, distributed power amplifier (DPA), efficiency, gain, GaN, output power, pseudomorphic HEMT (pHEMT).

I. INTRODUCTION

T

HE CONCEPT of traveling-wave or distributed amplification has been around for over half a century since the term “distributed amplifier” (DA) originated in a paper by Ginzton in 1948 [1]. The underlying concepts can, however, be traced back to a patent by Percival in 1937 [2]. DAs are based on a topology in which the gain stages are connected such that their capacitances are isolated, yet the output currents still combine in an additive fashion. Series-inductive elements are used to separate capacitances at the input and output of adjacent gain stages. The resulting topology, given by the interlaying series inductors and shunt capacitances, forms two lumped-component artificial transmission lines, referred to as gate and drain lines. The resulting gain, given the additive topology of the structure,

Manuscript received May 07, 2012; revised June 22, 2012; accepted June 27, 2012. Date of publication July 27, 2012; date of current version September 27, 2012. This work was supported by the Research and Development Department, Motorola Solutions. This work was supported in part under Spanish Project TEC2009-09874 and Project IT456-10. K. Narendra is with the Research and Development Department, Motorola Solutions, Penang 11800, Malaysia (e-mail: [email protected]). E. Limiti is with the Department of Electronics Engineering, University of Roma Tor Vergata, 00133 Rome, Italy. C. Paoloni is with the Engineering Department, Lancaster University, Lancaster LA1 4YR, U.K. J.-M. Collantes is with the Departamento de Electricidad y Electrónica, University of Basque Country, 48080 Bilbao, Spain. R. Jansen is with the Chair of Electromagnetics, ITHE, RWTH Aachen University, 52074 Aachen, Germany. S. Yarman is with the Department of Electrical–Electronics Engineering, Istanbul University, 34320 Avcilar, Istanbul, Turkey. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2207914

is relatively low, while the distributed nature of the capacitance allows the amplifier to achieve very wide bandwidths [3]. Gain-bandwidth product of an amplifier stage is in fact limited by the active device reactive (capacitive) parameters. Expanding the stage bandwidth will necessarily reduce the gain [4]; in turn, as the gain approaches unity, the cascade of amplifying stages becomes inefficient. On the other hand, combining the outputs from a number of shunt-connected active devices will increase the resulting output power, but it will produce no improvement in the gain-bandwidth product [5]. The solution consists in finding a topology in which output currents from a number of active devices are superimposed constructively while the effects of the device (input and output) shunt capacitances are not accumulated: this is the basic concept of distributed amplification [6]. In software-defined radio (SDR) applications [7], the operating frequency reaches 2 GHz: even using high-efficiency DPA strategies, due to loading effect of the high-power transistors, dc–RF energy conversion degrades as frequency increases toward device cutoff. Such loading effect in the drain line becomes stronger if bigger device periphery is adopted. Typically, an attenuation-compensation technique is adopted, where an active load [common-gate field-effect transistor (FET)] is coupled to the common-source FET to compensate drain-line losses [8]. These amplifiers, however, have never exhibited output power higher than 10 W [9], [10], while the work in [11] demonstrated best performance in output power-gain response within an operating bandwidth up to 2 GHz. However, in this study, the aim is to achieve output power higher than 20 W and more than 40% efficiency with operating frequency extending up to 2 GHz for SDR applications. The GaN HEMT is a favorable device candidate for highoutput power [12]–[14] as compared to LDMOS, GaAs HBT, pseudomorphic HEMT (pHEMT), and SiGe HBT technologies [15]–[17]. To fulfill such demanding performance constraints, in this study, the vectorially combined current source technique, a new approach for high-efficiency DPA design, is introduced. As a design test vehicle of the methodology, two DPA implementations are presented: the first one, to be intended for driver PA applications (output power 600 mW) adopts GaAs pHEMT devices. The second one, well suited for high-power applications (output power 23 W), uses a combination of GaAs pHEMT and GaN HEMT devices. The first DPA demonstrated high-efficiency performance up to 2 GHz, while the second one achieved state-of-the-art power performance (i.e., combination

0018-9480/$31.00 © 2012 IEEE

3190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 1. Two current sources combining at a single output node.

of output power, efficiency, and gain) within the operating frequency range up to 2 GHz. It should be mentioned that this paper describes the theoretical analysis and design methodology of the novel DPA to meet high-power performance (high output power, gain, and efficiency) combining GaN/GaAs HEMT/pHEMT devices. An earlier paper [11] describes a driving stage DPA only with a high gain solution. On the other hand, [25] introduces a new concept, which combines currents for a high-power solution. This fact differentiates [11] and [25] from each other. In [26], the concept of the impedance transforming filter to get the power out of the DPA is introduced. It is to be underlined that [26] is an invited conference paper, not including deep theoretical and methodological aspects of the DPA design process. Whereas in this paper, complete theoretical aspects and design methodology of the vectorially combined DPA are introduced with extended results stemming from the actual measurements such as stability, RF drive adjustments, etc. This paper is structured as follows. In Section II, the virtual impedance analysis is carried out, thus providing the basis for the proposed methodology. In Section III, the first prototype design is carried out, and illustrated together with its realization and measured results. The second high-power DPA prototype design and measurement results are shown in Section IV. II. VIRTUAL IMPEDANCE ANALYSIS DUE TO VECTORIALLY COMBINED MULTICURRENT SOURCES A. Generalized Design Equations For a DA, the output impedance is the result of injected signals at each active device output [9]. Fig. 1 is a schematic describing the connection of two devices, represented each by the respective Norton current source and impedance, and , respectively, and injecting their output in a common node, terminated by a load . Such equivalent description of the device output is valid up to moderate frequencies, where reactive effects (namely, the device output reactance) can be neglected, thus considering a purely real output impedance. Further, to a first approximation, impedances and may be neglected, assuming that the device loading effect is not significant when absorbed into the drain line. Such a simplifying assumption can, however, be easily removed by absorbing the device output impedances into the output termination ( in Fig. 1), and will be adopted here for the sake of simplicity.

Fig. 2. Virtual impedances loading the current source, .

and

,

The overall impedance loading each current source, due to the effect of the other injected sources, can be considered as a “virtual impedance.” The resulting current through the load is the sum of the two sources and , represented as (1) (2) is the operator providing the argument’s real part, where and represent the magnitudes of the complex current sources, and and are independent phase values. The virtual impedance loading the current source can be obtained by looking into the common node with in parallel with

(3) If there is no phase offset or in-phase combining (i.e., ) is imposed in the DA, the virtual impedance is positive real (4) A more general case of current sources combined connected to a common load is now considered, as depicted in Fig. 2. The virtual impedance loading the th current source and the one obtained looking in the direction of the output load at the section th, , can be determined. Again, the impedances of each current source will be neglected for simplicity. The RF excursion of both voltage and current for each source actually depends on , which therefore represents the load seen by each active device at its output in the drain line. It has to be appropriately sized for maximum power transfer and power matching of each transistor. actually determines the impedance that should be synthesized along the artificial drain transmission line. Determination of begins by finding the Norton equivalent network (Fig. 2). Norton equivalent impedance, , can be determined by removing all current sources, except the first one (i.e., imposing ), thus obtaining . Norton equivalent current, , can be determined by applying a superposition theorem, obtaining .

NARENDRA et al.: VECTORIALLY COMBINED DPAs FOR SDR APPLICATIONS

Fig. 3. Simplified version of the network in Fig. 2 after the application of the . Norton equivalence to determine

The network in Fig. 2 can therefore be reduced to the one in Fig. 3. In general, referring to Fig. 3, the impedance is expressed as

(5) represents the amplitude of the complex where current sources and are their independent phase values. To determine the impedances loading the current sources in the direction of the external load, from Fig. 2, it is clear that and . In turn, is given by

(6) To combine section current sources to a single load , and as derived above must be satisfied, and minimum loading effect of the output transistor has to be assumed. Beyer et al. showed that gain in conventional DAs cannot be indefinitely increased by adding more sections [5]: the additional losses associated with the further sections balance the additional currents. This consideration actually leads to an optimum number of transistor stages composing the DA and typically is applied in practice [5]. For , Table I shows tabulated results of and for a few selections of magnitude and phase . An important point to be noticed is that to match a standard external load , should equal to 200 . On the other hand, to achieve the power matching

3191

condition for commonly adopted power FETs, a typical value of should be provided, thus implying [20]: an impedance transformation is thus needed from the desired value up to 50 . To avoid such impedance transformation, one possibility consists of adequately adjusting the current sources properties, e.g., and . For the same magnitude and in-phase combining current sources, are equal, and reduces from 4 to 1, as given in Table I. Fig. 4 shows seen by each current source. Either adjustment of magnitude or phase of the current source will determine a change in and . From Table I, for in-phase combining, and when final stage (i.e., ) current magnitude is reduced by a factor of 10, , but . High- transistors (exhibiting smaller device periphery) can be therefore be adopted for the last section. In this case, the last stage simply acts as an active load. It may not provide power to the load and may absorb power at certain frequencies, but it will indeed help in impedance transform. In a similar way, a lower can be achieved for the case of fixed current magnitudes while properly adjusting their phases. With adjustment of current sources magnitude and phase, can be reduced to lower values. For example, as shown in Table I, when , and , the values , , , and result. To achieve this, the transistors device periphery can be tapered, while adjusting the gate-line characteristics to provide the proper phase condition. Therefore, it is the choice for the designer to adjust the various and to obtain reasonable values for and . The phase adjustment of the current sources is, however, difficult to realize and the constant- ladder network behavior changes with frequency. Thompson and Stengel proposed a technique to drive the gate line with a drive generator circuit to produce the modulation of the virtual load impedance at each amplifier stage [18]. The same research group introduced a new DA architecture to provide programmable constructive vector signal combining at the fundamental frequency along with programmable destructive at harmonic frequencies [19]. A simple application is shown in [20], where phase adjustment is achieved with nonuniform gate-line design where gate-line impedance is adaptively reduced to provide better phase synchronization. B. Validation of the Concept With a Computer-Aided Design (CAD) Simulator Validation of the concept is performed for equal magnitude and in-phase combining (selected from Table I). A value is considered, leading to , and , , and , with null imaginary parts. For sake of simplicity, the drain terminal of the active device has been modeled as an ideal current source, as shown in Fig. 5.

3192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

REAL AND IMAGINARY PARTS OF

AND

TABLE I FOR VARIOUS SELECTIONS OF

Fig. 4. is evaluated according to (6) to design the artificial transmission ) is absorbed into the artificial line. The device output capacitance (mainly transmission-line design.

The Norton current sources are considered as ideal zero shunt conductance as compared to load resistor . This is an acceptable assumption validated with measurements such that load is approximately 12 , whereas the output resistor of the selected active device (up to 1 GHz) is in the order of 100–200 [32]. To additively combine the currents at each junction, phase synchronization between the current sources and the transmission line is crucial. Since delays introduced by the transmis-

AND

, WHERE

Fig. 5. Circuit showing multicurrent sources to combine at nience, parallel resistor to current source is not shown.

. For conve-

sion-line sections, , vary linearly with frequency, a current source delay linear with frequency and attained through the gate line would guarantee delay matching between the sources and the transmission lines. Such delays for each section, , obtained from the phase velocity expression of the constantartificial transmission line, can be easily computed as (7) Fig. 6 shows the vector diagram for the different currents (magnitude and phase) along the circuit. At every junction, the

NARENDRA et al.: VECTORIALLY COMBINED DPAs FOR SDR APPLICATIONS

Fig. 6. Vector diagram of magnitude and phase of the currents in Fig. 5, where magnitude of the current sources can be identical; however, the phases of the , four current sources should satisfy the condition that , and ; is set to be the reference.

resultant magnitude of the sources ( , , and ) are constructive for in-phase combining between and , and , and and . Simulation of the multicurrent source with synchronization of transmission-line delay is carried out, as illustrated in the schematic in Fig. 5. Applying 1 A to each current source and imposing , the simulated result demonstrated 200-W output power across the frequency range, where all the sources are presented with exactly the required hypothetical optimum impedance at all frequencies. Phase coherency between the current sources and the phase of the transmission line is well matched to achieve maximum power delivery from the sources to the output line over the entire frequency range. Analogous results have been obtained for various selections of and from Table I. III. DESIGN METHODOLOGY AND EXPERIMENTAL RESULTS FOR A 600-mW pHEMT DPA A. Design Methodology The complete design methodology of the DPA (including device selection, synthesizing gate/drain line, and layout optimizations) [21] is illustrated in this section. The basic design goal is to achieve high efficiency for the PA driver stage in SDR applications, featured by an output power close to 27 dBm. Therefore, a medium-power pHEMT device is selected. This is an enhancement-mode pHEMT device, featured by 6400- m gate periphery and a 0.25- m gate length. Low dc supply operation is required for the device, typically about 4.5 V. Breakdown voltage of the active device is 16 V. To implement the concept presented in Section II, , , , , and are selected, while in-phase combining is considered. The resulting external termination has to, therefore, be to be necessarily transformed to the external 50- termination. To fulfill the need of impedance transformation over a wide frequency range, asymmetric coupled

3193

Fig. 7. Simplified circuit of the compact impedance transformer, and the impedance transformation from 12.5 to 50 .

Fig. 8. Simplified four-section DA applying nonuniform drain-line impedance. -derived section is implemented close to gate-line termination.

lines with an interconnecting stepped impedance transmission line [21] are designed (see Fig. 7). It consists of two coupled transmission-line sections, and the impedance transformation is achieved between two diagonal ports of the section. The remaining ports are interconnected by a stepped-impedance transmission line [21]. The compensation allows for the uniform distribution of the reflection zeros in the frequency domain. Performance of the broadband impedance transformer has been verified with a 3-D electromagnetic (EM) full-wave simulator. Port 1 is terminated with waveguide port (12.5 ) and port 2 is connected with a 50- SMA connector. Since port 1 will be connected to a 50- SMA connector in real measurement, the two-port -parameter data of the connector must be de-embedded for accurate results. Measured insertion loss results in less than 2 dB across the operating bandwidth. The simplified schematic of four-section pHEMT DA applying nonuniform gate/drain line is shown in Fig. 8. An -derived section is implemented at both terminations of gate line. Each device is fed with 4.5-V drain supply voltage, while a 0.44-V bias is applied to each gate, resulting in class-AB operation, with a quiescent current 90 mA 10 . Stability analysis of the amplifier has been checked using pole-zero identification [28]. The stability evaluation comprises both small- and large-signal analyses. These analyses generate a large amount of data (for different bias, load, and input drive conditions) that have been handled using automatic routines for pole-zero identification, as proposed in [29]. As a

3194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 11. Illustration of an optimum load impedance (at reference B).

(at reference A) and

Fig. 9. Photograph of the high-efficiency DPA prototype board.

Fig. 12. Synthesis of drain transmission line to load each device generator by for . is identified for optimum power perforits optimum mance in the CAD simulator.

IV. DESIGN METHODOLOGY AND EXPERIMENTAL RESULTS FOR 23-W GaN HEMT DPA A. Vectorially Combined DPA With Loading Effect Considerations Fig. 10. Measured (dotted lines) versus simulated (solid lines) results for PAE, , and gain across the 10–1800-MHz frequency range. output power

result of the analyses, a series gate resistor has been introduced at each section to guarantee a reasonable stability margin. B. Prototype Measurement Results A prototype board has been fabricated using Rogers 4350B three-layer printed circuit board (PCB) material with a permittivity and a thickness mm. The first layer is used for RF and dc line routing, where all components placement is performed on top of Layer 1. The bottom layer is the solid grounding. A photograph of the resulting DPA board is shown in Fig. 9. The effective DPA board size is 27 24 mm . Measured results of the power performance of the four-section high-efficiency DPA with continuous wave are shown in Fig. 10. 600-mW output power, 10-dB gain, and 30% PAE are achieved throughout a 10–1800-MHz frequency range. A good agreement between simulation and measurement results has been attained. In particular, the PAE higher than 30% is obtained experimentally across the operating bandwidth (up to 38% at the low-frequency edge): measured results evidence the benefits of the proposed technique for achieving high efficiency. Nevertheless, DPA performance at the higher frequency edge can be further improved by transformer optimization.

In order to maximize output power from each power transistor section (considering the loading effect), each current source should be loaded with an optimum load impedance maximizing the excursion of RF voltage and current swings ( and for a given device), and leading, in class-A operation, to [27]. For a packaged device, the effective real part impedance of the device at reference plane A and B is different due to its shunt capacitance and package parasitic effects, as shown in Fig. 11. Thus, in real applications, it is convenient to identify the real part impedance of the device at reference plane B, which will be used to match to a standard output termination (e.g., 50- load). The optimum impedance of the transistor (in principle, a complex quantity with nonzero real and imaginary parts) can be extracted by means of load–pull techniques either by simulation or measurement. One must take into account that to combine multiple current sources to a single load termination, an optimum virtual impedance to each source in two directions, i.e., and , must be fulfilled (as discussed in Section II). The generalized design equations are, however, not sufficient when the device current source is loaded by . Therefore, in this section, the technique discussed in Section II will be tailored to achieve power match to each source while satisfying multiple current sources combining to a single load termination. , can be derived from Fig. 12 as

(8)

NARENDRA et al.: VECTORIALLY COMBINED DPAs FOR SDR APPLICATIONS

3195

Fig. 14. Photograph of the prototype new DPA. Point is where optimum load impedance is identified. DPA board size is 38 32 mm .

Fig. 13. Simplified schematic of the new DPA topology; the nonuniform gate line is adopted from [20]. Two nonidentical high- transistors (ATF54143 and ATF511P8) are cascaded to the power transistor (GaN device).

where represents the magnitude of the complex current sources and in-phase combining is considered. For sections with identical device peripheries, , which can be approximated to if has reasonable termination. The drain transmission line must be synthesized in order to load each device generator by its optimum , where . It is clear from Fig. 12 that must be loaded with optimum load resistance of the first generator and should be loaded with . Nevertheless, the middle section [e.g., ] depends on and . It is necessary to know the initial value of , which can be typically obtained with single device load–pull simulations or measurements. In a similar way, must be obtained from an initial guess for . To obtain the initial guess, it is convenient to use a CAD simulator, assisted with an optimizer, where the transistor is modeled with an ideal current source and a parallel high impedance. To additively combine the currents at each junction, phase synchronization between the current source and the transmission-line delay, i.e., , are matched. Therefore, one may call this DPA design approach as vectorially combined current sources with load–pull determination [25]. For optimum dc–RF energy conversion of the selected power transistor (CGH40010F), (average value across bandwidth) is obtained from load–pull simulations. One should bear in mind that the optimum load impedance exhibits frequency-dependent behavior. Hence, , and and are optimized in the CAD simulator, for optimum power performance up to 2 GHz.

Fig. 15. Measurement results of highest output power with load–pull impedance determination across the entire bandwidth. The maximum power 25 . occurred at

B. Practical DPA Design Considerations Due to the adoption of a packaged device in the implementation of the DPA, effective real and imaginary parts of the input and output impedance for the packaged device, and , respectively, have to be extracted. Effective drain-line elements (i.e., ) are synthesized according to (9) is the element extracted from , to reach the where desired cutoff frequency . In most cases, is lower than , where phase synchronization between gate and drain lines is achieved by the capacitive coupling technique [22]. Dummy drain-line termination is eliminated to improve efficiency performance [14]. The gate width of the GaN packaged device (CGH40010F) is 3.6 mm, and its power density is 10 W/mm. Breakdown voltage of the device is 73 V. The drain loading effect of the device is very significant, where 100 . It has been

3196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 16. Measurement results of optimum power performance, i.e., power, gain, and PAE with load–pull impedance determination across the entire 12 . bandwidth. The maximum power occurred at

Fig. 18. Measured versus simulated power performance of the DPA topology terminated to 50 .

Fig. 19. Measured results of power performance, i.e., power and PAE with drive (at 1 GHz) of the new DPA topology. sweeping the

Fig. 17. Measured versus simulated -parameters across the entire bandwidth and . (b) Performance of of the DPA topology. (a) Performance of and .

confirmed experimentally that output impedance of a GaN transistor in die form exhibits higher resonance frequency than its packaged counterpart. In practice, it is not possible to observe the optimum RF voltage or current swing at reference . However, load–pull impedance contours of the device can be extracted at reference . As a result, will be replaced with and , and absorbed into the drain line to achieve the desired . Therefore, the line inductance is computed according to (9). Once and are identified, it is straightforward to synthesize the gate/drain-line networks by means of

Fig. 20. Example of measured of power spectra at 400 MHz, and there is no oscillation is reported. The output signal is attenuated with external 30-dB attenuation pad to avoid damage to the spectrum analyzer.

image impedance approaches. It is important to note that selection of from requires careful analysis below resonance frequency. Referring to [21], for the larger device periphery (in this case, 3.6-mm gate width), and to deliver 30 W, the optimum number of sections is three or four. Design methodology with fullwave EM simulation from [21] is adopted in this study to minimize design cycle time. Modeling of PCB layers stack up, via-

NARENDRA et al.: VECTORIALLY COMBINED DPAs FOR SDR APPLICATIONS

3197

TABLE II STATE-OF-THE-ART RESULTS FOR OUTPUT POWER/FREQUENCY DPAs

holes, copper foil having 0.34 W/cm at 85 C, RF SMA connectors, etc., are included. A high- transistor with the lowest input parasitic capacitance will be coupled to the gate line. This can improve the loading effect of the input gate line. The achievement of high gain in the 40–2000-MHz operating range is adopted from [11], allowing the resulting DPA to be directly coupled to the VCO. The power level from the VCO is typically lower, e.g., 3 dBm. Each section composed by two nonidentical high- transistors (ATF54143 and ATF511P8 from Avago Inc.), cascaded to the power transistor (GaN device from CREE Inc., Research Triangle Park, NC) with inter-stage tapered impedance. The effective input capacitance of the first high- transistor and effective output capacitance of the power transistor are important to define . of the first high- transistor and of the power transistor are lower than 3 pF, which provides a 2.2 GHz with a 50- load. Therefore, effective gateand drain-line elements, i.e., , are synthesized by means of (9) to achieve the desired . It is important to note that DPA gain-bandwidth response is dominated by the gate line and cascaded stages, while power-efficiency response is mainly contributed by the drain line. Fig. 13 reports the simplified design schematic of the new DPA topology. C. 20-W DPA Prototype To experimentally validate the technique, a prototype board of the design using four-layer Rogers 4350/4450B PCB material has been fabricated. An open grounding area, with an adequate via-hole, and dc/RF routing are well isolated in the PCB for minimum spurious. As part of electromagnetic compatibility (EMC) requirements, it is necessary to have the dc layer below the RF grounding layer. The thickness of the dc routing is computed to carry adequate dc current to feed the appropriate transistor. Two diameter screws, which are 5 and 1.4 mm, are mounted to hold the grounded heat-sink chassis. The inductance of the gate and drain lines are realized in lumped transmission line with the aid of the full-wave EM simulator.

The dc gate biasing terminals are bypassed to ground with multiple chip capacitors (e.g., 100 pF, 33 nF, 10 F, etc.) for each transistor. The dc feed lines for the final stage consists of high- air-wound coil and high- chip inductors for the first and second stage, respectively. Photograph of the high-power DPA topology is shown in Fig. 14. 5.5- and 28-V supply voltages are applied to the high- transistors and to the power transistor, respectively. As a result, the high- transistors are biased with mA (20% ) and mA (14% ), while the power transistor with mA (4% ). The input drive throughout the measurement is fixed to 8 dBm. Characterization of the prototype board started with the identification of optimum load impedance at point (Fig. 14). The highest output power is recorded at an impedance of 25 for 100 MHz (40 W) and 2 GHz (25 W), respectively, as shown in Fig. 15. Optimum power performance is achieved at the 12 region across the entire bandwidth (Fig. 16). 23-W output power and 30%–56% power-added efficiency (PAE) are recorded, while a flat gain response is achieved. Although some imaginary part exists (variation of ), a 12- real part is sufficient to load the output of the DPA. A broadband transformer/filter (12–50 ) with minimum insertion loss 1 dB 1 dB within the operating bandwidth has been fabricated by means of the real frequency technique (RFT) applying a parametric method [23], [26]. With the same prototype board, measurements with 50- termination have been carried out to evaluate further its performance. Measured versus simulated results in terms of -parameters and power performance are presented in Figs. 17 and 18, respectively. 16-W output power, with 15%–42% PAE, have been measured with 50- termination. Output return-loss magnitude dB and input return loss dB across the bandwidth have been achieved [refer to Fig. 17(a)]. This indicates good input and output matching have been achieved over the entire bandwidth. The

3198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

measured isolation is about 60 dB, and the small-signal gain is more than 40 dB across the entire bandwidth, as highlighted in Fig. 17(b). Since all the measurement were done with low input drive to meet SDR applications, power characterization (power and PAE) at 1 GHz by sweeping input drive is carried out, and shown in Fig. 19. The results indicated that the output can hit 18 W and PAE of 34% with 50- termination. The optimum power happened at input drive of 10 dBm (slightly higher than output level from voltage-controlled oscillator (VCO) capability). One should keep in mind that input drive required to the DPA may change with frequency behavior. As the frequency increases, higher drive is needed. Therefore, an RF input drive adjustment circuitry to provide adequate RF signal strength to the DA under constant envelope modulation [31] can be implemented. It should be noted that, here, in this paper, we have the freedom to skip the linearity measurements since the application is for constant envelope modulation [32]. A stability test of the DPA loaded by a 4:1 voltage standingwave ratio (VSWR) termination has been carried out, and no oscillations have been recorded. Implementation of capacitors at drain line, i.e., 22- F tantalum capacitor and 10 pF, 33 pF, 470 pF, and 22 nF take place as a precaution of low-frequency parasitic oscillation. No oscillation is reported and the DPA operation is very stable (refer to Fig. 20). State-of-the-art power performance for DPAs in the same frequency range is summarized in Table II. The presented DPA development demonstrated a remarkable combination of high output power, efficiency, and gain up to 2 GHz. It should be mentioned that other broadband power-amplifier topologies can be found in [33]–[35]. However, the result provided with this paper presents higher output power with extended bandwidth. V. CONCLUSIONS A new technique for DPA design, called a vectorially combined DPA, has been presented to maximize dc–RF conversion. Two prototype DPA implementations (first version delivering 600 mW, and the second 23 W) have been built and tested. The first DPA was developed for driver PA applications. To the authors’ knowledge, the power performance (i.e., the combination of output power, gain, and efficiency) of the second DPA achieved state-of-the-art performances for DPAs within the frequency range up to 2 GHz. This performance makes it well suited for SDR applications. Good correlation between simulation and measurements has been achieved through CST and ADS momentum modeling of the complete layout structure. REFERENCES [1] E. L. Ginston, W. R. Hewlett, J. H. Jasberg, and J. D. Noe, “Distributed amplification,” Proc. IRE, vol. 36, no. 8, pp. 956–969, Aug. 1948. [2] W. S. Percival, “Thermionic valve circuits,” British Patent 460 562, Jul. 24, 1936. [3] A. Ayasli, R. L. Mozzi, J. L. Vorhaus, L. D. Reynolds, and R. A. Pucel, “A monolithic GaAs 1–13 GHz traveling wave amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 7, pp. 976–981, Jul. 1982. [4] T. T. Y. Wong, Fundamentals of Distributed Amplification. Norwood, MA: Artech House, 1993.

[5] J. B. Beyer, S. N. Prasad, R. C. Becker, J. E. Norman, and G. K. Hohenwarter, “MESFET distributed amplifier design guidelines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 268–275, Mar. 1984. [6] K. B. Niclas, W. T. Wilser, T. R. Kritzer, and R. R. Pireira, “On theory performance of solid-state microwave distributed amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 447–456, Jun. 1983. [7] M. Dillinger, K. Madani, and N. Alonistioti, Software Defined Radio: Architectures, Systems, and Functions. New York: Wiley, 2003. [8] R. C. Liu, C. S. Lin, K. K. Deng, and H. Wang, “Design and analysis of DC–14 GHz and 22 GHz CMOS cascode distributed amplifers,” IEEE J. Solid-State Circuits, vol. JSSC-39, no. 8, pp. 1370–1374, Aug. 2004. [9] L. Zhao, A. Pavio, B. Stengel, and B. Thompson, “A 6 watt LDMOS broadband high efficiency distributed power amplifier fabricated using LTCC technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 897–900. [10] S. Lin, M. Eron, and A. E. Fathy, “Development of ultra wideband, high efficiency, distributed power amplifiers using discrete GaN HEMTs,” IET Circuits Devices Syst., vol. 3, no. 3, pp. 135–142, 2009. [11] K. Narendra, C. Paoloni, E. Limiti, J. M. Collantes, and R. H. Jansen, “Cascaded distributed power amplifier with non-identical transistors and inter-stage tapered impedance,” in 40th Eur. Microw. Conf., Sep. 2010, pp. 549–522. [12] S. Lee, B. Green, K. Chu, K. J. Webb, and L. F. Eastman, “Demonstration of a high efficiency nonuniform monolithic GaN distributed amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 549–522. [13] J. Gassmann, P. Watson, L. Kehias, and G. Henry, “Wideband, high efficiency GaN power amplifiers utilizing a non-uniform distributed topology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 615–618. [14] B. M. Green, V. Tilak, S. Lee, H. Kim, J. A. Smart, K. J. Webb, J. R. Shealy, and L. F. Eastman, “High-power broadband AlGaN/GaN HEMT MMICs on SiC substrates,” IEEE Trans. Microw. Theory Tech., vol. MTT-49, no. 12, pp. 2486–2493, Dec. 2001. [15] L. Zhao, A. Pavio, and W. Thompson, “A 1 watt, 3.2 VDC, high efficiency distributed power PHEMT amplifier fabricated using LTCC technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 2201–2204. [16] C. Duperrier, M. Campovecchio, L. Roussel, M. Lajugie, and R. Quere, “New design method of uniform and nonuniform distributed power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-49, no. 12, pp. 2494–2499, Dec. 2001. [17] J. P. Fraysse, J. P. Viaud, M. Campovecchio, P. Auxemery, and R. Quere, “A 2 W, high efficiency, 2–8 GHz cascode HBT MMIC power distributed amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 529–532. [18] B. Thompson and R. E. Stengel, “System and method for providing an input to a distributed power amplifier system,” US Patent 7 233 207, Jun. 19, 2007. [19] B. Thompson, B. Stengel, S. Olson, and N. Cafaro, “Distributed power amplifier with electronic harmonic filtering,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 241–244. [20] K. Narendra, L. Anand, P. Sangaran, and V. Vitaliy, “High efficiency applying drain impedance tapering for 600 mW pHEMT distributed power amplifier,” in IEEE Int. Microw. Millimeter-Wave Technol. Conf., Apr. 2008, pp. 1769–1772. [21] K. Narendra, V. Zhurbenko, J. M. Collantes, and B. P. Koh, “Design methodology of high power distributed amplifier employing broadband impedance transformer,” in IEEE Int. Antenna, Syst., Propag. Conf., Dec. 2009, pp. 1121–1124. [22] Y. Ayasli, S. W. Miller, R. Mozzi, and L. K. Hanes, “Capacitively coupled traveling wave power amplifier,” IEEE Trans. Microw. Theory Tech, vol. MTT-32, no. 12, pp. 1704–1709, Dec. 1984. [23] B. S. Yarman, Design of Ultra Wideband Power Transfer Networks. New York: Wiley, 2010, ch. 7, pp. 317–359. [24] K. Narendra, J. M. Collantes, C. Paoloni, and E. Limiti, “Parametric oscillations in distributed power amplifiers,” Electron. Lett., vol. 45, no. 25, pp. 1325–1326, Dec. 2009. [25] K. Narendra, C. Paoloni, E. Limiti, J. M. Collantes, R. H. Jansen, and B. S. Yarman, “Vectorially combined distributed power amplifier with load pull determination,” Electron. Lett., vol. 46, no. 16, pp. 1137–1138, Aug. 2010. [26] K. Narendra, P. Chacko, R. H. Jansen, and B. S. Yarman, “Discrete component design of a broadband impedance transforming filter for distributed power amplifier,” in 10th IEEE Microw. Mediterranean Symp., Aug. 2010, pp. 292–295.

NARENDRA et al.: VECTORIALLY COMBINED DPAs FOR SDR APPLICATIONS

[27] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [28] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, no. 4, pp. 226–228, Feb. 2001. [29] A. Anakabe, N. Ayllon, J. M. Collantes, A. Mallet, G. Soubercaze-Pun, and K. Narendra, “Automatic pole-zero identification for multivariable large-signal stability analysis of RF and microwave circuits,” in 40th Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 477–480. [30] V. Zhurbenko, V. Krozer, and P. Meincke, “Broadband impedance transformer based on asymmetric coupled transmission lines in nonhomogeneous medium,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1893–1896. [31] K. Narendra, A. Mediano, C. Paoloni, and E. Limiti, “A novel adaptive LDMOS power amplifier with constant efficiency for wide dynamic power levels control,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1493–1496. [32] K. Narendra, “Distributed power amplifiers for software defined radio applications,” Ph.D. dissertation, Elect. Electron. Eng. Dept., RWTH Aachen, Aachen, Germany, 2011. [33] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [34] D. Y. T. Wu, F. Mkadem, and S. Boumaiza, “Design of a broadband and highly efficient 45 W GaN power amplifier via simplified real frequency technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 1090–1093. [35] J. Moon, J. Son, J. Lee, J. Kim, S. Jee, S. Kim, and B. Kim, “A multimode/multiband envelope tracking transmitter with broadband saturated power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [36] C. Xie and A. Pavio, “Development of GaN HEMT based high power high efficiency distributed power amplifier for military applications,” in IEE MILCOM 2007, Oct. 2007, pp. 1–4. Kumar Narendra (M’05–SM’10) received the B.E. (Hons) degree from the University Technology of Malaysia, Johor, Malaysia, in 1999, the M.Sc degree from the University Science of Malaysia, Penang, Malaysia, in 2004, and Dr.-Ing degree in electrical and electronics engineering from RWTH Technical University Aachen, Aachen, Germany, in 2010. In March 1999, he joined Research and Development, Motorola Solutions, Penang, Malaysia, where he is currently an RF Technical Architect. Since April 2011, he has been a Visiting Lecturer with Istanbul Technical University, Istanbul, Turkey. He has authored over 30 papers in technical journals/conferences. He holds 3 U.S. patents (with several pending). Since 2007, he has conducted a few IEEE seminars related to switched mode and broadband amplifiers for the Malaysia and Thailand Chapters. Since June 2009, he has been a Reviewer for Electromagnetic PIERS. He was included in the 2009 Who’s Who in Science and Engineering. His research interests are high-efficiency and broadband power amplifiers and fast ramping power control. Dr. Narendra has been a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY TECHNIQUES IEEE TRANSACTIONS CIRCUITS AND SYSTEMS—PART II: ANALOG AND DIGITAL SIGNAL PROCESSING since June 2009. He was a recipient of the Paper Award of the 2009 IEEE Antennas, Systems, and Propagation Conference for his paper on broadband high-power DAs. His paper on wideband matching circuits was an invited paper of the 2010 IEEE Mediterranean Microwave Symposium.

Ernesto Limiti (S’87–M’93) was a Research and Teaching Assistant (1991–1997), an Associate Professor (1998–2001), and is currently a Full Professor of electronics with the Engineering Faculty, University of Roma Tor Vergata, Rome, Italy. He has authored or coauthored over 300 publications on refereed international journals and presentations within international conferences. His research activity is focused on three main lines, all of them belonging to the microwave and millimeter-wave electronics area. The first one is related to characterization and

3199

modeling for active and passive microwave and millimeter-wave devices. Regarding active devices, the research line is oriented to small-signal, noise, and large-signal modeling. For active devices, novel methodologies have been developed for the noise characterization and the subsequent modeling, and equivalent-circuit modeling strategies have been implemented both for small- and large-signal operating regimes for GaAs, GaN, SiC, Si, amd InP MESFET/HEMT devices. The second line is related to design methodologies and characterization methods for low-noise devices and circuits. The main focus is on cryogenic amplifiers and devices. Collaborations are currently ongoing with major radio-astronomy institutes all around Europe within the frame of the FP6 and FP7 programs (RadioNet). Finally, the third line is in the analysis and design methodologies for linear and nonlinear microwave circuits. Prof. Limiti is a referee for international journals of the microwave and millimeter-wave electronics sector. He is a member of the Steering Committee of international conferences and workshops. He is the president of the Laurea and Laurea Magistrale degrees in electronic engineering of the University of Roma Tor Vergata, and is a member of the committee of the Ph.D. program in telecommunications and microelectronics, tutoring an average of four doctoral candidates per year. He was elected to represent the Industrial Engineering Sector in the Academic Senate of the university (2007–2010 and 2010–2013).

Claudio Paoloni (S’84–M’84–SM’11) received the Electronic Engineering degree from the University of Rome “Sapienza,” Rome, Italy, in 1984. From 1986 to 2012, he was a Researcher with the Electronic Engineering Department, University of Roma Tor Vergata, Rome, Italy. In 2012, he joined the Engineering Department, Lancaster University, Lancaster, U.K., as Chair of Particle Accelerator Engineering. He has authored over 125 papers in international journals and conference proceedings. He holds one patent. He has conducted research in different fields such as microstrip microwave circuits, beam-forming networks for active phased arrays, traveling-wave amplifiers, ultra-wideband matrix microwave amplifiers, and monolithic microwave integrated circuit (MMIC) yield evaluation. His current interests include the analysis of slow-wave structures for traveling-wave tubes, design and simulation of vacuum electron devices, amplifiers, and backward-wave oscillators for millimeter wavelengths and the terahertz frequency band. Mr. Paoloni has been involved in the coordinating group of the European Project OPTHER (Optically Driven THz Amplifier ) since 2008. He was the Local Organization Chair of the IEEE International Vacuum Electronic Conference 2009 (IVEC 2009). He was a member of the Local Organizing Committee of the International Conference on Infrared, Millimeter, and Terahertz Waves 2010 (IRMMW-THZ-2010), Rome, Italy. He is member of the IEEE Electron Devices Society Vacuum Devices Technical Committee. He is on the Editorial Board of the IEEE TRANSACTIONS ON TERAHERTZ SCIENCE AND TECHNOLOGY. He realized and maintains the web-portal of the IEEE Electron Devices Society Vacuum Electronics Committee to promote vacuum electronics in the scientific community. He was the recipient of the 2005 STMicroelectronics GE Award.

Juan-Mari Collantes (M’98) received the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1996. Since February 1996, he has been an Associate Professor with the Departamento de Electricidad y Electrónica, University of the Basque Country (UPV/EHU), Bilbao, Spain. In 1996 and 1998, he was an Invited Researcher with Agilent Technologies (formerly the Hewlett-Packard Company), Santa Rosa, CA. In 2003, he was with the French Space Agency (CNES), Toulouse, France, where he was involved with power amplifier analysis, simulation, and modeling. His areas of interest include nonlinear analysis of microwave circuits and microwave measurement techniques.

3200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Rolf Jansen (M’82–SM’88–F’04–LF’12) received the Ph.D. degree in electrical engineering from RWTH Technical Aachen University, Aachen, Germany in 1975. From 1976 to 1979, he performed research as a Senior Scientist with RWTH Technical Aachen University. From 1979 to 1986, he was an Associate Professor with Duisburg University, Duisburg, Germany. From 1986 to 1992, he was a Senior Scientist involved with GaAs monolithic microwave integrated circuit (MMIC) technology with GEC Marconi, Caswell, U.K. Since 1994, he has been the Chair of Electromagnetic Theory with RWTH Technical Aachen University. From 2000 to 2004, he was the Dean of the Electrical Engineering and Information Technology Faculty. He has authored or coauthored over 250 technical journal/conference papers concerning microwave CAD and GaN technology, as well as organic LED (OLED) technology. He is Principal author and owner of LINMIC Software, which has been integrated into CST, Darmstadt, Germany. Dr. Jansen was co-founder and chair of the first German IEEE Chapter Board and became an IEE Fellow for his contribution of EM-oriented microwave CAD in 1985. Since 1989, he has been a member of the Electromagnetics Academy, Massachusetts Institute of Technology (MIT) in recognition of his achievements in electromagnetics field theory. His contribution to the IEEE extended when he became coordinator of the IEEE Initiative for Eastern Europe (EU/FSU Initiative). He is also the Board of IEEE, Division IV director. Since 1997, he has been chair of the IEEE German Section. In 2000, he was recipient of the IEEE Millennium Medal. In 2009, he was the recipient of the Honorary degree of KMUT’NB Bangkok. In 2012, he was a recipient of the Golden Needle of Honor of VDE, the German Association of Electrical Engineers.

Siddik Yarman (M’82–SM’88–F’04) received the B.Sc. degree in electrical engineering from the Technical University of Istanbul, Istanbul, Turkey, in 1974, the M.Sc. degree from the Stevens Institute of Technology, Hoboken, NJ, in 1978, and the Ph.D. degree from Cornell University, Ithaca, NY, in 1982. He was a Member of Technical Staff with the Microwave Technology Center, David Sarnoff Research Center, Princeton, NJ. He was a Professor with Anatolia University, Eskisehir, Turkey, Middle East Technical University, Ankara, Turkey, the Technical University of Istanbul, Istanbul, Turkey, and Istanbul University, Istanbul, Turkey. He was one of the founders of I-ERDEC Maryland USA (1983), STFA SAVRONIK (a defense electronics company in Turkey) (1986), and ARES Security Systems Inc. (1990). He was the Chief Technical Adviser to the Turkish Prime Ministry Office and the Director of Electronic and Technical Security of Turkey (1993–1999). He was the founding President of Isik University, Istanbul, Turkey (1996–2004). He was a Visiting Professor with Ruhr University, Bochum, Germany (1987–1994) and the Tokyo Institute of Technology, Tokyo, Japan (2006–2008). He has authored or coauthored over 200 scientific and technical papers in the field of electrical/electronic engineering, microwave engineering, computer engineering, mathematics, and management. He holds four U.S. patents assigned to the U.S. Air Force. Dr. Yarman has served on various technical and scientific committees since 1980 in the U.S. and Turkey. He is an Alexander Von Humboldt Research Fellow (1987), Bonn, Germany, and a member of the New York Academy of Science (1994). He was the recipient of the Young Turkish Scientist Award (1986), the Technology Award of the National Research and Technology Counsel of Turkey (1987), and Man of the Year in Science and Technology, Cambridge Biography Center, Cambridge, U.K. (1988).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3201

A Modified Doherty Configuration for Broadband Amplification Using Symmetrical Devices David Yu-Ting Wu and Slim Boumaiza, Senior Member, IEEE

Abstract—A new Doherty amplifier configuration with an intrinsically broadband characteristic is presented based on the synthesis of key ideas derived from the analyses of the load modulation concept and the conventional Doherty amplifier. Important building blocks to implement the proposed Doherty amplifier structure are outlined, which include the quasi-lumped quarter-wave transmission line, as well as the Klopfenstein taper for broadband impedance matching. A 90-W GaN broadband Doherty amplifier was designed and fabricated and achieved an average peak output power of 49.9 dBm, an average gain of 15.3 dB, and average peak and 6-dB back-off efficiencies of 67.3% and 60.6%, respectively, from 700 to 1000 MHz (35.3% bandwidth). The amplifier is shown to be highly linearizable when driven with 20-MHz WCDMA and long-term evolution signals, achieving adjacent channel power ratio of better than 48 dBc after digital predistortion. Index Terms—Broadband amplifier, Doherty amplifier, GaN.

I. INTRODUCTION

T

HE ENORMOUS cost to license wireless spectra has driven next-generation wireless standards to adopt spectrally efficient modulation schemes that maximize the data throughput and network capacity. Unfortunately, efforts to increase the transmitted bits per hertz have resulted in signals with a high peak-to-average power ratio (PAPR). The high PAPR is a problem for an RF power amplifier (PA) because the amplifier efficiency is significantly reduced at the back-off (i.e., average) power. To address this problem, back-off efficiency enhancement techniques, such as the envelope-tracking amplifier and the Doherty PA [1], have garnered significant research attention. Of the two, the Doherty amplifier has enjoyed a wide commercial adoption because of its ease of implementation. In the literature, the Doherty amplifier has been widely investigated for its application in modern base-stations. Many variants of the Doherty amplifier were proposed, such as those targeting improved efficiency at an extended back-off power of 8–12 dB [2]–[5]. Other variants improved the performance by adaptively adjusting the gate bias or by using an uneven input

Manuscript received February 27, 2012; revised June 30, 2012; accepted July 03, 2012. Date of publication August 09, 2012; date of current version September 27, 2012. The authors are with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209446

power divider [6]–[9]. Doherty amplifier variants were also implemented using the class F, E, and saturated mode of operation [10]–[13]. Lastly, mixed-signal digital Doherty amplifier designs were explored in [4] and [14]. However, the limited bandwidth ( 10%) observed in practical Doherty amplifiers runs counter to the modern base-station requirement for a broader operating bandwidth. A wider bandwidth is desirable because standards such as long-term evolution (LTE) Advanced requires an aggregated bandwidth of up to 100 MHz. Moreover, a broadband amplifier can eliminate redundant hardware when the transmission of older wireless standards is needed for backward compatibility. Recently, two important works on the bandwidth extension of the Doherty amplifier were published by Qureshi et al. [15] and Bathich et al. [16]. A paper on a dual-band Doherty amplifier was also published [17], as well as a bandwidth-enhanced Doherty amplifier for handset applications [18]. In [15], the absorption of the output capacitances and bond-wires to form a quasi-lumped impedance inverter proved to be the key to achieving the bandwidth potential of the conventional Doherty amplifier. In this paper, the quasi-lumped inverter will be further extended and applied to the design of the proposed Doherty amplifier. Although impressive peak and back-off efficiencies in the ranges of 50% and 40%, respectively, were obtained from 1.7 to 2.3 GHz for a 20-W LDMOS Doherty amplifier in [15], the use of unpackaged die devices and a mixed-signal setup added significant complexity to the design [14]. In contrast, the work presented in this paper uses commercially available packaged devices and does not require a mixed-signal setup. On the other hand, the authors of [16] derived the complete Doherty amplifier frequency response that accounted for the bandwidth limitation of the quarter-wave transformer that converted the common-load impedance to 50 . In this paper, we replace the said quarter-wave transformer with a Klopfenstein taper [19], thus removing the bandwidth limitation of the common load to a 50- transformer. With a modified output matching network, [16] reported peak and back-off efficiencies in the range of 45% from 1.7 to 2.6 GHz for a 20-W GaN Doherty amplifier. While these results were state-of-the-art, the efficiency-bandwidth tradeoff is significant when compared to a narrowband Doherty amplifier implemented using the same device, which achieved efficiencies in the 70% ranges [13]. Unlike [15] and [16], which relied on modifying the output matching network to increase the Doherty amplifier bandwidth, this paper explores a new Doherty amplifier configuration with an intrinsically broadband characteristic. This paper is organized as follows. Section II derives the proposed Doherty amplifier configuration from the conventional Doherty amplifier,

0018-9480/$31.00 © 2012 IEEE

3202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 1. Load modulation concept illustrated using a VCVS and a VCCS. Fig. 2. Doherty amplifier load modulation scheme with VCVSs only.

highlighting their differences and their respective characteristics versus frequency. Section III addresses the practical design considerations, including the device parasitic and package and the requirement for broadband impedance matching. In Section IV, the first-pass design of a 90-W GaN broadband Doherty from 700 to 1000 MHz is presented along with its measurement and linearization results. Finally, a conclusion is drawn in Section V. II. THEORETICAL ANALYSIS OF THE DOHERTY AMPLIFIER The principle of load modulation in the Doherty amplifier was well studied in [20] and [21]. In this section, we will briefly review the load modulation concept with an emphasis on the key attributes that will enable a broadband Doherty amplifier operation. The conventional Doherty amplifier is reviewed as an inspiration and benchmark for the proposed Doherty amplifier configuration. A. Load Modulation Concept The simplest illustration of the load modulation concept is shown in Fig. 1, where a voltage-controlled voltage source (VCVS) is in parallel with a voltage-controlled current source (VCCS) and a load resistor . Using phasor representations (i.e., ), the impedance seen by the VCVS, , can be modified by the current , as given by (1) Varying the current from zero to corresponds to a variation from to . In the Doherty amplifier, the ability to modulate using is harnessed to track the optimal impedances that enable the amplifier to operate efficiently at the back-off power levels. An important property of the setup in Fig. 1 is that the linearity of the overall system is solely determined by the linearity of the VCVS because the voltage across the load is always equal to . Therefore, linearity is guaranteed regardless of the value of , as long as and are linearly proportional. Engineering the impedance to track a given impedance versus profile versus is achieved by specifying the profile, a function that is defined piece-wise to target efficiency enhancements up to a specific decibel of back-off power. Although mathematically simple to define, realizing a given versus profile in practice can be a challenge. Techniques such as the asymmetrical Doherty, uneven power division, adaptive gate biasing, and mixed-signal Doherty are

all variants aimed to satisfy the versus profile of the conventional Doherty amplifier. In short, in the load modulation technique, the VCVS and VCCS each have an important role. The former ensures the linearity of the amplifier, while the latter acts as the load modulating device whose versus profile determines the impedance seen by the VCVS. These two properties are important in derivation of the proposed Doherty configuration. B. Load Modulation With VCCSs Only Since a transistor’s output behaves intrinsically as a current source rather than a voltage source, to enable the load modulation technique in practice, the Doherty amplifier, as shown in Fig. 2, converts a main VCCS to a VCVS via a quarter-wave transmission line, and uses an auxiliary VCCS to modulate the impedance seen by the main device. As a frequency-dependent component, the quarter-wave transmission line introduces bandwidth constraints and input phase alignment requirements not present in Fig. 1. Therefore, the complete description of the voltages and currents in Fig. 2 will need to account for varying frequency (expressed via varying ), as well as different phase relationships between and (or equivalently, between the input voltages and ). To aid the analysis, we replace the quarter-wave transmission line in Fig. 2 with its equivalent -parameter, yielding the following relations: (2) and are the characteristic impedance and the elecwhere trical length of the transmission line, respectively. At the center frequency , where , the relationship between and reduces to (3) and , can be Assuming a linear relationship between considered as the output of a VCVS with an input . Therefore, the key condition for load modulation is satisfied, albeit only at the frequency . For the complete description of the parameters in Fig. 2 for any , we first replace in (2) with (4)

WU AND BOUMAIZA: MODIFIED DOHERTY CONFIGURATION FOR BROADBAND AMPLIFICATION

3203

yielding

(5) Since and are known variables controlled via and , the two unknowns parameters in (5) are , the current out of the transmission line, and , the voltage across the main device. With straightforward algebraic manipulations, is determined as (6) and

Fig. 3. Optimal class-B impedance yielding the maximum efficiency as a function of the normalized input voltage.

is given by (7)

Moreover, and , the impedances seen by the main and auxiliary devices, respectively, are given by (8) and (9) By substituting (6) into (4) and (7), the complete description of and (and consequently, and ) for any can be expressed in terms of four key parameters, namely, , , , and . Conversely, to obtain the desired load modulation requires engineering the and profiles versus their respective input voltages, as well as an appropriate selection of and . As subsequent discussions will reveal, by choosing an alternate set of the four parameters, we can derive a modified Doherty amplifier configuration with an inherently broadband characteristic. C. Conventional Doherty Amplifier In the Doherty amplifier, the main device is biased in class B because it yields the required linear relationship between and and has a peak efficiency of 78.5%. For a class-B amplifier, the optimal impedance that maximizes the efficiency at a given input voltage is given as

(10) where is the dc drain bias, and is the class-B transconductance. At the maximum input drive level , corresponding to , the optimal impedance at maximum power, classically known as , is defined as

Fig. 4. Main device current and the auxiliary device current normalized input voltage in the conventional Doherty amplifier.

versus the

Normalizing by , and by , the versus function is plotted in Fig. 3. For the main device to maintain 78.5% efficiency at the back-off power, must perfectly track the impedance profile shown in Fig. 3. To enable to track for up to 6 dB of power back-off from the peak power, Doherty proposed the circuit topology of Fig. 2 with and versus profiles shown in Fig. 4. denotes the magnitude of and , a valid simplification because the conventional Doherty amplifier splits the input power evenly. Mathematically, and can be described in terms of the normalized input voltage given by

(12) and

(11) (13) where

is the device saturation current.

3204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

With the and profiles specified, the only two parameters left to determine are and , which can be derived from (8) given the knowledge of (10), (12), and (13). At the center frequency (i.e., ), with the assumption that , (8) reduces to (14) According to Fig. 3, to track , has to equal and at the peak and 6-dB back-off power levels, respectively. With the corresponding and from (12) and (13) at these two power levels, (14) yields (15) at 6-dB back-off power, and

Fig. 5. Calculated voltage across the main device and the voltage across the load and auxiliary device versus the normalized input voltage in the conventional Doherty amplifier at various frequency deviations from .

(16) at the peak power. and Solving for results

using (15) and (16) yields the classic (17)

and (18) The exercise of deriving and from the and profiles is used to illustrate an important point. Namely, that though the circuit parameters of Fig. 2 are described using these four parameters, there are, in fact, only two degrees of freedom. Once the and profiles are specified, a corresponding set of and follows. Conversely, by specifying and , one can derive the corresponding and profiles. This fact will be utilized in the derivation of the proposed Doherty amplifier configuration. Having specified the and profiles versus , as well as and for the conventional Doherty amplifier, its , and the efficiency at frequency deviations from can now be plotted. However, prior to doing so, there is one last detail regarding the relative phase of and (equivalently, of and ) versus frequency that warrants attention. In practice, the 90 phase-shift requirement between and at the center frequency is synthesized via one of two methods: a 90 hybrid coupler or a 90 transmission line inserted at the input of the auxiliary device. Although these two methods are equivalent at , they yield different relative phase shifts when the frequency deviations from . For the 90 hybrid coupler, the phase shift remains constant across the coupler’s operating bandwidth, given by (19) whereas the 90 transmission-line method yields (20)

Fig. 6. Calculated impedance and versus the normalized input voltage in the conventional Doherty amplifier at various frequency deviations from .

where refers to the same transmission line electrical length depicted in Fig. 2. As in [15] and [16], the following frequency analysis of the conventional Doherty amplifier will assume (20) to be the phase relationship. Fig. 5 illustrates the voltage and versus at various frequency deviations from plotted using (7) and (4). As the frequency deviation increases, the voltage across the main device no longer saturates. More importantly, the voltage , which appears across both the auxiliary device and the load , is no longer linear with respect to . This fundamental bandwidth-linearity challenge in the conventional Doherty amplifier was not highlighted in previous publications. Fig. 6 illustrates the degradation from a load modulation perspective, where and (normalized by ) are plotted versus at various frequencies using (8) and (9). The fact that fails to reach at 6-dB back-off power explains the degradation in Fig. 5, a problem that worsens as the frequency deviates from . To calculate the efficiency versus output power of the conventional Doherty amplifier at various frequencies, we define the output power of the main and auxiliary device as (21)

WU AND BOUMAIZA: MODIFIED DOHERTY CONFIGURATION FOR BROADBAND AMPLIFICATION

3205

Using (4) and (6), (26) simplifies to (27) with and from (17) and (18), and with peak power given by

and

at the

(28) and (29) (27) reduces to Fig. 7. Calculated drain efficiency versus normalized output power in the conventional Doherty amplifier at various frequency deviations from .

and (22) Assuming class-B power consumption, the dc power drawn by the main and auxiliary devices are given by (23)

(30) at the peak power. When we view (30) in light of the fact that the transmission line characteristic impedance in a conventional Doherty amplifier is also equal to , the reason at the peak power for all frequencies becomes trivial to explain. Namely, that a transmission line terminated with a constant load equal to its characteristic impedance will have an input impedance equal to the load impedance regardless of the frequency. With this key insight, we now derive the proposed broadband Doherty amplifier configuration. D. Proposed Doherty Amplifier Configuration

and (24) where and are the dc drain bias voltages of the main and auxiliary devices, respectively. In the conventional Doherty amplifier, the two bias voltages are equal. The drain efficiency of the Doherty amplifier is given by (25) Using (25), the efficiency versus normalized output power of the conventional Doherty amplifier at various frequency deviations from is plotted in Fig. 7. Clearly, the efficiency enhancement at the back-off power degrades as the frequency deviates from , but interestingly, the peak power efficiency appears insensitive to frequency variations. Understanding this lack of bandwidth limitation for the peak power efficiency is a key step toward the formulation of the proposed broadband Doherty amplifier configuration. To find the answer, we examine , the impedance seen by the main device (in Fig. 6) and find that at the peak power, regardless of the frequency of operation, thus explaining the lack of efficiency degradations. To understand how the supposedly narrowband quarter-wave transmission line in Fig. 2 can deliver a constant at the peak power with no bandwidth restriction, we examine the load impedance seen by the transmission line, given by (26)

The proposed Doherty amplifier configuration is a synthesis of key ideas presented previously, which are summarized as follows. 1) The load modulation technique requires a VCVS and a VCCS in parallel with a load. In the Doherty amplifier, the VCVS is synthesized using a quarter-wave transmission line. 2) The operation of the Doherty amplifier can be completely described by four parameters: , , , and . These parameters are not independent: once and are specified, and can be derived. 3) The Doherty amplifier can exhibit broadband behavior at a given power level if the load seen by the quarter-wave transmission line is equal to its characteristic impedance. For the conventional Doherty amplifier, this condition occurs at the peak power. While 1) states the need to synthesize a VCVS for proper load modulation, we note that such condition is irrelevant where load modulation does not occur, namely, below 6-dB back-off power. Combing this insight with 3), we propose a new Doherty configuration where (31) with (31), a broadband characteristic for the proposed Doherty amplifier is guaranteed at 6-dB back-off power and below. To complete the synthesis, we need to derive the corresponding and profiles versus from and . At the center frequency , (14) can be applied with (31) to yield (32)

3206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 8. Main device current and the auxiliary device current normalized input voltage in the proposed Doherty amplifier.

versus the

Fig. 9. Calculated voltage across the main device and the voltage across the load and auxiliary device versus the normalized input voltage in the proposed Doherty amplifier at various frequency deviations from .

at the peak power, and (33) at 6-dB back-off power. With (32) and (33), we propose the and profiles versus shown in Fig. 8, which are mathematically given as (34) and

(35)

The proposed versus function in (35) is remarkable in that it can be easily realized in practice using an auxiliary device with the same size as the main device, except biased in class C. Therefore, techniques such as the asymmetrical Doherty, uneven input power division, or adaptive gate biasing are no longer needed in the proposed Doherty amplifier. Having defined the and profiles versus , as well as , and , we now derive the frequency behavior of the proposed Doherty amplifier. Unlike the conventional Doherty amplifier, we will assume the phase relationship between and , as defined in (19) instead of (20), a choice that is justified below. Fig. 9 shows the voltage across the main device versus calculated with (7). At the center frequency , the proposed is identical to that of the conventional Doherty amplifier in Fig. 5. However, as the frequency deviates from begins to swing with an amplitude greater than , the device drain bias voltage (with at and ). This behavior is problematic for a device biased in class B because the excess voltage swing will enter the device knee region and degrade the amplifier linearity. Selecting the and phase relationship of (19) instead of (20) minimizes this excess voltage swing. It is worth noting that with more advanced modes of operation such as class F, the increased swing can theoretically be

Fig. 10. Calculated impedance and versus the normalized input voltage in the proposed Doherty amplifier at various frequency deviations from .

supported without linearity degradation for up to [20], [22]. On the other hand, the voltage across the auxiliary device and the load now swings twice as much as the of the conventional Doherty amplifier in Fig. 5. As such, the dc drain bias of the auxiliary device needs to be twice that of the main device, given by (36) From a practical perspective, the need for asymmetrical bias voltages imply the devices must have a high breakdown voltage. With the emergence of GaN devices where the latest reported breakdown voltage is around 300 V [23], we anticipate this disadvantage to be a nonissue in the near future. From a linearity perspective, a comparison between the versus transfer characteristics of Figs. 5 and 9 shows that the proposed Doherty amplifier also exhibits a better bandwidth-linearity tradeoff than the conventional Doherty amplifier. Fig. 10 shows the load modulation in the proposed Doherty amplifier calculated using (8) and (9). At the center frequency , , the impedance seen by the main device, is identical to that of the conventional Doherty with perfect tracking of for up to 6 dB of back-off power. At the peak power, the auxiliary

WU AND BOUMAIZA: MODIFIED DOHERTY CONFIGURATION FOR BROADBAND AMPLIFICATION

3207

Fig. 12. Absorption of the device output capacitance and bond-wire inductance to form the quasi-lumped quarter-wave transmission line.

Fig. 11. Calculated drain efficiency versus normalized output power in the proposed Doherty amplifier at various frequency deviations from .

TABLE I CONVENTIONAL VERSUS THE PROPOSED DOHERTY AMPLIFIER

the asymmetrical devices of the conventional Doherty amplifier for two reasons. From an output power perspective, symmetrical devices allow for higher output power because the largest device offered by a foundry can be used as the main device. In contrast, the conventional Doherty amplifier requires the largest device to be the auxiliary device and the main device to be 2.6 times smaller to obtain the desired and profile. From a design perspective, because the symmetrical devices have similar device parasitics, circuit elements such as the bias network, input matching network, and stabilization network can be duplicated for the main and auxiliary devices, thus reducing the design complexity. III. PRACTICAL DESIGN CONSIDERATIONS

device now sees instead of because is doubled while is halved. Both and change little as the frequency deviates from when compared to the conventional Doherty amplifier. Finally, the efficiency versus normalized output power at various frequency deviations from is plotted in Fig. 11 using (25). At , the efficiency curve and the peak output power are identical to that of the conventional Doherty PA. There is no efficiency degradation at 6-dB back-off power as the frequency varies, whereas the peak efficiency is increased slightly because of an increased . At 6-dB back-off power, the proposed Doherty amplifier is able to improve the efficiency by 5.4% at and , and by 17.5% at and when compared to the conventional Doherty amplifier. E. Additional Advantages of the Proposed Doherty Amplifier For clarity, the differences between the conventional and the proposed Doherty amplifier are summarized in Table I. Aside from the extended bandwidth, there are two additional advantages in the proposed Doherty amplifier configuration. 1) Ease of Matching: From Table I, the load resistance of the proposed Doherty amplifier is four times that of the conventional Doherty amplifier. Therefore, the output matching to 50 is easier to design because the impedance transformation ratio is reduced. Or equivalently, for the same matching network used in the conventional Doherty amplifier, the proposed Doherty amplifier is able to support a device with four times larger power. 2) Use of Symmetrical Devices: The use of symmetrical devices in the proposed Doherty amplifier are advantageous over

To realize the proposed broadband Doherty amplifier in practice, we have to account for the device parasitic and package, as well as the need for broadband input and output matching networks. To address the former, we expand upon the quasi-lumped transmission line concept in [15] by formulating the absorption of arbitrary networks to form the quasi-lumped quarterwave transmission line using the -parameters. For the latter, we explore the use of Klopfenstein taper to achieve broadband impedance matching. Finally, we discuss factors that cause practical Doherty amplifiers to deviate from the ideal characteristics outlined in the Section II. A. Quasi-Lumped Quarter-Wave Transmission Line Since the intrinsic drain of a real transistor is embedded within the device parasitic and package, one cannot directly connect a quarter-wave transmission line between the intrinsic drains of the main and auxiliary devices. To approximate a quarter-wave transmission line between the intrinsic drains, [15] proposed a quasi-lumped quarter-wave transformer formed using the device output capacitances, bond-wires, and a modified transmission line, as shown in Fig. 12. To determine the parameter and of the modified transmission line such that the boxed circuit of Fig. 12 approximates a quarter-wave transformer, we find the overall -parameter of the circuit and solve it against the -parameter of the ideal quarter-wave transmission line at the center frequency . This approach is in contrast to the two-step solution presented in [15], which is simpler, but not exact. Moreover, the method presented here can be generalized for any parasitic and package whose -parameters are known.

3208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 14. Photograph of the fabricated 90-W broadband Doherty PA with the input Wilkinson power divider. Fig. 13. Circuit topology used to implement the proposed broadband Doherty amplifier.

As an example, for the simplified model shown in Fig. 12, the overall -parameter, , is given by

(37) , , and are the -pawhere rameters of the device output capacitance , the bond-wire inductance , and the modified transmission line, respectively. The assumption of equal device parasitic and bond-wire for the main and auxiliary devices is valid because the proposed Doherty amplifier uses symmetrical devices. In fact, a symmetrical network has better impedance inverting properties than an asymmetrical network [24]. From (37), the matrix multiplication yields

Fig. 15. Measured drain efficiency of the 90-W broadband Doherty amplifier at the peak power and 6-dB back-off power from 650 to 1050 MHz.

(38) (39)

(40) (41) From (2), at the center frequency , the ideal quarter-wave transmission line has an -parameter, , given by (42) (43) (44) (45) and appear to be overdeAlthough the two unknowns termined given the three equations (38)–(40), it can be shown that for the solution of and such that , the equality holds true, and given that from (43) and (44), (39) and (40) are therefore not independent. As such, to solve for and , we set and and use a numerical method to determine the exact solution.

Fig. 16. Measured peak output power and the associated gain of the 90-W broadband Doherty amplifier from 650 to 1050 MHz.

For practical designs, the -parameters of the complete parasitic and package model replace and , thus enabling the calculation of and for any arbitrary networks to form the quasi-lumped quarter-wave transmission line. B. Output and Input Matching Network A key requirement unique to the proposed Doherty configuof Fig. 2 must be broadband. Traditionally, ration is that in the conventional Doherty is synthesized using a quarter-wave transformer that has a limited bandwidth. Indeed, [16] included the bandwidth of the said quarter-wave transformer in the theoretical analysis of the Doherty amplifier, yielding worse ideal characteristics than those presented in Section II-C.

WU AND BOUMAIZA: MODIFIED DOHERTY CONFIGURATION FOR BROADBAND AMPLIFICATION

Fig. 17. Simulated and measured drain efficiency versus output power of the 90-W broadband Doherty amplifier at 700 MHz.

Fig. 18. Simulated and measured drain efficiency versus output power of the 90-W broadband Doherty amplifier at 850 MHz.

Fig. 19. Simulated and measured drain efficiency versus output power of the 90-W broadband Doherty amplifier at 1000 MHz.

In contrast, we synthesize the broadband using a Klopfenstein taper that allows for a broadband real-to-real impedance matching above a given cutoff frequency [19]. In the implementation of the proposed Doherty amplifier, the Klopfenstein taper’s cutoff frequency is set lower than the amplifier’s design frequency to achieve constant across the design frequency band. Together, the quasi-lumped quarter-wave transmission line and the Klopfenstein taper form the output matching network, as shown in Fig. 13.

3209

Fig. 20. Simulated and measured gain versus input power of the 90-W broadband Doherty amplifier at 700 MHz.

Fig. 21. Simulated and measured gain versus input power of the 90-W broadband Doherty amplifier at 850 MHz.

Fig. 22. Simulated and measured gain versus input power of the 90-W broadband Doherty amplifier at 1000 MHz.

Another unique requirement of the proposed Doherty amplifier is that the input matching network must maintain a proper phase relationship between the main and auxiliary devices across the design frequency band. In theory, such a network also has to absorb the device package and the input capacitance to provide good matching and high gain. To determine the best input matching topology, we carried out an empirical study that compared a multisection network and the Klopfenstein taper. We found that while the multisection network took up less

3210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE II COMPARISON WITH BROADBAND DOHERTY AMPLIFIERS IN THE LITERATURE

a mixed-signal setup was used to optimize the amplitude and phase of the main and auxiliary input signals over frequencies and power levels. a back-off drain efficiency of 41% was used to define the operating frequency and bandwidth.

area, the Klopfenstein taper was able to maintain the proper phase relationship over a broader bandwidth, though at the cost of lower amplifier gain. In addition, our study found that because the two GaN devices were biased in class AB and class C, respectively, the different nonlinear input capacitances actually introduced additional phase shift between and . As a result, we found that, in practice, a Wilkinson divider with a phase delay line yielded better performance than a hybrid coupler. The chosen input matching topology, consisting of a 3-dB Wilkinson power divider, a 90 delay line, and two Klopfenstein tapers, is shown in Fig. 13.

C. Factors Affecting the Doherty Amplifier Performance Despite designers’ best effort, practical Doherty amplifiers deviate from the ideal characteristics presented in Section II for two main reasons: nonideal device characteristics and limitations of the matching network. 1) Nonideal Device Characteristics: From an efficiency perspective, the knee region in a real transistor limits the available voltage swing and can reduce the amplifier efficiency by 10%–15% from the ideal value. Moreover, the class-C biased auxiliary device will exhibit a slow turn-on due to the varying conduction angle of the current versus the power, causing an efficiency degradation at the 6-dB back-off power. From a linearity perspective, the nonlinear transconductance, as well as voltage-dependent capacitances, will cause the Doherty amplifier to be nonlinear in practice. 2) Matching Network Limitations: In the ideal analysis, the higher harmonics are assumed to be short circuit. In practice, such a condition is difficult to achieve without explicit harmonic stubs, which are inherently narrowband. Instead, the output matching shown in Fig. 13 relies on the output capacitance and the bias line adjustment to short out the harmonics. However, because of the imperfect harmonic matching, the efficiency will deviate from the ideal characteristic, though current research suggests imperfect harmonic matching may still be optimized for high efficiency [25]. Moreover, although the device parasitic and package can be absorbed into the quasi-lumped quarter-wave transmission line, one cannot directly connect the load to the auxiliary device current source because of the package and parasitic. Therefore, the amplifier efficiency will be degraded due to the improper connection, especially at higher frequencies. The input voltage-dependent capacitances also pose additional challenges

Fig. 23. Measured output spectra of the 90-W broadband Doherty amplifier before and after the DPD linearization when driven with a 20-MHz WCDMA 1111 signal at 880 MHz.

Fig. 24. Measured output spectra of the 90-W broadband Doherty amplifier before and after the DPD linearization when driven with a 20-MHz LTE signal at 740 MHz.

because a varying capacitance cannot be resonated out using a static passive network. Lastly, if the output matching network improperly allows the output voltage swing to enter the knee region, or if the matching results in improper load modulation, the amplifier linearity will also suffer. Finally, the insertion loss of the matching networks will further degrade the efficiency of the amplifier. IV. 90-W GaN BROADBAND DOHERTY AMPLIFIER Based on the theoretical analysis presented in Section II-D and the practical design considerations discussed in Section III,

WU AND BOUMAIZA: MODIFIED DOHERTY CONFIGURATION FOR BROADBAND AMPLIFICATION

3211

TABLE III SUMMARY OF THE DPD LINEARIZATION OF THE 90-W BROADBAND DOHERTY AMPLIFIER

a 90-W broadband Doherty amplifier was designed following the circuit topology shown in Fig. 13. In this study, we target the 700–1000-MHz frequency range (35.3% bandwidth), which includes several LTE and Universal Mobile Telecommunications System (UMTS) bands, as well as global system for mobile communications (GSM) and CDMA bands [26]. The design used two commercially available 45-W CGH40045F packaged GaN transistor from Cree Inc., Durham, NC. The main device was biased in deep class AB with a quiescent current of 400 mA and a drain voltage of 28 V. The auxiliary device was biased in class C with a gate voltage of 5.3 V and a drain voltage of 53.2 V. of 4.4 was determined from the dc–IV simulation of the device and used to synthesize the quasi-lumped quarter-wave transmission line and the output Klopfenstein taper. The input matching network consisted of an external 3-dB Wilkinson power divider that operated from 500 to 1000 MHz, a delay line, and two Klopfenstein tapers, which synthesized source impedances of 4 for the main and auxiliary devices. Different substrates from the Rogers Corporation, Rogers, CT, were used to accommodate the impedance requirement of the input and the output matching networks. Fig. 14 shows a photograph of the fabricated 90-W broadband Doherty PA with the input Wilkinson power divider. A. Measurement of the 90-W Broadband Doherty Amplifier Unlike [15], the fabricated broadband Doherty amplifier is measured without the use of a complex mixed-signal setup. Moreover, the amplifier was a first-pass design that did not require post-production tuning. Fig. 15 shows the measured drain efficiency at the peak and 6-dB back-off power levels from 650 to 1050 MHz under a continuous-wave (CW) stimulus. Within the design frequency band from 700 to 1000 MHz, the average values of the peak efficiency and the 6-dB back-off efficiency were 67.3% and 60.6%, respectively. The deviation from the ideal analysis can be primarily attributed to the soft turn-on of the auxiliary device and the knee region, as discussed in Section III-C. Fig. 16 contains the measured peak output power and the associated gain versus frequency under a CW stimulus. From 700 to 1000 MHz, the average values of the peak output power and the associated gain were 49.9 dBm and 15.3 dB, respectively. To assess the efficiency enhancement at the back-off power levels, we measured the drain efficiency versus output power at different frequencies. Figs. 17–19 show the simulated and measured drain efficiency versus output power at 700, 850, and

1000 MHz, respectively. At 700 and 850 MHz, the measurements clearly show the two efficiency peaks as predicted by the simulation. At 1 GHz, though the 6-dB back-off efficiency is still greater than 50%, the efficiency enhancement is reduced. The degradation can be attributed the nonideal characteristic of the quasi-lumped quarter-wave transmission line and the improper load connection, as discussed in Section III-C. To assess the linearity of the amplifier, we characterized the gain versus input power (i.e., AM–AM) at different frequencies. Figs. 20–22 show the simulated and measured gain versus input power at 700, 850, and 1000 MHz, respectively. Although the gains at the peak power for the three frequencies are similar, the small-signal gains are higher at lower frequencies. These trends are predicted by the simulation. The nonlinear AM–AM characteristic of the amplifier can be attributed to the nonlinear device transconductance and the imperfect load modulation, as stated in Section III-C. Table II summarizes the measurement results of the 90-W GaN broadband Doherty PA and compares it with broadband Doherty amplifiers in the literature. Although our design frequency band is lower, the larger device size and parasitic mean the matching challenges are comparable. From Table II, the broadband Doherty amplifier in this study outperforms all others in terms of output power, gain, peak efficiency, and back-off efficiency. This remarkable performance improvement is possible because unlike the previous studies that focused strictly on matching network optimizations, our prototype is designed based on a new Doherty amplifier configuration with an intrinsically broadband characteristic. B. Linearization of the 90-W Broadband Doherty Amplifier To assess the linearizability of the 90-W GaN broadband Doherty amplifier at different frequencies, the amplifier was first driven with a four-carrier 20-MHz WCDMA 1111 modulated signal at 880 MHz, then characterized using a 20-MHz LTE signal at 740 MHz. The frequencies were selected to reflect the actual allocated frequencies of the respective wireless standards. The 20-MHz WCDMA and LTE input signals were clipped to PAPRs of 7.14 and 10.51 dB, respectively. For linearization, we used the digital predistortion (DPD) algorithm based on pruned Volterra series using Wiener G-functionals [28]. Fig. 23 shows the measured output spectra before and after DPD linearization when the amplifier was driven with the 20-MHz WCDMA 1111 signal at 880 MHz. The adjacent channel power ratio (ACPR) improved from 29.57 to 51.26 dBc and the amplifier achieved an average output

3212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

power of 42.74 dBm with an associated drain efficiency of 54.9%. Similarly, Fig. 24 shows the output spectra before and after DPD when the amplifier was driven with the 20-MHz LTE signal at 740 MHz. The ACPR improved from 25.15 to 48.52 dBc and the amplifier achieved an average output power of 39.14 dBm with an associated drain efficiency of 44.9%. Moreover, the 10-ms LTE frame was captured and decoded to determine the data error vector magnitude (EVM), which has to be less than 8% for 64 quadrature amplitude modulation (QAM) sub-carrier modulation. The EVM before and after DPD was 9.2% and 1.6%, respectively, with the clipped input signal EVM being 1.2%. The linearization results are summarized in Table III, demonstrating that despite the nonlinear AM–AM characteristics, the 90-W GaN broadband Doherty amplifier is highly linearizable even when driven with wideband signals. V. CONCLUSION In this paper, we have presented a new Doherty amplifier configuration with an intrinsically broadband characteristic based on the synthesis of key ideas derived from the analysis of the load modulation concept and the conventional Doherty amplifier. In addition to the extended bandwidth, the proposed Doherty amplifier is also easier to match and design because of the larger impedance requirement and the use of symmetrical devices. We also presented practical design techniques to implement the proposed Doherty amplifier, which included the absorption of the device parasitic and package to form the quasi-lump quarter-wave transmission line, as well as the use of Klopfenstein taper for broadband impedance matching. A 90-W GaN broadband Doherty prototype was designed and fabricated to operate from 700 to 1000 MHz (35.3% bandwidth). The amplifier was measured without the use of a complex mixed signal setup and required no postproduction tuning. To the authors’ best knowledge, the measurement results are the best to date with an average peak output power of 49.9 dBm, an average gain of 15.3 dB, and average peak and 6-dB back-off efficiencies of 67.3% and 60.6%, respectively, across the design frequency band. The amplifier was also shown to be highly linearizable when driven with wideband modulated signals. With a 20-MHz WCDMA 1111 signal at 880 MHz, the amplifier achieved an ACPR of 51.26 dBc after DPD at an average output power and drain efficiency of 42.74 dBm and 54.9%, respectively. Similarly, with a 20-MHz LTE signal at 740 MHz, the amplifier achieved an ACPR of 48.52 dBc after DPD at an average output power and drain efficiency of 39.14 dBm and 44.9%, respectively. ACKNOWLEDGMENT The authors would like to thank F. Mkadem and H. Medini, both with the University of Waterloo, Waterloo, ON, Canda, for their help in the DPD linearization, as well as H. Sarbishaei, University of Waterloo, for insightful discussions on PAs. The authors would also like to thank Cree Inc., Durham, NC, for providing the large-signal device models and Agilent Technology, Santa Clara, CA, for their donation of the ADS design software.

REFERENCES [1] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [2] M. Iwamoto, A. Williams, P.-F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [3] S. Goto, T. Kunii, A. Inoue, K. Izawa, T. Ishikawa, and Y. Matsuda, “Efficiency enhancement of Doherty amplifier with combination of class-F and inverse class-F schemes for -band base station application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 2, p. 839. [4] W. C. E. Neo, J. Qureshi, M. J. Pelk, J. R. Gajadharsing, and L. C. N. de Vreede, “A mixed-signal approach towards linear and efficient -way Doherty amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 866–879, May 2007. [5] I. Kim, J. Moon, S. Jee, and B. Kim, “Optimized design of a highly efficient three-stage Doherty PA using gate adaptation,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 10, pp. 2562–2574, Oct. 2010. [6] Y. Yang, J. Cha, B. Shin, and B. Kim, “A microwave Doherty amplifier employing envelope tracking technique for high efficiency and linearity,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 370–372, Sep. 2003. [7] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers—Uneven power drive and power matching,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1802–1809, May 2005. [8] J. Nam and B. Kim, “The Doherty power amplifier with on-chip dynamic bias control circuit for handset application,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 633–642, Apr. 2007. [9] M. Nick and A. Mortazawi, “Adaptive input-power distribution in Doherty power amplifiers for linearity and efficiency enhancement,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2764–2771, Nov. 2010. [10] Y.-S. Lee, M.-W. Lee, and Y.-H. Jeong, “Highly efficient class-F GaN HEMT Doherty amplifier for WCDMA applications,” Microw. Opt. Technol. Lett., vol. 50, no. 9, pp. 2328–2331, Sep. 2008. [11] Y.-S. Lee, M.-W. Lee, and Y.-H. Jeong, “Highly efficient Doherty amplifier based on class-E topology for WCDMA applications,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 608–610, Sep. 2008. [12] P. Colantonio, F. Giannini, R. Giofr, and L. Piazzon, “Theory and ex- Doherty power amplifier,” IEEE perimental results of a class F Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1936–1947, Aug. 2009. [13] J. Kim, J. Son, J. Moon, and B. Kim, “A saturated Doherty power amplifier based on saturated amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 109–111, Feb. 2010. [14] M. J. Pelk, W. C. E. Neo, J. R. Gajadharsing, R. S. Pengelly, and L. C. N. de Vreede, “A high-efficiency 100 W GaN three-way Doherty Amplifier for base-station applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1582–1591, Jul. 2008. [15] J. H. Qureshi, N. Li, W. C. E. Neo, F. Rijs, I. Blednov, and L. C. N. de Vreede, “A wideband 20 W LMOS Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 1504–1507. [16] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 934–944, Apr. 2011. [17] W. Chen, S. A. Bassam, X. Li, Y. Liu, K. Rawat, M. Helaoui, F. M. Ghannouchi, and Z. Feng, “Design and linearization of concurrent dual-band Doherty power amplier with frequency-dependent power ranges,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 10, pp. 2537–2546, Oct. 2011. [18] D. Kang, D. Kim, Y. Cho, B. Park, J. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3474–3483, Dec. 2011. [19] R. W. Klopfenstein, “A transmission line taper of improved design,” Proc. IRE, vol. 44, no. 1, pp. 31–35, Jan. 1956. [20] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [21] F. H. Raab, “Efficiency of Doherty RF power-amplifier systems,” IEEE Trans. Broadcast., vol. BC-3, pp. 77–83, Sep. 1987. [22] J. D. Rhodes, “Output universality in maximum efficiency linear power amplifiers,” Int. J. Circuit Theory Appl., vol. 31, no. 4, pp. 385–405, Jul. 2003.

WU AND BOUMAIZA: MODIFIED DOHERTY CONFIGURATION FOR BROADBAND AMPLIFICATION

[23] F. Yamaki, K. Inoue, N. Ui, A. Kawano, and S. Sano, “A 65% drain efficiency GaN HEMT with 200 W peak power for 20 V to 65 V envelope tracking base station amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 2011, pp. 1–4. [24] S. Cripps, “Inverted logic,” IEEE Microw. Mag., vol. 9, no. 5, pp. 30–38, Oct. 2008. [25] V. Carrubba, A. L. Clarke, M. Akmal, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “On the extension of the continuous class-F mode power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1294–1303, May 2011. [26] “Evolved universal terrestrial radio access (E-UTRA); user equipment (UE) radio transmission and reception,” 3GPP, Sophia-Antipolis, France, 3GPP TS 36.101 V11.0.0, 2012. [27] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 1, pp. 99–111, Jan. 2012. [28] F. Mkadem, S. Boumaiza, J. Staudinger, and J. Wood, “Systematic pruning of Volterra series using Wiener G-functionals for power amplifier and predistorter modeling,” in Eur. Microw. Integr. Circuits Conf., Oct. 2011, pp. 482–485. David Yu-Ting Wu received the B.A.Sc. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 2007, and is currently working toward the Ph.D. degree at the University of Waterloo. He has held internships with PMC-Sierra, Qualcomm, and Freescale Semiconductor. His current research interests include high-efficiency RF power amplifiers, broadband amplifiers, and back-off efficiency enhancement techniques for cellular base-station applications.

3213

Mr. Wu was the recipient of the Natural Sciences and Engineering Research Council (NSERC) of Canada Postgraduate Scholarship (PGD-D) and the First Place Award of the Student High Efficiency Power Amplifier Design Competition, IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) in 2009.

Slim Boumaiza (S’00–M’04–SM’07) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004, respectively. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada, where he leads the Emerging Radio System Research Group that conducts multidisciplinary research activities in the general areas of design of RF/microwave and millimeter components and systems for wireless communications. His specific current research interests include RF/digital signal processing (DSP) mixed design of intelligent RF transmitters; design, characterization, modeling and linearization of high-efficiency RF power amplifiers; and reconfigurable and software-defined transceivers.

3214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A Dual-Band Parallel Doherty Power Amplifier for Wireless Applications Andrei Grebennikov, Senior Member, IEEE, and James Wong, Member, IEEE

Abstract—In this paper, a novel dual-band transmission-line parallel Doherty amplifier architecture for active antenna arrays and base-station applications in next-generation communication systems is presented. The carrier and peaking amplifiers using GaN HEMT Cree CGH40010P devices are designed based on the reactance compensation technique to provide optimum Class-E impedance seen by the device output at the fundamental frequency across the wide frequency range achieving drain efficiencies over 73% across the frequency range from 1.7 to 2.7 GHz. In a single-carrier WCDMA operation mode with a peak-to-average ratio of 6.5 dB, high drain efficiencies of 40%–45% can be achieved at an average output power of 39 dBm with an of about 30 dBc at center bandwidth frequencies of 2.14 and 2.655 GHz. Index Terms—Broadband Class E, Doherty amplifier, efficiency, GaN HEMT, reactance compensation, RF power amplifier, transmission line.

I. INTRODUCTION

I

N NEXT-GENERATION fourth-generation (4G)/fifth-generation (5G) telecommunication systems, it is required that the radio transmitter in general and power amplifiers as its key part in particular operate with high efficiency over a wide frequency range to provide multiband and multistandard operation. Besides, in these systems with increased bandwidth and high data rate using an orthogonal frequency-division multiplexing (OFDM) transmission mode, the transmitting signal is characterized by high peak-to-average power ratios due to wide and rapid variations of the instantaneous transmitting power. Therefore, it is very important to provide high efficiency at maximum output power and at lower power levels typically ranging from 6-dB backoff and less over a wide frequency bandwidth. Different 3GPP long-term evolution (LTE) advanced bands for 4G/5G systems with up to 40-MHz channel bandwidths are expected to be covered: tri-band (SMH, CLR, GSM) 0.7–0.9 GHz, tri-band (DCS, PCS, IMT) 1.8–2.1 GHz, dual-band (IMT and IMT-E) 2.1–2.6 GHz, or even multiband 1.8–2.6 GHz. By using GaN HEMT technology and innovative Doherty architectures, average efficiencies of 50%–60% for output powers ranging from 5 to 50 W can be achieved that significantly reduces cost, size, and power consumption of the transmitters. Moreover, power-amplifier miniaturization Manuscript received June 26, 2012; revised July 07, 2012; accepted July 17, 2012. Date of publication August 16, 2012; date of current version September 27, 2012. A. Grebennikov is with Bell Laboratories, Alcatel–Lucent, Dublin 15, Ireland (e-mail: [email protected]). J. Wong is with Alcatel–Lucent Telecom, Swindon, SN5 7YT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2210906

Fig. 1. Block diagram of conventional and modified Doherty amplifiers.

and integration for small-cell applications are vitally needed keeping the same high-performance capability. For a conventional Doherty amplifier with a quarter-wave impedance transformer and a quarter-wave output combiner, the measured power-added efficiency (PAE) of 31% at backoff power levels of 6–7 dB from the saturated output power of about 43 dBm was achieved across the frequency range of 1.5–2.14 GHz [1]. To improve the broadband performance of a conventional Doherty amplifier, an output network can be composed of two quarter-wave impedance inverters with reduced impedance transformation ratios [2]. For broadband combining, an output quarter-wave transmission line with fixed characteristic impedance can be replaced by a multisection transmission line with different characteristic impedances, which allows the frequency range from 2.2 to 2.96 GHz to be covered [3]. In this case, the broadband matching is realized by applying the simplified real frequency technique with the desired frequency-dependent optimum impedances. However, nonlinear optimization of the entire Doherty amplifier system makes the design complicated enough in terms of circuit simulation and results in a sufficiently large size of the final board implementation. This paper introduces and describes a novel parallel Doherty architecture for different wireless applications, which allows high efficiency across a wide frequency range and backoff output powers to be achieved using a simple transmission-line load network. To further maximize bandwidth efficiency, the

0018-9480/$31.00 © 2012 IEEE

GREBENNIKOV AND WONG: DUAL-BAND PARALLEL DOHERTY POWER AMPLIFIER FOR WIRELESS APPLICATIONS

3215

Fig. 3. Reactance compensation Class-E circuit with lumped elements and transmission line and its performance.

Fig. 2. Load-network schematics and broadband properties.

broadband Class-E reactance compensation transmission-line approach for carrier and peaking amplifiers is used. II. PARALLEL ARCHITECTURE A multiband capability of the conventional two-stage Doherty amplifier, whose block schematic is shown in Fig. 1(a), can be achieved when all of its components are designed to provide their corresponding characteristics over the required bandwidth of operation. In this case, the carrier and peaking amplifiers should provide broadband performance when, for example, their input and interstage matching circuits are designed as broadband and the load network generally can represent a low-pass structure with two or three sections tuned to the required frequencies. In a broadband Class-E mode, the

load network can be composed of the consecutive series and parallel resonant circuits using lumped or transmission-line elements according to reactance compensation technique. For a multiband operation with the center frequency ratio at each of the frequency bands of 2 or greater, the input divider can be configured as a multisection Wilkinson power divider or coupled-line directional coupler. In a dual-band operation mode, an input power splitter can represent a -shape or -shape stub tapped branch-line coupler composed of four dual-band quarter-wavelength transmission lines, and an impedance inverter network introducing a 90 phase shift can be based on a - or -type transmission-line impedance-inverting section with proper selected transmission-line characteristic impedances and electrical lengths, where shunt elements are provided by the open-circuit stubs [4], [5]. The delay transmission line at the input of the peaking amplifier can be constructed in a similar way as the multiband impedance transformer at the output of the carrier amplifier by allowing the phase of the signal transmitted through the carrier amplifier path to match the phase of the signal in the peaking amplifier path. However, it should be noted that it is not easy to design a multiband impedance transformer that provides two separate matching options simultaneously: first, to operate in a 50- environment without affecting power-amplifier performance in a high-power region, and secondly, to provide an impedance matching from 25 to 100 in a low-power region. The multiband output combiner required to combine the output powers from the carrier and peaking amplifiers and match the resulting 25- impedance to the standard load impedance of 50 can be realized using the two quarter-wave transmission lines where the characteristic impedance of the first transmission line can be equal to and the characteristic impedance of the second transmission line can be equal to for an intermediate impedance of 35 . Generally, a simple two-stepped transmission-line impedance transformer can provide a two-pole

3216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Idealized circuit schematic of broadband Class-E GaN HEMT power amplifier.

Fig. 5. Simulated small-signal

versus frequency.

response with a different characteristic impedance ratio and different electrical lengths of the transmission-line sections [6]. III. BROADBAND LOAD NETWORK The classical two-stage Doherty amplifier has limited bandwidth capability in a low-power region since it is necessary to provide an impedance transformation from 25 to 100 when the peaking amplifier is turned off, as shown in Fig. 2(a), thus resulting in a loaded quality factor at a 3-dB output-power reduction level, which is sufficiently high for broadband operation. The parallel architecture of a two-stage Doherty amplifier with modified modulated load network, whose block schematic is shown in Fig. 1(b), can improve bandwidth properties in a low-power region by reducing the impedance transformation ratio by a factor of 2. In this case, the load network for the carrier amplifier consists of a single quarter-wave transmission line required for impedance transformation, the load network for the peaking amplifier consists of a 50- quarter-wave transmission line followed by another quarter-wave transmission line required for impedance transformation, and the quarter-wave transmission line at the input of the carrier amplifier is necessary for phase compensation. Both impedance-transforming quarter-wave transmission lines, having a characteristic impedance of 70.7

Fig. 6. Simulated results of broadband Class-E GaN HEMT amplifier.

each, provide a parallel connection of the carrier and peaking amplifiers in a high-power region by parallel combining of the two 100- impedances at their output into a 50- load, with 50- impedances at their inputs seen by each amplifier output. In a low-power region below an output-power backoff point of 6 dB, when the peaking amplifier is turned off, the required impedance of 100 seen by the carrier-amplifier output is achieved by using a single quarter-wave transmission line with a characteristic impedance of 70.7 to match with a 50-

GREBENNIKOV AND WONG: DUAL-BAND PARALLEL DOHERTY POWER AMPLIFIER FOR WIRELESS APPLICATIONS

3217

Fig. 7. Circuit schematic of dual-band parallel GaN HEMT Doherty amplifier.

load, as shown in Fig. 2(b). This provides a loaded quality factor , resulting in a 1.73 times wider frequency bandwidth, as shown in Fig. 2(c) by curve 1, compared with a conventional case (curve 2). Since the load network of the peaking amplifier contains two quarter-wave transmission lines connected in series, this provides an overall half-wavelength transmission line, and an open circuit at the peaking-amplifier output directly translates to the load providing a significant isolation of the peaking-amplifier path from the carrier-amplifier path in a wide frequency range. The input in-phase divider and phase-compensating transmission line can be replaced by a broadband coupled-line 90 hybrid coupler. From Fig. 2(c), it follows that the use of a parallel Doherty architecture can provide a broadband operation within 25%–30% around center bandwidth frequency with minimum variation of the load-network transfer characteristic. As a result, a dual-band operation can be easily provided by this architecture, for example, in 1.8-GHz (1805–1880 MHz) and 2.1-GHz (2.11–2.17 GHz) or 2.1-GHz and 2.6-GHz (2.62–2.69 GHz) WCDMA/LTE frequency bands, respectively. IV. BROADBAND CLASS-E POWER AMPLIFIER The conventional design of a high-efficiency switch-mode Class-E power amplifier requires a high factor to satisfy the necessary harmonic impedance conditions at the output device terminal. However, if a sufficiently small value of the loaded

quality factor is chosen, a high-efficiency broadband operation of the Class-E power amplifier can be realized by applying the reactance compensation technique. For example, a simple network consisting of a series resonant circuit tuned to the fundamental frequency and a parallel inductor provides a constant load phase angle of 50 in a frequency range of about 50% [7]. Usually, the bandwidth limitation in power amplifiers comes from the device low transition frequency and large output capacitance; therefore, silicon LDMOSFET technology has been the preferred choice up to 2.2 GHz. As an alternative, GaN HEMT technology enables high efficiency, large breakdown voltage, high power density, and significantly higher broadband performance due to higher transition frequency and smaller periphery, resulting in smaller input and output capacitances and less parasitics. It is very difficult to maintain efficiency at a high level over very wide frequency bandwidth. For a Class-E load network with shunt capacitance, a PAE above 50% was achieved within the frequency range from 1.9 to 2.4 GHz [8]. To increase highefficiency frequency bandwidth, the broadband Class-E technique based on a reactance compensation principle with a combination of the series and shunt resonant circuits can be used [9]. In this case, a PAE over 53% was observed in a frequency bandwidth of 2.1–2.7 GHz with output power variations from 9.3 to 12.7 W at a supply voltage of 40 V [10].

3218

Fig. 8. Simulated small-signal

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

and

versus frequency.

Fig. 3(a) shows the example of a reactance-compensation load network for a Class-E power amplifier with shunt capacitance including a series transmission line and a parallel resonant circuit. In this case, the reactance of the Class-E load network with shunt capacitance and series inductance varies similar to that of the series resonant circuit with positive slope, whereas the required negative slope is provided by the parallel resonant circuit. Selection of the proper characteristic impedance and electrical length of the series transmission line enables the magnitude of the two slopes to be made identical so as to achieve a constant total reactance and phase of the load network impedance over a wide frequency range. The simulation results at the fundamental frequency show that the resistance varies from 35 at 30 MHz to 68 at 70 MHz, as shown in Fig. 3(b) by curve 1, whereas the load-network phase varies from 27 to 40 in more than octave bandwidth from 33 to 80 MHz (curve 2). Generally, very broadband power-amplifier design employs an input lossy matching circuit to minimize the input return loss and output power variations over very wide frequency bandwidths with an output network to compensate for the device output reactance [11], [12]. As an alternative, to provide an input broadband matching over an octave bandwidth, it is possible to use a multisection matching transformer consisting of stepped transmission-line sections with different characteristic impedances and electrical lengths [9]. Such an input matching structure is convenient in practical implementation since there is no need to use any tuning capacitors. Fig. 4 shows the idealized simulation setup of a 10-W broadband Class-E power amplifier circuit designed to operate over a frequency bandwidth from 1.7 to 2.7 GHz and based on a GaN HEMT Cree CGH40010 (or CGH27015) device, where both the input matching circuit and load network are composed of ideal transmission lines. The nominal Class-E load resistance can be calculated for W, V, and V as (1) where is the output power at the fundamental frequency, is the drain supply voltage, and is the saturation voltage defined from the device output current–voltage characteristics [9]. In this case, the transmission-line parallel resonant circuit in the broadband Class-E load network having a 25- load is

Fig. 9. Simulated broadband capability of parallel Doherty amplifier.

Fig. 10. Simulated results of dual-band Doherty amplifier.

represented by the open- and short-circuit stubs replacing the lumped capacitor and inductor, respectively, each having a characteristic impedance of 50 and electrical length of 45 at 2.0 GHz. An additional series transmission line with 35- characteristic impedance and quarter wavelength at the high bandwidth frequency of 2.7 GHz is used to match an idealized 25load with a standard 50- load.

GREBENNIKOV AND WONG: DUAL-BAND PARALLEL DOHERTY POWER AMPLIFIER FOR WIRELESS APPLICATIONS

3219

Fig. 11. Test board of dual-band GaN HEMT Doherty amplifier.

Fig. 14. Measured results of dual-band GaN HEMT Doherty amplifier without linearization at 2.655 GHz.

Fig. 12. Measured results for small-signal

- and

-parameters.

Fig. 15. Measurements of ACLR with and without DPD for LTE signal.

Fig. 13. Measured results of dual-band GaN HEMT Doherty amplifier without linearization at 2.14 GHz.

Fig. 5 shows the simulation results for the small-signal -parameters versus frequency demonstrating the in-band return loss for a broadband Class-E power amplifier. As a result, an output power of more than 41 dBm with a power gain of around 10 dB was simulated for an input power of 31 dBm, as shown in Fig. 6(a). In this case, drain efficiency over 73% and PAE over 67% were achieved across the required frequency range from 1.7 to 2.7 GHz, as shown in Fig. 6(b). Previously, drain efficiency greater than 60% was achieved between 1.8 and 2.3 GHz with a 45-W GaN HEMT Cree CGH40045F

device using a distributed second-harmonic termination with short-circuit stubs [13]. V. SIMULATION Fig. 7 shows the simulated circuit schematic of a parallel GaN HEMT Doherty configuration, where the carrier and peaking amplifiers are based on broadband transmission-line Class-E power amplifiers, each having an idealized circuit structure shown in Fig. 4. The input matching circuits and output load network are based on microstrip lines with their parameters corresponding to a 20-mil RO4360 substrate. Special care was taken for modeling of the device input and output package leads to account for finite values of their inductances. The ideal 90 hybrid coupler is used at the Doherty amplifier input to split signals between the carrier and peaking amplifying paths,

3220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I PERFORMANCE SUMMARY OF BROADBAND/MULTIBAND DOHERTY AMPLIFIERS

which also provides a 90 phase shift at the input of the carrier amplifier path required for a parallel Doherty power amplifier. Offset lines of equal electrical lengths are used at the output of the carrier and peaking amplifiers. The electrical lengths of both offset and combining microstrip lines were optimized to maximize efficiency at saturated and backoff output power levels by providing high-impedance condition seen by the carrier amplifier when the peaking amplifier is turned off. Fig. 8 shows the simulation results for the small-signal - and -parameters versus frequency demonstrating the wide bandwidth capability of a parallel transmission-line GaN HEMT Doherty power amplifier covering a frequency range from 2.0 to 2.8 GHz with a power gain over 10 dB. In this case, an input return loss defined from the magnitude of is less than 5 dB over the frequency bandwidth of 2.1–2.9 GHz. Fig. 9 demonstrates the broadband capability of a parallel Doherty structure, where the carrier and peaking amplifiers are based on a broadband transmission-line reactance compensation Class-E technique. In an amplifier saturation mode with an input power of 36 dBm, a drain efficiency of around 70% with an average output power of more than 43 dBm and a gain variation of about 1 dB was simulated across the frequency range of 2.0–2.8 GHz, as shown in Fig. 9(a). At the same time, high drain efficiencies over 50% at backoff output powers of 5–6 dB from saturation can potentially be achieved across the frequency range of 2.1–2.7 GHz, as shown in Fig. 9(b). This means that the practical implementation of a parallel Doherty power amplifier, the simulation setup of which is shown in Fig. 7, can provide a highly efficient operation in two cellular bands of 2.11–2.17 and 2.62–2.69 GHz without any tuning of the amplifier load-network parameters, either with separate or simultaneous dual-band transmission of WCDMA or LTE signals.

The large-signal simulations versus input power have been done at two center bandwidth frequencies of 2.14 and 2.655 GHz with optimized circuit parameters to achieve maximum performance. Fig. 10 shows the simulated large-signal power gain and drain efficiencies of a dual-band transmission-line GaN HEMT parallel Doherty amplifier based on a 20-mil RO4360 substrate with the carrier gate bias V, peaking gate bias V, and dc supply voltage V. In this case, a linear power gain of about 11 dB was achieved at an operating frequency of 2.655 GHz, as shown in Fig. 10(b), whereas a slightly higher linear power gain of about 12 dB was achieved at lower operating frequency of 2.14 GHz, as shown in Fig. 10(a). In a large-signal operation mode, high drain efficiencies of 64% and 53% were simulated at backoff output powers of 39 dBm ( 4-dB backoff) and 37 dBm ( 6-dB backoff), respectively, at both center bandwidth frequencies. Here, a peak efficiency point near 4-dB backoff output power at high bandwidth frequency is clearly seen, while high efficiency maintains almost constant at high output powers at low bandwidth frequency. VI. IMPLEMENTATION The dual-band transmission-line GaN HEMT Doherty power amplifier was fabricated on a 20-mil RO4360 substrate. An input splitter represents a broadband coupled-line coupler from Anaren, model 11306-3, which provides a maximum phase balance 5 and amplitude balance 0.55 dB across the frequency range of 2–4 GHz. Fig. 11 shows the test board of a dual-band parallel two-stage Doherty power amplifier based on two 10-W Cree GaN HEMT power transistors CGH40010P in metal–ceramic pill packages. The input matching circuit, output load network, and gate and

GREBENNIKOV AND WONG: DUAL-BAND PARALLEL DOHERTY POWER AMPLIFIER FOR WIRELESS APPLICATIONS

drain bias circuits (having bypass capacitors on their ends) are fully based on microstrip lines of different electrical lengths and characteristic impedances according to the simulation setup shown in Fig. 7. Special care should be taken in order to minimize the input and output lead inductances of the packaged GaN HEMT device, which can significantly affect the power-amplifier performance such as power gain, output power, and efficiency. VII. MEASUREMENTS Fig. 12 shows the measured small-signal and parameters across the frequency range of 1.8–3.0 GHz, where the magnitude of varies from 4.8 to 13.2 dB and the magnitude of varies between 13 and 15 dB for equal gate bias voltages for carrier and peaking amplifiers, providing a total quiescent current of 200 mA. Here, the simulated small-signal -parameters with increasing values at lower bandwidth frequencies because of an ideal 90 input coupler used in the simulation setup are also shown. Significant variations of the measured -parameters can be explained by a sufficiently high amplitude imbalance of the broadband coupler and some nonidentity of the peaking and carrier amplifying paths including devices gate lead inductances. For a single-carrier 5-MHz WCDMA signal with a peak-toaverage ratio (PAR) of 6.5 dB, a drain efficiency of 45% with a power gain of about 10 dB and lower than 30 dBc at 2.14 GHz, as shown in Fig. 13, and a drain efficiency of 40% with a power gain of about 11 dB and around 30 dBc at 2.655 GHz, as shown in Fig. 14, were achieved at an average output power of 39 dBm. In both cases, optimization of the gate bias voltages for carrier (Class-AB mode) and peaking (Class-C mode) amplifiers were provided. As an example of linearization capability, Fig. 15 shows the results of applying an Optichron DPD linearizer to a dual-band transmission-line GaN HEMT Doherty power amplifier with a single-carrier 10-MHz LTE signal having a PAR of 7.6 dB at a center bandwidth frequency of 2.14 GHz, resulting in a corrected output power of 38.6 dBm with a drain efficiency of 45%, of 55 dBc, and of 57 dBc for optimized gate bias voltages applied to the carrier and peaking amplifiers. A comparison Table I shows the performance summary of various practical broadband/multiband Doherty power amplifiers implemented in LDMOSFET or GaN HEMT technologies and using symmetrical or asymmetric Doherty configurations with similar or close output powers. VIII. CONCLUSION A novel dual-band transmission-line parallel Doherty amplifier architecture for active antenna arrays and base-station applications in next-generation communication systems is presented. The carrier and peaking amplifiers using GaN HEMT Cree CGH40010P devices are designed based on the reactance compensation technique to provide optimum Class-E impedance seen by the device output at the fundamental frequency across the wide frequency range achieving the drain efficiencies over 73% across the frequency range from 1.7 to 2.7 GHz. In a single-carrier WCDMA operation mode with

3221

a PAR of 6.5 dB, high drain efficiencies of 40%–45% can be achieved at an average output power of 39 dBm with an of about 30 dBc at center bandwidth frequencies of 2.14 and 2.655 GHz. REFERENCES [1] K. Bathich, A. Z. Markos, and G. Boeck, “A wideband GaN Doherty amplifier with 35% fractional bandwidth,” in Proc. 40th Eur. Microw. Conf., 2010, pp. 1006–1009. [2] K. Bathich, D. Gruner, and G. Boeck, “Analysis and design of dualband GaN HEMT based Doherty amplifier,” in Proc. 6th Eur. Microw. Integr. Circuits Conf., 2011, pp. 248–251. [3] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Tech., vol. MTT-60, no. 1, pp. 99–111, Jan. 2012. [4] H. Zhang and K. J. Chen, “A stub tapped branch-line coupler for dualband operations,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 106–108, Feb. 2007. [5] W. Chen, S. A. Bassam, X. Li, Y. Liu, K. Rawat, M. Helaoui, F. M. Ghannouchi, and Z. Feng, “Design and linearization of concurrent dual-band Doherty power amplifier with frequency-dependent power ranges,” IEEE Trans. Microw. Theory Tech., vol. MTT-59, no. 10, pp. 2537–2546, Oct. 2011. [6] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. MTT-51, no. 4, pp. 1157–1161, Apr. 2003. [7] J. K. A. Everard and A. J. King, “Broadband power efficient Class E amplifiers with a non-linear CAD model of the active MOS device,” J. Inst. Electron. Radio Eng., vol. 57, pp. 52–58, Mar. 1987. [8] H. Xu, S. Gao, S. Heikman, S. I. Long, U. K. Mishra, and R. A. York, “A high-efficiency Class-E GaN HEMT power amplifier at 1.9 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 22–24, Jan. 2006. [9] A. Grebennikov, RF and Microwave Power Amplifier Design. New York: McGraw-Hill, 2004. [10] M. P. van der Heijden, M. Acar, and J. S. Vromans, “A compact 12-watt high-efficiency 2.1–2.7 GHz Class-E GaN HEMT power amplifier for base stations,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 657–660. [11] Y.-F. Wu, R. A. York, S. Keller, B. P. Keller, and U. K. Mishra, “3–9-GHz GaN-based microwave power amplifiers with L–C–R broadband matching,” IEEE Microw. Guided Wave Lett., vol. 9, no. 8, pp. 314–316, Aug. 1999. [12] K. Krishnamurthy, D. Green, R. Vetury, M. Poulton, and J. Martin, “0.5–2.5 GHz, 10 W MMIC power amplifier in GaN HEMT technology,” in IEEE Compound Semiconduct. Integr. Circuits Symp. Dig., 2009, pp. 1–4. [13] J. Kim, F. Mkadem, and S. Boumaiza, “A high efficiency and multiband/multi-mode power amplifier using a distributed second harmonic termination,” in Proc. 40th Eur. Microw. Conf., 2010, pp. 1662–1665. [14] J. H. Qureshi, N. li, W. C. E. Neo, F. van Rijs, I. Blednov, and L. C. N. de Vreede, “A wideband 20 W LDMOS Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 1504–1507. [15] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-59, no. 4, pp. 934–944, Apr. 2011.

Andrei Grebennikov (M’99–SM’04) received the Dipl. Ing. degree in radio electronics from the Moscow Institute of Physics and Technology, Moscow, Russia, in 1980, and the Ph.D. degree in radio engineering from the Moscow Technical University of Communications and Informatics, Moscow, Russia, in 1991. He amassed his long-term academic and industrial experience working with the Moscow Technical University of Communications and Informatics, Moscow, Russia, the Institute of Microelectronics, Singapore, M/A-COM, Cork, Ireland, Infineon Technologies, Munich, Germany, and Linz, Austria, and Bell Laboratories, Alcatel-Lucent, Dublin, Ireland, as an Engineer, Researcher, Lecturer, and Educator. He has lectured as

3222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

a Guest Professor with the University of Linz, Linz, Austria. He has authored or coauthored over 80 papers. He authored five books dedicated to RF and microwave circuit design. He holds 20 European and U.S. patents. Dr. Grebennikov has presented short courses and tutorials as an invited speaker at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), European and Asia–Pacific Microwave Conferences, the Institute of Microelectronics, Singapore, Motorola Design Centre, Penang, Malaysia, the Tomsk State University of Control Systems and Radioelectronics, Tomsk, Russia, and Aachen Technical University, Aachen, Germany.

James Wong (M’99) received the B.Eng. degree (with honors) in electrical and electronics engineering and Ph.D. degree in engineering from the University of Surrey, Surrey, U.K., in 1999 and 2003, respectively. He has previously worked within the telecommunications industry (Nokia Networks, Filtronic PLC, Nujira Ltd, Astrium EADS), during which time he has been involved on various amplifier designs and architectures. He is currently with Alcatel-Lucent Telecom, Swindon, U.K., as their RF Power Amplifier Specialist, leading their global Advance Technologies Power Amplifier Team. He holds several European patents with several patent applications pending. His current research and industrial activity covers advanced amplifier architectures and linearization techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3223

Analysis and Design of a Stacked Power Amplifier With Very High Bandwidth David Fritsche, Robert Wolf, and Frank Ellinger, Senior Member, IEEE

Abstract—In order to simplify and optimize the design process of stacked amplifiers, this paper presents a novel analytical method to dimension the input network for ideal output behavior. To verify this new structural design process, a fully integrated stacked power amplifier (PA) in 0.25-μm SiGe BiCMOS technology is proposed. The stacked architecture enables broadband matching networks, therefore the designed PA reaches a very high bandwidth of 800 MHz around 2 GHz. At 2 GHz, the small-signal gain is 23.8 dB. The output power in the 1-dB compression point and the saturated output power are 26.2 and 27.3 dBm, leading to a power-added efficiency (PAE) of 34% and 40%, respectively. Using a long-term evolution (LTE) modulated input signal without any predistortion, the amplifier reaches an average output power of 21 dBm and a PAE of 12%, fulfilling the LTE specifications in terms of adjacent channel leakage ratio and error vector magnitude. Index Terms—HBT, high voltage/high power (HiVP), long-term evolution (LTE), SiGe BiCMOS, stacked power amplifier (PA), voltage doubler.

I. INTRODUCTION

T

HE CONTINUOUS scaling in modern semiconductor technologies leads to a continuous decrease of the breakdown voltages of the devices. Therefore, the achievable output power of conventional power amplifier (PA) architectures, where a single device provides the output voltage swing, also decreases more and more. To overcome the low breakdown voltage, it is possible to stack several devices. In case of optimal tuning at the input of the stacked devices, it is theoretically possible to obtain a multiple higher output voltage swing. For an unchanged output current swing, the output power and the optimal load impedance are multiple times higher, allowing a high output power, as well as a broadband behavior. The idea of transistor-stacking is not new. Already in 1985, Ezzeddine et al. presented an amplifier with stacked transistors [1]. In this circuit, the transistors are stacked only for dc and not for ac allowing an operation under supply voltages higher than the breakdown voltage of a single device. However, the ac-voltage swing of each stage remains the same. Thus, their Manuscript received January 23, 2012; revised June 19, 2012; accepted July 02, 2012. Date of publication August 16, 2012; date of current version September 27, 2012. This work was supported by the CoolBaseStations Project (part of the Leading-Edge Cluster “Cool Silicon”), sponsored by the Federal Ministry of Education and Research (BMBF) within the scope of its Leading-Edge Cluster Competition. The authors are with the Chair for Circuit Design and Network Theory, Dresden University of Technology, 01062 Dresden, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209439

inputs and outputs have to be connected to a power divider and power combiner, respectively. Further investigations followed [2], [3]. In 1992, the first amplifier stacked transistors for dc and ac was presented by Shifrin et al. [4]. By directly connecting the stacked devices, the output power of the stacked devices can be combined without needing additional elements. The required voltage swing at the inputs of the stacked devices is enabled by capacitors. In 2003, Ezzeddine et al. proposed a circuit also based on this structure [5]. In the following years, many research groups presented circuits with stacked transistors based on this structure. A different approach for the implementation of the input network was presented in [6] and [7], where the tuning of the stacked devices is done with transformers. Two other appreciable publications are [8] and [9], where the compensation of output capacitances is investigated. In [9], this is done with parallel inductors, and in [8], with feedback capacitors between the source and drain of the stacked transistors, using the positive voltage gain and the Miller effect of the stacked transistors. The amplifier presented in this paper consists of a cascode stage with an additional stacked transistor. By doubling the output voltage for the same output power, the output current can be halved and a very high bandwidth of 800 MHz at 2 GHz is reached, allowing an operation in multiple frequency bands. To meet the stringent requirements of the long-term evolution (LTE) standard, the circuit is designed for high linearity. In contrast to most other reported stacked amplifiers, the proposed amplifier is fully integrated, and thus usable without extra off-chip components. For the most important step in the design process, the dimensioning of the optimal input network that drives the stacked transistors, a novel analytical method is presented. Based on small-signal simulations, the optimal values of all input elements can be calculated independently of the specific implementation. This paper is organized as follows. In Section II, the concept of transistor stacking is introduced. The design process with the novel method to calculate the input network is explained in Section III. In Section IV, the designed circuit is presented. Section V is about the measurement results and the comparison with the state-of-the-art. A short conclusion is given in Section VI. II. CONCEPT OF TRANSISTOR STACKING The performance and the efficiency of a PA have a huge influence on the performance and the efficiency of the whole wireless communication system. Therefore, designing a PA is a challenging task. The most important design goals are preferably high output power and efficiency. To obtain this goal, the system

0018-9480/$31.00 © 2012 IEEE

3224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I COMPARISON OF SINGLE, PARALLEL, AND STACKED CONFIGURATION

Fig. 1. Amplifier with: (a) one device, (b) devices.

parallel devices, and (c)

stacked

impedance of 50 at the output is transformed to the optimal load impedance that enables the maximum output power. This optimum load impedance allows the maximum voltage swing, as well as the maximum current swing at the output of the PA, and thus maximum output power and maximum efficiency. For a better understanding, the following investigations assume that voltage and current at the output of the transistor field are equal to the voltage and current at the output of the circuit, respectively. Thus, the optimal load is directly connected to the output of the amplifier. In conventional architectures, the output voltage swing is enabled by a single transistor, as shown in Fig. 1(a). Thus, the maximum possible voltage swing across this transistor is exactly the maximum possible output voltage swing. For this reason, for a given operating-point current, the low breakdown voltage limits the maximum output power. To obtain higher output power, a typical approach in conventional architectures is to increase the number of parallel devices. This is shown in Fig. 1(b). By doing that, the output current swing and thus the output power are increased by a factor of . However, the increase of output power by placing many parallel devices is limited since the optimal load impedance has to be reduced accordingly. For high output power, the optimal load impedance gets very low, which leads to significant losses in the matching network and to low bandwidth. One possibility to exceed this limit is the stacking of devices, as shown in Fig. 1(c). By tuning the inputs of the stacked devices in a suitable way, it is theoretically possible to obtain collector–emitter voltages that are equal in amplitude, as well as in phase. Compared to a single transistor, this leads to an times higher output voltage, and thus for a given operating-point

current to an times higher output power. As a positive side effect, the optimum load impedance is increased by factor , providing a basis for a broadband behavior and low matching losses. Table I summarizes the comparison of the three circuits, whereby and stand for the amplitudes of voltage and current at maximum excitation, respectively. Ideally, the efficiencies of all circuits are equal. Nevertheless, for a given output power, the stacked topology needs only times the current compared to a conventional circuit, leading to less losses in the dc supply path. Furthermore, the increase of the optimal load impedance by factor for a given output power leads typically to much lower matching losses. By stacking devices of parallel transistors, it is possible to combine the advantages of both approaches. The maximum output power and the optimum load impedance are then determined by

The product is proportional to . The ratio can be chosen in such a way that is close to 50 . By a proper choice of and , it is therefore possible to achieve a high output power, as well as a very broadband output matching network. As shown in this section, transistor stacking is a promising way to increase the output power and bandwidth of PAs in modern semiconductor technologies since it is possible to obtain a high output voltage swing in spite of low breakdown voltages. Furthermore, the efficiency can be increased by means of lower current and thus lower losses. III. DESIGN PROCESS A. Selection of Architecture The first step of the design process is the selection of the amplifier architecture. The simplest way of stacking transistors to obtain a higher output voltage swing is shown in Fig. 2(a), where transistors are stacked on top of a common emitter stage. In case of optimal tuning of the input nodes, all collector–emitter voltages are equal in amplitude and phase, and thus contribute to an times higher output voltage. A second possibility is to stack transistors on top of the cascode stage. This is shown in Fig. 2(b). This architecture

FRITSCHE et al.: ANALYSIS AND DESIGN OF STACKED PA WITH VERY HIGH BANDWIDTH

3225

The third possibility is to stack cascode stages, as shown in Fig. 2(c). In this case, for adding collector–emitter voltages, stacked devices are needed. In this case, every stacked stage adds a dc-voltage drop, which leads to a significant decrease of the efficiency. Altogether, there are three possible architectures of stacking transistors to get a multiple output voltage. The highest efficiency can be reached with the architecture in Fig. 2(a) because all collector–emitter voltages contribute to the output voltage swing. Nevertheless, replacing the bottom transistor with a cascode stage has especially the advantage of an improved decoupling between input and output and higher stability. Which of these possibilities is the best choice has to be decided case-bycase. B. Choice of the DC Operating Point

Fig. 2. Amplifier with: (a) stacked single transistors (b) stacked transistors on top of a cascode, and (c) stacked cascode stages.

consists of overall devices. Nevertheless, only collector–emitter voltages contribute to the output voltage swing. This is because of the properties of the cascode stage, where the collector–emitter voltage of is nearly constant. The usage of a cascode has the benefit of a better decoupling of the bottom input from the output and thus easier input matching, improved stability and higher power gain. The drawback is a lower efficiency, especially for a low number of stacked devices, but since does not contribute to the output voltage swing, its collector–emitter voltage can be chosen close to the saturation voltage, reducing the influence on the efficiency.

To meet the stringent linearity requirements of the LTE standard, an ideally distortionless amplification of the input signal is desired. Most suitable for this is class-A or class-AB operation mode of the amplifier. Therefore, the collector–emitter voltage and the collector current of every device have to be adjusted for highest possible symmetrical voltage and current swing. To obtain high output power, the collector–emitter voltage of devices that contribute to the output voltage swing should be as high as possible. This enables, on the one hand, the highest possible output voltage swing, and thus output power, and on the other hand, the highest possible efficiency because the ratio of saturation voltage and operating point voltage gets as low as possible. The collector–emitter voltage of devices that do not contribute to the output voltage swing should be as low as possible in order not to decrease the efficiency more than necessary. Typically, a voltage of several hundred millivolts above the saturation voltage is enough. Selecting the collector current per device is a tradeoff between efficiency and bandwidth. A low collector current per transistor leads to low saturation voltage, and thus to a higher efficiency. Since the total collector current is given by the output power, a low collector current per device results in a high number of parallel devices. Simulations showed that a higher number of parallel devices reduces the input impedance, and thus the bandwidth of the matching networks. In the used technology, a collector current between 0.25 and 0.5 mA per device is a good tradeoff. Independent of the selected architecture, the voltage at the base of the bottom transistor mainly determines the collector current through all stacked devices. Due to the high sensitivity of the collector current to the baser–emitter voltage, the collector current should be adjusted by a current mirror. By tuning the other bias voltages, it is possible to adjust each collector–emitter voltage in the operating point. C. Determining the Optimal Input Network The most important step in the design process of a PA with stacked transistors is the design of the input network. The input network has the task to tune the stacked transistors in such a way that their collector–emitter voltages are ideally equal in amplitude and phase.

3226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Inverting the matrix for desired output voltages the required input voltages can be calculated .. .

Fig. 3. Circuit with stacked amplifiers with ideal voltage sources at the inputs and matched output.

To find a suited input network and to dimension it, it is necessary to further investigate the circuit. As shown in Fig. 3, the circuit can be represented by a multiport network. The inputs of the multiport network are the inputs of the stacked amplifiers, where a stacked amplifier is either a single transistor or a cascode stage. The outputs of the multiport network are connected to the outputs of the stacked amplifiers. The output is connected to an output matching network, which transforms the 50- system impedance to . To investigate the circuit in the desired operating point, the correct bias voltages have to be applied. The first step is to find the dependencies between the output voltages and the input voltages with small signal simulations. For this purpose, only one input voltage source is activated and there influence on the output voltages is determined. After simulations, it is possible to arrange the transfer functions as a complex matrix, shown at the bottom of this page. With this matrix it is easily possible to calculate the output voltages to for any input voltages to .. .

.. .

Since the goal of an amplifier with stacked transistors are output voltages that are equal in phase, the complex output voltages can be replaced by their real amplitudes .. .

.. .

By applying the optimal input voltages to by the ideal voltage sources to the circuit, the desired in-phase output voltages with the maximum amplitude can be obtained. Equivalent to , another matrix can be determined that contains the dependencies between the input voltages and the input currents, shown in the equation at the bottom of this page. With the optimal input voltages, it is possible to calculate the flowing input currents under the optimal output conditions

.. .

.. .

.. .

.. .

.. .

.. .

..

..

.

.. .

.

.. .

.. .

.. .

FRITSCHE et al.: ANALYSIS AND DESIGN OF STACKED PA WITH VERY HIGH BANDWIDTH

3227

Knowing the input currents, it is possible to analyze the input behavior of the circuit. For that purpose, the input impedances are

Typically, these input impedances under optimal input and output conditions are very different between the input and the inputs to . • The real part of is positive and the imaginary part negative. The magnitudes of the real and imaginary parts are in the same order. This means that the circuit consumes real power and that the reactive power is in the same order. • The input impedances to are, in contrast to , very inductive. This means, that the reactive power is multiple times higher than the consumed or delivered real power. Whether real power is consumed or delivered depends on the concrete circuit. The next step is to find a suitable input network that replaces the ideal voltage sources by implementable elements without affecting the input and output behavior of the circuit. To provide real power to the input , has to be matched by an input matching network to a 50- source. The dimensioning of a matching network is a common problem, and thus not further described. However, at the inputs to , the reactive power is much higher than the consumed or delivered real power. Therefore, it is sufficient to compensate the reactive power by a capacitor and not to connect these inputs to a 50- source. The size of the capacitor can be calculated with the real and imaginary part of the input impedances of

If the circuit delivers real power at these inputs in the optimal case , it is possible to consume the delivered real power by a parallel resistor

In this case, the ideal behavior can be reached. The resulting circuit is shown in Fig. 4. If the circuit consumes real power in the ideal case that cannot be provided with this practical network, there is a difference to the ideal behavior. Typically, this difference is very low because of the much higher reactive power compared to the real power. Finally, the inputs to can be implemented by passive networks so that only has to be driven by a source. It is also possible to choose the inputs in Fig. 3 in a different way, which leads to different network implementations with equivalent behavior. For example, it is possible to connect the ideal voltage sources not between the input and ground, but between the inputs and an additional common node, which leads to a network as in [10].

Fig. 4. Circuit with stacked amplifiers with practical input network.

Fig. 5. Circuit with: (a) parasitic capacitances at the output and (b) compensation of parasitic capacitances by additional inductors.

D. Compensating Parasitic Output Capacitances At high frequencies, the parasitic capacitances at the output have to be considered. Simplified, the parasitic capacitances can be combined as shown in Fig. 5(a) to an equivalent capacitance between the outputs of the stacked amplifiers and ground. These parasitic capacitances lead to the circumstance that every stacked amplifier sees a complex load impedance instead of a purely resistive impedance. Due to that, voltage and current at the intrinsic transistor get out of phase, leading to a reduced output power and efficiency. To avoid this, the parasitic capacitances should be compensated in a suited way. The capacitance can be compensated by the inductor that is needed anyway for biasing and perhaps for output matching. However, in conventional architectures, it is not possible to compensate the remaining capacitances to . Nevertheless, a compensation of these capacitances can be very advantageous. For these reasons, dc-decoupled inductors to are added. This is shown in Fig. 5(b) and also proposed in [9]. In differential circuits, the dc-decoupling capacitors can be circumvented. The additional inductors can offer a much higher output power and efficiency, provided that they have a high quality factor. Otherwise, the resistive losses dominate, leading to an evanescent effect of the compensation of the parasitic capacitances. An alternative possibility is described in [8], where between the inputs and outputs of the stacked amplifiers additional capacitors are applied. Due to the positive voltage gain of the stacked amplifiers and the Miller effect, the previous stage sees a negative capacitance. In an optimal case, this negative load capacitance compensates the output capacitance of the previous stage. The advantage of this concept is that capacitors are much smaller than inductors. On the other hand, the disadvantage is

3228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

that the added capacitance has an inductive behavior only for the previous stage. With other words, the added capacitance increases the output capacitance of the current stage. Thus, the next stage has to compensate a higher capacitance. This circumstance leads to a decrease of the bandwidth. Due to the desired high bandwidth, the approach with true inductors was chosen. IV. DESIGNED CIRCUIT The circuit in Fig. 6 was designed based on the described design process and fabricated in 0.25-μm BiCMOS IHP SGB25V technology. The used transistors provide a maximum transit frequency of 50 GHz and a maximum frequency of oscillation of 90 GHz. A pseudo-differential structure was chosen to reduce the effect of parasitic elements. For stability reasons, the circuit of Fig. 2(b) with a cascode stage as a bottom stage is used, having the advantage of a better isolation between input and output compared to a common emitter stage. On top of a cascode stage, one additional transistor is stacked, leading to overall three stacked transistors. Two transistors contribute to the output voltage swing. Thus, the circuit can be called an output voltage doubler. The operating points and the tuning ranges of the stacked transistors are given in Table II. The collector–emitter voltage of is 0.7 V, and therefore slightly above the saturation voltage. The collector–emitter voltages of and are 3.5 V to allow a high voltage swing. To decrease their saturation voltage, the number of parallel devices of the transistors and is doubled compared to . The total collector current is 60 mA in the operating point and 80 mA in a 1-dB compression point. This behavior can be achieved by proper choice of the two resistors (10 and 200 ) of the current mirror. A deeper operation in class-AB would be disadvantageous regarding the stringent linearity requirements of the LTE standard. Assuming an ideal voltage doubler behavior, the optimal load impedance is determined by

Fig. 6. Final circuit schematic. TABLE II OPERATING POINT AND TUNING RANGE OF THE STACKED TRANSISTORS

V mA The output matching network transforms the 50- system impedance to 80 and compensates parasitic capacitances at the collector nodes of the transistors . Taking the losses in the inductors into account, it consists of a differential inductor nH and two capacitors pF. To compensate the parasitic capacitance between the cascode stage and the additional stacked transistor, an inductor nH is added. For this configuration, the optimal input network can be determined as described in Section III. The bases of the bottom transistors are matched to 50 by an input matching network of nH and pF. To increase the bandwidth, the series 15- resistors are added. The 10resistor, which is needed for biasing, contributes to resistive matching and thus also higher bandwidth. The bases of the top transistor are connected to a 260-fF capacitor and a 2-k resistor, respectively. The capacitor compensates the reactive

Fig. 7. Transient simulation results.

power. The resistor consumes the delivered real power and is used for biasing.

FRITSCHE et al.: ANALYSIS AND DESIGN OF STACKED PA WITH VERY HIGH BANDWIDTH

3229

Fig. 11. Linearity measurement results.

Fig. 8. Photograph of the fabricated chip.

Fig. 12. QAM-16 constellation diagram for 3.5-dB back-off.

Fig. 9. Small-signal measurement and simulation results.

below the 1-dB compression point. As intended, the deviations of amplitude and phase between the collector–emitter voltages of and and between the intrinsic collector currents of , , and are very low. A chip photograph is shown in Fig. 8. The chip has a total size of 1 mm 1 mm. V. MEASUREMENT RESULTS A. Continuous-Wave Measurements

Fig. 10. Large-signal measurement and simulation results.

To prove the validity of the small-signal simulation-based design process for large-signal excitation, Fig. 7 depicts the simulated collector–emitter voltages and intrinsic collector currents of the three stacked transistors for a power level of 2 dB

The manufactured circuit was measured on-wafer with the network analyzer Rohde & Schwarz ZVA67. The small-signal behavior is shown in Fig. 9. is below 15 dB from 1.7 to 2.5 GHz. The small-signal gain has a maximum value of 23.8 dB at 2.0 GHz. In the range from 1.7 to 2.5 GHz, drops off less than 3 dB from its maximum value. Referring to the behavior of and , the bandwidth of the circuit is about 800 MHz. In the whole measured range from 1 to 3 GHz is less than 0 dB and is less than 60 dB. The circuit is unconditionally stable for differential- and common-mode excitation. Output power , power gain , and power-added efficiency (PAE) at 2.0 GHz are plotted versus input power in Fig. 10. The 1-dB compression point is at dBm and dBm. The PAE in this point is 34%. The

3230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE III COMPARISON WITH STATE-OF-THE-ART

output power under saturation conditions is about 27.3 dBm. The PAE is in that case about 40%. B. LTE Measurements With the vector signal generator Rohde & Schwarz SMBV100A and the vector signal analyzer Rohde & Schwarz FSV7, it was possible to measure the behavior of the circuit with an LTE input signal. No predistortion has been applied. For a center frequency of 2 GHz and channel bandwidth of 20 MHz, the adjacent channel leakage ratio (ACLR), error vector magnitude (EVM), and PAE are plotted versus average back-off output power in Fig. 11. The limit of the ACLR in the LTE specification for user equipment is 30 dB. is below 30 dB for the output power range more than 5.2 dB in back-off and is below 30 dB for the complete back-off range. The limits for EVM are 17.5% for quadrature phase-shift keying (QPSK), 12.5% for quadrature amplitude modulation 16 (QAM-16), and 8% for quadrature amplitude modulation 64 (QAM-64). These limits are met for more than 2.5-, 3.5-, and 5-dB back-off, respectively. Fig. 12 shows the constellation diagram for a QAM-16 modulated signal for 3.5-dB back-off. The maximum average output power to meet ACLR, as well as EVM specification of LTE is 20.8 dBm, leading to a PAE of 12%. Table III compares the presented measurement results with other presented designs of stacked amplifiers. Except for [7], where transformers are used to tune the stacked amplifiers, the voltage swing at the inputs of the upper stacked devices is enabled by capacitors. Only [7] and [11] are fully integrated designs. In the other designs, at least the output matching networks are off-chip. Since off-chip elements have typically a much higher quality factor, a fair comparison of the efficiency is only possible with [7] and [11]. Compared to other designs, the small-signal gain of 23.8 dB is very high. The linearity of the circuit is also very high, as one can see in the low difference between the saturated output power (27.3 dBm) and the output power in the 1-dB compression point (26.2 dBm). The output power in the 1-dB compression point is comparable to most of the other designs. The PAE in the 1-dB compression point is 34%. This is much higher than

the efficiency of the other fully integrated circuits. The total chip size is very small, taking into account that no additional off-chip elements are needed. For bandwidth comparison, the 3-dB bandwidth of and the range of dB was considered. Normalized to the operation frequency, the presented circuit has a very high bandwidth of 40%, which is only exceeded by [11]. This is mainly caused by the optimal load impedance close to 50 and the reduced operating point current and transistor widths, showing impressively the potential of stacked PAs. VI. CONCLUSION In this paper, the design process of stacked amplifiers is described and optimized. A novel way to analytically dimension the input network is proposed that allows calculating the values of all needed elements. Based on this, a fully integrated circuit is presented showing a very high bandwidth, high gain, high efficiency, and small chip size, especially compared to the other fully integrated circuits. REFERENCES [1] A. Ezzeddine, H. L. A. Hung, and H. C. Huang, “High-voltage FET amplifiers for satellite and phased-array applications,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1985, pp. 336–339. [2] K. E. Peterson, H. L. Hung, F. R. Phelleps, T. F. Noble, and H. C. Huang, “Monolithic high-voltage FET power amplifiers,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1985, vol. 943, pp. 945–948. [3] K. E. Peterson, H. L. A. Hung, F. R. Phelleps, E. Y. Chang, J. L. Singer, H. E. Carlson, and A. B. Cornfield, “30-V MMIC power amplifier with novel bias circuitry,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jul. 1991, vol. 822, pp. 823–826. [4] M. Shifrin, Y. Ayasli, and P. Katzin, “A new power amplifier topology with series biasing and power combining of transistors,” in Proc. IEEE Microw. Millim.-Wave Monolithic Circuits Symp., Jun. 1992, pp. 39–41. [5] A. K. Ezzeddine and H. C. Huang, “The high voltage/high power FET (HiVP),” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2003, pp. 215–218. [6] J. G. McRory, G. G. Rabjohn, and R. H. Johnston, “Transformer coupled stacked FET power amplifiers,” IEEE J. Solid-State Circuits, vol. 34, no. 2, pp. 157–161, Feb. 1999. [7] M.-F. Lei, Z.-M. Tsai, K.-Y. Lin, and H. Wang, “Design and analysis of stacked power amplifier in series-input and series-output configuration,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2802–2812, Dec. 2007.

FRITSCHE et al.: ANALYSIS AND DESIGN OF STACKED PA WITH VERY HIGH BANDWIDTH

[8] A. K. Ezzeddine, H. C. Huang, and J. L. Singer, “UHiFET—A new high-frequency high-voltage device,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [9] M. Fathi, D. K. Su, and B. A. Wooley, “A stacked 6.5-GHz 29.6-dBm power amplifier in standard 65-nm CMOS,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2010, pp. 1–4. [10] Y. Luque, N. Deltimple, E. Kerherve, and D. Belot, “A 65 nm CMOS fully integrated 31.5 dBm triple SFDS power amplifier dedicated to W-CDMA application,” in Proc. IEEE Int. Electron., Circuits, Syst. Conf., Dec. 2010, pp. 595–598. [11] C.-C. Shen, H.-Y. Chang, and G. D. Vendelin, “Comparison of enhancement- and depletion-mode triple stacked power amplifiers in 0.5 m AlGaAs/GaAs PHEMT technology,” in Proc. Eur. Microw. Integr. Circuits Conf., Sep. 2009, pp. 222–225. [12] J. Jeong, S. Pornpromlikit, P. M. Asbeck, and D. Kelly, “A 20 dBm linear RF power amplifier using stacked silicon-on-sapphire MOSFETs,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 684–686, Dec. 2006. [13] S. Leuschner, S. Pinarello, U. Hodel, J. E. Mueller, and H. Klar, “A 31-dBm, high ruggedness power amplifier in 65-nm standard CMOS with high-efficiency stacked-cascode stages,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2010, pp. 395–398. [14] L. Wu, I. Dettmann, and M. Berroth, “A 900-MHz 29.5-dBm 0.13- m CMOS HiVP power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2040–2045, Sep. 2008. [15] C.-C. Shen, F.-H. Huang, C.-K. Lin, H.-Y. Chang, Y.-J. Chan, and Y.-C. Wang, “A broadband stacked power amplifier using 2- m GaAs HBT process for -band applications,” in Proc. Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. [16] S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 57–64, Jan. 2010. [17] S. Leuschner, J. E. Mueller, and H. Klar, “A 1.8 GHz wideband stacked-cascode CMOS power amplifier for WCDMA applications in 65 nm standard CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2011, pp. 1–4.

3231

David Fritsche was born in Bautzen, Germany, in 1986. He received the Masters degree in electrical engineering from the Technische Universität Dresden (TUD), Dresden, Germany, in 2011, and is currently working toward the Ph.D. degree at TUD. His main research interest is the design of PAs and circuits for operation at sub-terahertz frequencies.

Robert Wolf was born in Karl-Marx-Stadt (now Chemnitz), Germany, in 1984. He received the Masters degree in electrical engineering from the Technische Universität Dresden (TUD), Dresden, Germany, in 2009, and is currently working toward the Ph.D. degree at TUD. His main research interests include system analysis and the design of integrated control systems for efficiency enhancement of RF PAs.

Frank Ellinger (S’97–M’01–SM’06) was born in Friedrichshafen, Germany, in 1972. He received the Diploma degree in electrical engineering from the University of Ulm, Ulm, Germany, in 1996, and the MBA and Ph.D. degree in electrical engineering and Habilitation degree in high-frequency circuit design from ETH Zürich (ETHZ), Zürich, Switzerland, in 2001 and 2004, respectively. Since August 2006, he has been a Full Professor and Head of the Chairfor Circuit Design and Network Theory, Technische Universität Dresden (TUD), Dresden, Germany. From 2001 to 2006, he was Head of the RF Integrated Circuit (RFIC) Design Group, Electronics Laboratory, ETHZ, and a Project Leader of the IBM/ETHZ Competence Center for Advanced Silicon Electronics hosted by IBM Research, Rüschlikon, Switzerland. He has been the Coordinator of the RESOLUTION, MIMAX, and FLEXIBILITY projects funded by the European Union. He has authored or coauthored over 170 refereed scientific papers. He authored the lecture book Radio Frequency Integrated Circuits and Technologies (Springer, 2008). Prof. Ellinger is an elected IEEE Microwave Theory and Techniques Society (MTT-S) Distinguished Microwave Lecturer (2009–2011). He was the recipient of several awards including the IEEE Outstanding Young Engineer Award, the ETH Medal, the Denzler Award, and a Young Ph.D. Award of ETHZ. He was a two-time recipient of the Rohde & Schwarz/Agilent/Gerotron EEEf-COM Innovation Award.

3232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A Broadband Injection-Locking Class-E Power Amplifier Chi-Hsien Lin and Hong-Yeh Chang, Member, IEEE Abstract—This paper presents a fully integrated two-stage injection-locking class-E power amplifier (PA) using a GaAs 0.5- m enhancement- and depletion-mode pseudomorphic high-electron mobility transistor (E/D-mode PHEMT) process. The injectionlocking concept is used in this design, and the PA works as an oscillator whose output voltage is tuned at the input frequency. The proposed PA achieves high power-added efficiency (PAE) and high power gain. An autonomous circuit is also employed for the stability analysis, and the design procedure is summarized for the circuit implementation. By employing this design technique, the proposed injection-locking class-E PA under continuous-wave signal achieves a peak PAE of 59% at an output power of 26.6 dBm from a 6-V dc supply voltage. With a Gaussian minimum-shift keying (GMSK) modulation input signal at 3.5 GHz, the measured maximum PAE is 57% at an output power of 26.7 dBm. The measured error vector magnitude is within 2.2% over all of the output power level, and the adjacent channel power ratio is better than 40 dBc. Under a 64-QAM modulation signal with class-AB operation, the proposed PA achieves a peak PAE of 55% with an output power of 27 dBm. Index Terms—Class-E power amplifier (PA), GaAs PHEMT, monolithic microwave integrated circuit (MMIC), RF/microwaves, stability analysis.

I. INTRODUCTION

D

EMAND for wireless communication systems has been growing in recent years. The power amplifier (PA) is a crucial component in a radio frequency (RF) transceiver to deliver an appropriate output power level for driving the antenna [1]. Since the PA has a significant dc power consumption, the efficiency is a very important specification. A high-efficiency PA is required for the wireless communication systems due to the limitation of the battery capacity. In general, the PA can be widely classified as a transconductance PA or a switching PA according to the behavior of the active device [2]. The transconductance PA includes class-A, -AB, -B, and -C, where the active device acts as a current source controlled by the input signal. The switching PA includes class-D, -E, and -F, where the active device operates as a switch to modulate the output voltage and current waveforms, and yield high efficiency. Moreover, the Manuscript received June 15, 2012; revised July 04, 2012; accepted July 05, 2012. Date of publication September 04, 2012; date of current version September 27, 2012. This work was supported in part by the National Science Council of Taiwan under Grant NSC 99-2221-E-008-097-MY3, Grant NSC 100-2221-E-008-118, and Grant NSC 101-2221-E-008-072-MY3, and by the Chip Implementation Center (CIC), Taiwan. The authors are with the Department of Electrical Engineering, National Central University, Taoyuan 32001, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209456

output power of the switching PA can be compared with or is higher than that of the class-A or AB with the same active device [3], [4]. Much recent research has been focused on the switching PAs and oscillators [4]–[13], especially the class-E PA, because of its design simplicity and high-efficiency characteristic [4]. For the class-E PA design, large active device sizes are typically chosen to provide sufficient output power level. Although the large device can reduce the turn-on resistance , the input and output capacitances increase, which increases the driving current. Moreover, since the active device is operated as a switch, the gate voltage is biased at the threshold voltage. Therefore, the stringent input driving requirement also becomes a critical issue for the class-E PA design. In previous studies, Tsai et al. [7] and many other authors [8]–[10] adopted a mode-locking technique to reduce the input driving power. The concept of the mode-locking technique was proposed in [7], and a 1.9-GHz 1-W class-E PA with a poweradded efficiency (PAE) of 48% has been demonstrated. Oh et al. [11] and Paek et al. [12] employed the class-E power oscillator and the injection-locking technique to substantially mitigate the required driving power. A small-signal equivalent circuit was proposed to predict the boundary condition for the oscillation [11], and an injection-locking class-E PA achieves an output power of 11 dBm with a drain efficiency of 49.3%. Although these techniques for reducing the input driving power have been investigated, the phenomena of these techniques in various input levels are still difficult to predict and estimate. In this paper, an autonomous circuit [14], [15] is employed to predict the injection-locking phenomena, and a systematic design procedure for the injection-locking class-E PA is developed. Through the stability analysis with the autonomous circuit, the design circuit can be easily estimated and simulated using commercial harmonic-balance (HB) software and make the design more efficient. Moreover, the analysis technique not only provides a fast way to increase the accuracy, but also reduces the analysis complexity, in comparison with the complex formulas in [11] and [15]. In addition, the component values of the topology and the injection-locking phenomena can be easily evaluated and optimized by the graphical representation of the admittance. The simulation of the injection-locking PA agrees with the measurement. Compared with the previous class-E PA design in [16], the gate inductance of the output stage in Fig. 1 is adjusted using the autonomous circuit to achieve the startup oscillation condition with the low input power level. The proposed PA under continuous-wave (CW) signal achieves a power gain of 29.3 dB, a PAE of 55%, and a saturated output power of 27.7 dBm. Also, the proposed PA with Gaussian minimum-shift keying (GMSK) and 64-QAM modulation signals still demonstrates high efficiency and good modulation quality.

0018-9480/$31.00 © 2012 IEEE

LIN AND CHANG: BROADBAND INJECTION-LOCKING CLASS-E POWER AMPLIFIER

3233

Fig. 1. Basic circuit of the class-E PA.

The PA has been successfully developed using the proposed design methodology, and it is suitable for the various digital modulation signals due to its superior performance. This paper is organized as follows. In Section II, the fundamental concepts of the conventional and the injection-locking class-E PAs are presented. The stability analysis for the oscillation condition is also discussed, and a systematic design procedure for the injection-locking PA is developed. In Section III, the design and implementation of the proposed injection-locking class-E PA is described. The experimental results and discussions are presented in Section IV. Conclusion is provided in Section V.

Fig. 2. Simulated waveform of the normalized switch voltage and current.

II. INJECTION-LOCKING CLASS-E PA ANALYSIS A. The Class-E PA With Finite Inductance The basic circuit of the class-E PA is shown in Fig. 1, where the transistor is operated as a switch. When the switch is turned off, the voltage across the switch increases since the current flows through the shunt capacitance . When the switch is turned on, the voltage across the switch is close to zero, i.e., zero-voltage switching (ZVS) and zero-voltage derivative switching (ZVdS). The simulated waveforms of the normalized switch voltage and current are depicted in Fig. 2, which is simulated using an ideal switch and lossless matching network. It can be clearly seen that the nonzero switch voltage and current do not occur simultaneously. As a result, the class-E topology is known as soft-switching [5]. To achieve high efficiency, the voltage across the transistor should be minimized when the transistor is conducting current or minimizing the conduction current when a voltage exists across the transistor [17]. In Fig. 1, the RF choke (RFC) can be infinite or finite inductance. If an infinite inductance is chosen, the PA is close to the ideal class-E operation. However, a finite inductance achieves higher efficiency at high output power [18]. The phenomenon can be observed using the equation of the shunt capacitance as follows [5]:

(1) where is frequency, quality factor, and

is the load resistance, is the loaded is the RFC inductance. From (1), it

Fig. 3. Simulated normalized shunt capacitance. versus the RFC inductances . sistance

and normalized load re-

can be observed that the shunt capacitor and load resistance increase as the RFC inductance decreases. The simulated normalized shunt capacitance and normalversus the RFC inductance ized load resistance are plotted in Fig. 3, where the simulated results are normalized with respect to the maximum value. If the parallel inductance is smaller than 20 nH, the shunt capacitance rapidly increases. Therefore, the larger device size can be selected for smaller turn-on resistance due to the larger shunt . In addition, the efficiency can be improved capacitance because of the smaller turn-on resistance. The output matching network is usually designed as a low-pass network to match the fundamental impedance of the maximum RF output power. The power loss of the output match can be further reduced by increasing the load resistance . In general, a single-section inductance–capacitance (LC) matching network for impedance transformation as shown in Fig. 4 can be employed in the output matching network in Fig. 1, where , , and are the parasitic resistance of the shunt capacitance, the parasitic resistance of the series inductor, the terminal resistance (or system impedance), and and are the capacitance of the shunt capacitor and the inductance of the series inductor, respectively. The simple circuit model of the LC network can be used to analysis the power loss for the impedance transformation. The

3234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 5. Equivalent circuit of the class-E PA.

Fig. 4. Simulated power transfer efficiency versus at 3.5 GHz. inductances

with various series

parasitic resistance of the shunt capacitance can be ignored since the loss of the capacitor is very small. Assume the impedance-transformation network is operated at the impedance matching condition. The power transfer efficiency of the matching network is defined as the ratio between the input RF power and the RF output power to delivered to the load [19], i.e.,

The series-tuned network of the class-E network resonates at the fundamental frequency, and the output current becomes a sine wave through the series-tuned network. Since the series-tuned network resonates at the operated frequency, the excessive reactance serves to adjust the phase of the series network. Therefore, the high PAE can be obtained at the fundamental frequency. The excessive reactance can be positive (inductance), negative (capacitance), or zero depending on the class-E operation [20]. The detail design of the class-E load network can be found in [5] and [20]. To achieve high output power, the large device and powercombining technique are generally preferred in the PA design. This implies that a significant amount of input driving power is required. Therefore, the stringent input driving requirement becomes a critical problem. To mitigate these problems, the injection-locking technique is used in our PA design. B. Injection-Locking Class-E PA

(2) where (3) is plotted The simulated power transfer efficiency versus in Fig. 4 with various series inductances at 3.5 GHz. increases with . With a certain , increases as decreases. In general, a multisection LC network is used for a smaller load resistance , especially for high-power design with large gate periphery. However, a few inductors occupy large chip area and make layout more complex, thus resulting in lower quality factor. The drain efficiency of the class-E PA can be expressed as [18] (4) decreases. The drain efficiency increases as the ratio of Moreover, the drain efficiency can be enhanced by increasing the load resistance . Therefore, the proposed class-E PA is designed using a finite inductance of 5 nH with a higher load resistance to enhance the efficiency.

The concept of the injection-locking technique is similar to the mode-locking technique. The mode-locking technique makes the output of the PA tuned at the input signal frequency. Some class-E PAs [7]–[10] have been demonstrated utilizing the mode-locking technique to reduce the input driving power and achieve high power gain. However, this mode-locking technique is based on a cross-coupled structure and not suitable for the single-end topology. Although the differential output can be converted to single-ended output using a balun or transformer, the performance decreases due to the passive components. The concept of the injection-locking technique was adopted in a PA by Oh [11], and the injection-locking class-E PA achieves high PAE with low driving power. Oh’s approach is to use the small-signal equivalent circuit to predict the boundary condition of the oscillation, and the derivation of the oscillation condition can be obtained. The equivalent circuit of the class-E PA is shown in Fig. 5, where the series-tuned network resonates at the fundamental frequency. All the components are assumed to be lossless. The impedance at the input can be derived as follows: (5) where is the input impedance of the gate terminal and is expressed as (6) and

is (7)

LIN AND CHANG: BROADBAND INJECTION-LOCKING CLASS-E POWER AMPLIFIER

3235

Fig. 6. Block diagram of the auxiliary generator in an oscillator circuit.

Fig. 7. Simulated conductance and susceptance at the node quency with various input powers between 4 and 12 dBm.

versus fre-

To satisfy the startup oscillation condition at the gate terminal, the real part of must be negative. The negative resistance is used to compensate for the losses in the circuit. Note that, although the injection-locking class-E PA works like an oscillator, the class-E operation at the drain terminal is still satisfied. The startup oscillation condition can be easily obtained through the small-signal equivalent circuit. However, the phenomena of the injection-locking are difficult to predict and estimate in various input levels, as well as the estimation of the parasitic components in the large signal. Therefore, we adopt the autonomous circuit [14], [15] to analyze and simulate the oscillation condition in various input levels. In Section II-C, the autonomous circuit is employed in the stability analysis and to estimate the oscillation condition of the PA. C. Stability Analysis Based on the autonomous circuit, a systematic design procedure is developed for the simulation of the injection-locking class-E PA. The block diagram of autonomous circuit is shown in Fig. 6, which consists of a linear network, a nonlinear network, and an auxiliary generator (AG) with an ideal bandpass filter (BPF) in series. The AG operates at the input frequency of the class-E PA (i.e., ), and only the fundamental signal of the AG passes the BPF. The AG is connected to the gate terminal. From Fig. 6, the admittance at node can be expressed as [14]

Fig. 8. Phenomenon of the injection-locking class-E PA with and without . (a) Simulated admittance plots with an input power of 10 dBm. (b) Simulated output power versus input power.

where the is the generator’s voltage, is the phase of is the fundamental current of the auxiliary generator, and generator. For the stability analysis, the startup oscillation condition is applied to the admittance function at the node . The admittance (8) can be plotted for different values of and , with the real and imaginary parts of the admittance as the and axes. For the startup oscillation condition, and must be satisfied. The oscillation will continue if . The oscillation eventually reaches a steady state, which occurs when the loop resistance is zero. Therefore, the admittance function should be zero for the stable oscillation [21], that is, (9) and (10)

(8)

As described above, the oscillation can be easily simulated and estimated through the graphical representation of the admit-

3236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 9. Schematic of the two-stage injection-locking class-E PA. The AG with an ideal BPF inside the dotted box is not a part of the PA, and it is used for the stability analysis.

tance. Since the nonlinear network already contains the input power term, this method is suitable for various input levels to determine the oscillation condition. When the frequency of the auxiliary generator is the same as the oscillation frequency, there is a constant phase shift between the input signal and the osciland depend on the phase lator [14]. Thus, the phases of of the AG. To simply the analysis in the PA design, we let the phase of the AG be zero for the condition without injection. To verify the technique of the stability analysis in the injection-locking class-E PA design, the autonomous circuit is introduced into the class-E PA in Fig. 1, which shows the basic circuit in Fig. 1 correof the class-E PA with the AG and ideal BPF. sponds to the linear network in Fig. 6, and the other correspond nonlinear network. Based on the stability analysis, the oscillaat the node in tion condition is applied to the admittance Fig. 6. The simulated conductance and susceptance at the node in Fig. 1 versus frequency are plotted in Fig. 7 with various input powers between 4 and 12 dBm. The startup oscillation condition exists when the input power is higher than 6 dBm. Then, the condition must be satisfied at the steady-state oscillation. Using the above described approach, the oscillation condition can be observed from the admittance trajectories shown in Fig. 8(a), where the solid line is for 0.3 nH, and the dashed line is without . It can be observed that the dashed line does not cross the origin or the imaginary part is never zero, and the oscillation condition does not exist. Therefore, the gate is designed to provide the properly oscillating inductance conditions, that is the condition of . Fig. 8(b) shows the output power versus input power, and this is in agreement with the simulated admittance plots in Fig. 8(a). As expected, the phenomenon of the injection-locking can be efficiently predicted through the stability analysis based on the HB simulator. Moreover, the analysis technique not only provides a fast way to increase the accuracy, but also reduces the analysis complexity. The design flow of the broadband injection-locking class-E PA is carried out under the following steps. Step 1) Choose a proper device size based on design specifications. Since the transistor of the class-E PA is operated as a switch, the gate voltage is biased at the threshold voltage.

Step 2) Use I–V curve for dc biasing selection, when the device is off, the peak drain voltage can be 3.56 times the dc supply voltage due to the class-E operation [5]. For the finite RFC inductance, the peak drain voltage stress can be 2.5 times the dc supply voltage [18]. Step 3) For a given dc supply voltage and required output power, the load impedance can be obtained from [5]. Step 4) For certain load impedance, the design values of the output network can be obtained from [5]. To achieve broad bandwidth, a reactance compensation technique can be employed in the output matching network of the PA design. The detail design procedure of the broadband class-E with the reactance compensation technique can be seen in [16]. Step 5) Use the autonomous circuit to check the oscillation condition at the gate terminal. If the oscillation condition does not occur, adjust the output network and . Note that the class-E operation at the drain terminal should be satisfied or the efficiency decreases. Step 6) Use conjugate matching for the input network to achieve high power gain. III. MMIC DESIGN AND IMPLEMENTATION The proposed two-stage injection-locking class-E PA is designed using a GaAs 0.5- m enhancement/depletion-mode pseudomorphic high-electron mobility transistor (E/D-mode PHEMT) process provided by the WIN Semiconductors Corporation. The E-mode PHEMT device is adopted for the circuit design due to single dc power supply. The maximum oscillation of an E-mode device is about 70 GHz, and frequency the unity gain frequency is about 35 GHz. The breakdown voltage is typically 15 V, and the peak of transconductance is 500 mS/mm. Two metal layers are available for the interconnection. The metal–insulator–metal (MIM) capacitor with a unit capacitance of 600 mm , the thin-film resistor with a , and the spiral inductor are available unit resistance of 50 in the MMIC process [22].

LIN AND CHANG: BROADBAND INJECTION-LOCKING CLASS-E POWER AMPLIFIER

3237

The schematic of the two-stage injection-locking class-E PA is shown in Fig. 9. Following the design procedure in Section II-C, the design of the two-stage injection-locking class-E PA can be carried out in following steps. The first step is to design a conventional common-source class-E PA. Since the finite RFC inductance is adopted in this work, the peak drain voltage stress should be lower than 2.5 times the dc supply voltage. With a dc supply voltage of 6 V and an output power of 27 dBm, the load resistance is 27 based on the load-line calculation. To achieve broadband class-E PA, the component values of the class-E load network with the reactance compensation technique are obtained from [16]. The output matching network is designed as a low-pass network to match the output impedance. The drive stage is operated in the class-AB mode for high efficiency and high gain. The input and the inter-stage networks are designed using the conjugate matches for high power gain. For the input and the inter-stage matches, two multi-selection LC networks are designed in the low constant- region to achieve broad bandwidth. To observe the injection-locking phenomenon, the AG with an ideal BPF shown in Fig. 9 is introduced into the gate terminal of the output stage for the analysis of the oscillation condition. Based on the stability analysis, the oscillation condition can be observed from the admittance plots as shown in Fig. 10. To satisfy the oscillation condition, the gate inductance of the output stage is designed as in Fig. 1. Fig. 10(a) shows the phenomenon of the injection-locking class-E PA, where the stable oscillation occurs for a certain range of the . The phenomenon of the injection-locking with various input levels also can be estimated as shown in Fig. 10(b). When the input power is increased 12 dBm , the transition from the stable amplifier to the injection-locking regime is due to the existence of the oscillation condition. Since the real part of the admittance does not reach zero as the PA is without input signal, the oscillation condition cannot be satisfied. Thus, the PA does not oscillate without input signal. Fig. 10(c) shows the output power versus input power and various values of , and this is in agreement with the simulated admittance plots in Fig. 10(a). Since the proposed injection-locking class-E PA performs as an injection-locking oscillator, the locking range can be estimated as follows [23]: (11) where (12) is the injection power, is output power of the and is the oscillation frequency, and is the exoscillator, ternal quality factor. The locking range increases with the injection power, but it decreases with the external quality factor. With a certain injection power, the locking range is limited by the external quality. However, the external quality has been determined by the design of the class-E PA. Therefore, the locking range under the oscillation condition can be extended by increasing the injection signal.

Fig. 10. Phenomenon of the injection-locking class-E PA. (a) Simulated admittance plots with an input power of 0 dBm for various values of . (b) Simof 0.5 nH for various levels of input power. ulated admittance plots with an (c) Output power versus input power with various values of .

Finally, the in-band bypass capacitor is included in the circuit to enhance the isolation between RF and dc, and reduce the influence of low-frequency noise sources and the possibilities of oscillations due to the biasing networks. The passive components, including transmission lines, MIM capacitors, and spiral inductors, are all simulated with a full-wave EM simulator

3238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 12. Simulated and measured output power and power gain versus input power at 3.5 GHz.

Fig. 11. Chip photograph of the two-stage injection-locking class-E PA with a 2 mm . chip size of 2

[24]. The chip photograph of the two-stage injection-locking class-E PA is shown in Fig. 11 with a chip size of 2 2 mm . IV. MEASUREMENT RESULTS AND DISCUSSIONS A. Output Power and Efficiency The measurement of the two-stage injection-locking class-E PA are performed via on-wafer probing. The RF input signal is generated from an Agilent E8257D analog signal generator, and the output spectrum is measured using an Agilent E4448A spectrum analyzer. The drain dc voltages for the driver and output stages are 3 and 6 V, respectively. The gate dc voltages of driver and output stages are both biased to a threshold voltage (Vt) of 0.2 V. The measured output power and power gain versus input power at 3.5 GHz are shown in Fig. 12. The proposed PA demonstrates an output power of 24.3 dBm, a peak power gain of 29.3 dB and a saturated output power of 27.7 dBm. The PAE versus input power is plotted in Fig. 13, and the maximum PAE is 59% with an input power level of 2 dBm. From Figs. 12 and 13, it is clearly seen that the injection-locking phenomenon occurs above an input power of 7 dBm. As compared with the conventional class-E PA [16], the input driving requirement is significantly reduced using the injection-locking technique. When the two-stage class-E PA is locked at the input signal of the same frequency, the output power remains approximately constant with various input power. Moreover, the PAE decreases slightly with increasing the input power. Fig. 14 shows the measured output power, power gain and PAE versus dc supply voltage with an input power level of 4 dBm. The PAE is higher than 51% when the dc supply is from 3 to 6.5 V. As expected, the characteristic voltage

Fig. 13. Simulated and measured PAE versus input power at 3.5 GHz.

of the switching PA, the PAE and drain efficiency (DE) are almost constant over most of the output power level [7]. However, the PAE has a slight reduction below a dc supply voltage of 3 V, because the definition of the PAE is dominated by the of 6 V, the PAE is about input power. At a dc supply voltage 55% with an output power of 26.5 dBm. The measured locking ranges versus frequency with various input powers are shown in Fig. 15, and the locking range is determined by the input power level. As the input power level increases, the locking range increases [23]. With an input power of 4 dBm, the output power is higher than 22 dBm for a locking frequency range from 3.3 to 3.7 GHz. Moreover, the measured locking range of the proposed PA can be up to 900 MHz with an input power level of 0 dBm. B. GMSK Modulation Signal To verify the potential of the two-stage injection-locking class-E PA in digital communication applications, a Gaussian minimum-shift keying (GMSK) modulation signal with a symbol rate of 270.833 kbps is adopted. The GMSK is suitable for the switching PA because of the continuous-phase frequency-shift keying modulation scheme. The GMSK modulation signal is generated using an Agilent E4438C vector

LIN AND CHANG: BROADBAND INJECTION-LOCKING CLASS-E POWER AMPLIFIER

3239

Fig. 14. Measured output power, power gain and PAE versus dc supply voltage. with an input power of 4 dBm.

Fig. 17. Measured (a) constellation diagram, where the measured EVM is 0.75%, and (b) modulation output spectrum, where the measured average channel output power is 26.7 dBm. Fig. 15. Measured locking ranges versus frequency with various input powers.

Fig. 18. Measured EVM versus output power for the GMSK modulation.

Fig. 16. Measured output power, gain, and PAE versus input power with the GMSK modulation signal at 3.5 GHz.

signal generator. All of the dc bias conditions are the same as the measurements in Section IV-A. The measured output power, gain, and PAE versus input power with the GMSK modulation signal at 3.5 GHz are plotted in Fig. 16. The measured output power achieves 25.7 dBm with

a peak gain of 31.6 dB, and the maximum average channel output power is 27 dBm. The maximum PAE is 57% with an output power of 26.3 dBm and a power gain of 29.6 dB. The measurement results, including the modulation output spectrum and constellation diagram, are shown in Fig. 17 with an input power level of 0 dBm. The PA features a maximum error vector magnitude (EVM) of 0.8%, an amplitude error of 0.1% and a phase error of 0.6 . We assume that the channel spacing and adjacent channel bandwidth are both 200 kHz, and the measured adjacent channel power ratio (ACPR) is better

3240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 19. Measured EVM versus frequency with various input powers.

Fig. 22. Measured (a) constellation diagram, where the measured EVM is 3.1%, and (b) modulation output spectrum, where the measured average channel output power is 23.7 dBm.

Fig. 20. Measured output power and PAE as a function of frequency with CW signal under the class-AB operation.

Fig. 23. Measured EVM and ACPR versus output power.

C. 64-QAM Modulation Signal Fig. 21. Measured power gain and drain efficiency as a function of output power with the 64-QAM modulation signal at 3.5 GHz.

than 40 dBc. Fig. 18 shows the measured EVM versus output power at 3.5 GHz. The EVM is within 2.2% over all of the output power level. The measured EVM is better than 0.8% at an output power level of higher than 0.5 W. The measured EVM versus frequency is plotted in Fig. 19 with various input powers, where the EVM is within 1.5% from 3.1 to 3.9 GHz. As the input power is 6 dBm, the measured EVM at 3.3 GHz increases due to the input sensitivity for the locking condition.

The two-stage class-E injection-locking PA demonstrates good performance under the constant-envelope GMSK modulation signal. To further test its potential in nonconstant envelope modulation schemes, the two-stage injection-locking class-E PA is evaluated using a 64-QAM modulation signal. To minimize the spectrum spread, a digital root raised cosine (RRC) filter with 0.3- value to filter the baseband signal. In general, the linearity of the PA is a critical requirement in the digital modulation signals, especially for the high-level digital modulation and high output power applications [25]. Therefore, the proposed PA is operated in the class-AB mode to achieve

LIN AND CHANG: BROADBAND INJECTION-LOCKING CLASS-E POWER AMPLIFIER

3241

TABLE I COMPARISONS OF THE PREVIOUSLY REPORTED INJECTION-LOCKING CMOS PAS AND THIS WORK

TABLE II COMPARISONS OF THE PREVIOUSLY REPORTED FULLY INTEGRATED STATE-OF-THE-ART GAAS PAS AND THIS WORK

good linearity. The linearity and gain of the class-AB operation is better than that of the class-E operation because of the bias condition. To achieve high linearity, the drain dc voltages are all biased to 6 V, while the gate dc voltages are 0.25 V. Fig. 20 shows the measured output power and PAE versus frequency with CW signal. Between 2.8 and 3.8 GHz, the measured output power and PAE are better than 25 dBm and 50%, respectively. For this bias condition, the proposed PA achieves high output power and efficiency over the bandwidth. Fig. 21 shows the measured power gain and drain efficiency versus output power with the 64-QAM modulation signal at 3.5 GHz. The measured output is 24 dBm with a peak power gain of 32.5 dB, and the peak drain efficiency is 55% with an output power of 27 dBm. The measured modulation output spectrum and constellation diagram at 3.5 GHz for the 64-QAM modulation signal are plotted in Fig. 22. The PA has a maximum EVM of 3.1%, an amplitude error of 2.3% and a phase error of 3.3 . We also assume the channel spacing and adjacent channel bandwidth are both 1 MHz, and the measured ACPR is better than 33 dBc. Fig. 23 shows the measured EVM and ACPR versus output power at 3.5 GHz. The EVM is within 9% over the output power level, and the ACPR at 1-MHz offset is below 21 dBc. Moreover, the measured EVM and ACPR below an output power level of 25 dBm are better than 4.5% and 30 dBc, respectively. For the comprehensive comparison with the reported PAs, the previously reported injection-locking PAs and this work with the class-E operation are summarized in Table I, and the previously reported fully integrated state-of-the-art GaAs PAs and this work with the class-AB operation are summarized Table II. In general, the performance of a PA can be evaluated by the following FOMs [16], (13) (14)

is output power (W), is power gain (dB), is where center frequency (GHz), and BW is bandwidth (%). Two FOM calculations are also listed in Tables I and II. Among all the reported injection-locking PAs listed in Table I, the proposed PA demonstrates the highest FOM with high PAE and broad bandwidth. When the proposed PA is operated in the class-AB mode, the performance of the PA can be compared with the reported state-of-the-art GaAs PAs in [16] and [26]–[29] due to its high gain, high efficiency, and broad bandwidth. As compared with the PA in [16], the bandwidth and the maximum PAE of this work are smaller, since the inductance should be properly designed to satisfy the startup oscillation condition. However, the gain is significantly enhanced due to the injection-locking technique. There is a design tradeoff among the bandwidth, the efficiency, and the gain. When the proposed PA is operated in the class-E mode with injection locking, it is especially used for the constant-envelope modulations, such as frequency-shift keying (FSK) and GMSK, due to the linearity. To enhance the linearity, the class-AB operation can be adopted in the proposed PA. Moreover, the proposed PA without linearization still features good performance, and it is suitable for the digital modulation schemes. V. CONCLUSION Design and analysis of a two-stage broadband injection-locking class-E PA using a 0.5- m GaAs E/D-mode PHEMT process are presented in this paper. The phenomenon of the injection-locking with various input levels can be efficiently estimated through the stability analysis with an autonomous circuit. A systematic design procedure for the injection-locking class-E PA is proposed and successfully applied to the circuit design. Moreover, the proposed PA with GMSK and 64-QAM modulation signals still demonstrates good performance, and it is suitable for digital modulation

3242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

schemes. The PA designed using the injection-locking technique has the potential for the modern wireless communication applications due to its high power gain, high efficiency, and good modulation quality. ACKNOWLEDGMENT The authors would like to thank K. Chen, Industrial Technology Research Institute (ITRI), Hsinchu City, Taiwan, and A. P.-L. Chang, Taiwan Agilent, Jhongli City, Taiwan, for the discussions and the measurement helps. The chip was fabricated by the WIN Semiconductors Corporation, Taiwan. The EDA design software was provided by the chip Implementation Center (CIC) of Taiwan. The RF probes and the RF cables for the on-wafer measurement were provided by the Allstron Corporation, Taoyuan, Taiwan, and the Bo-Jiang Technology Corporation, Tainan, Taiwan, respectively. REFERENCES [1] H. Wang, K.-Y. Lin, Z.-M. Tsai, L.-H. Lu, H.-C. Lu, C.-H. Wang, J.-H. Tsai, T.-W. Huang, and Y.-C. Lin, “MMICs in the millimeter-wave regime,” IEEE Microw. Magazine, vol. 1, pp. 99–117, Jan. 2009. [2] S. C. Cripps, RF Power Amplifier for Wireless Communication. Boston, MA: Artech House, 1999. [3] H. Wang, K.-Y. Lin, R.-C. Liu, and H.-Y. Chang, “Millimeter-wave integrated circuits,” in Encyclopedia of RF and Microwave Engineering, K. Chang, Ed. : Wiley, 2005, vol. 4, pp. 3021–3046. [4] S. D. Kee, I. Aoki, A. Hajimiri, and D. Rutledge, “The class-E/F family of ZVS switching amplifier,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1677–1690, Jun. 2003. [5] N. O. Sokal, “Class-E RF power amplifier,” Amer. Radio Relay League (ARRL) QEX, no. 204, pp. 9–20, Jan./Feb. 2001. [6] S. Jeon, A. Suárez, and D. B. Rutledge, “Global stability analysis and stabilization of a class-E/F amplifier with a distributed active transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3712–3722, Dec. 2005. [7] K.-C. Tsai and P. R. Gray, “A 1.9-GHz, 1-W CMOS class-E power amplifier for wireless communication,” IEEE J. Solid-State Circuits, vol. 34, no. 7, pp. 962–970, Jul. 1999. [8] R. Brama, L. Larcher, A. Mazzanti, and F. Svelto, “A 30.5 dBm 48% PAE CMOS class-E PA with integrated balun for RF applications,” IEEE J. Solid-State Circuits, vol. 43, no. 8, pp. 1755–1762, Aug. 2008. [9] P. Heydari and Y. Zhang, “A novel high frequency, high-efficiency, differential class-E power amplifier in 0.18- m CMOS,” in Proc. Int. Symp., 2003, pp. 455–458. [10] K. L. R. Mertens and M. S. J. Steyaert, “A 700-MHz 1-W fully differential CMOS class-E power amplifier,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 137–141, Feb. 2002. [11] H.-S. Oh, T. Song, E. Yoon, and C.-K. Kim, “A power-efficient injection-locked class-E power amplifier for wireless sensor network,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 173–175, Apr. 2006. [12] J.-S. Paek and S. Hong, “A 29 dBm 70.7% PAE injection-locked CMOS power amplifier for PWM digitized polar transmitter,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 11, pp. 637–639, Nov. 2010. [13] S. Jeon, A. Suárez, and D. B. Rutledge, “Nonlinear design technique for high-power switching-mode oscillators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3630–3640, Oct. 2006. [14] A. Suárez and R. Quer, Global Stability Analysis of Microw. Circuits. Boston, MA: Artech House, 2003, ch. 2. [15] N.-C. Kuo, P.-S. Chi, A. Suárez, J.-L. Kuo, P.-C. Huang, Z.-M. Tsai, and H. Wang, “DC/RF hysteresis in microwave pHEMT amplifier induced by gate current—Diagnosis and elimination,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2919–2930, Nov. 2011. [16] C.-H. Lin and H.-Y. Chang, “A high efficiency broadband class-E power amplifier using a reactance compensation technique,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 9, pp. 507–509, Sep. 2010.

[17] G. D. Vendelin, Microwave Circuit Design Using Linear and Nonlinear Techniques, 2nd ed. New York: Wiley, 2005, ch. 9. [18] C. Yoo and Q. Huang, “A common-gate switched 0.9-W class-E power amplifier with 41% PAE in 0.25- m CMOS,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 823–830, May 2003. [19] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [20] A. Grebennikov, RF and Microwave Transmitter Design. New York: Wiley, 2011, ch. 11. [21] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design. Englewood Cliffs, NJ: Prentice-Hall, 1984, ch. 5. [22] “0.5 m InGaAs pHEMT/Enhancement Depletion-Model Device (E/D-Mode) Device Model Handbook,” ver. 1.2.2, Win Semiconductors Corp., 2007. [23] A. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1380–1385, Oct. 1973. [24] “Sonnet User’s Manual,” Sonnet Software Inc., North Syracuse, NY, 2009, Release 12.54. [25] H.-Y. Chang, “Design of broadband highly linear IQ modulator using a 0.5- m E/D-PHEMT process for millimeter-wave applications,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 491–493, Jul. 2008. [26] J. Portilla, H. Garcia, and E. Artal, “High power-added efficiency MMIC amplifier for 2.4 GHz wireless communications,” IEEE J. Solid-State Circuits, vol. 34, no. 1, pp. 120–123, Sep. 1999. [27] I. J. Bahl, E. L. Griffin, A. E. Geissberger, C. Andricos, and T. F. Brukiewa, “Class-B power MMIC amplifiers with 70 percent power added efficiency,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 9, pp. 1315–1320, Sep. 1989. [28] K. Tateoka, A. Sugimura, H. Furukawa, N. Yoshikawa, and K. Kanazawa, “A GaAs MCM power amplifier of 3.6 V operation with high efficiency of 49% for 0.9 GHz digital cellular phone systems,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2539–2542, Sep. 1995. [29] C.-H. Lin, H.-Z. Liu, C.-K. Chu, H.-K. Huang, C.-C. Liu, C.-H. Chang, C.-L. Wu, C.-S. Chang, and Y.-H. Wang, “A single supply, high linearity 2-W PA MMIC for WLAN applications using Quasi-enhancement mode PHEMTs,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 618–620, Nov. 2006. Chi-Hsien Lin was born in Taichong, Taiwan, in 1983. He received the M.S. degree in electric engineering from National Central University, Jhongli City, Taoyuan, Taiwan, in 2006, where he is currently working toward the Ph.D. degree in electrical engineering. His research interests include the microwave and millimeter-wave integrated circuits. Mr. Lin received the National Central University Outstanding Student Award in 2011 and the National Central University Outstanding Graduate Award in 2012.

Hong-Yeh Chang (S’02–M’05) was born in Kinmen, Taiwan, in 1973. He received the B.S. and M.S. degrees in electric engineering from National Central University, Jhongli City, Taoyuan, Taiwan, in 1996 and 1998, respectively, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2004. From 1998 to 1999, he joined Chunghwa Telecom Laboratories, Taoyuan, Taiwan, where he was involved in the research and development of code division multiple access (CDMA) cellular phone system. In 2004, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, working on research of advanced millimeter-wave integrated circuits. He joined the faculty of the Department of Electrical Engineering, National Central University, Jhongli City, Taiwan, in February 2006, where he is currently an Associate Professor. His research interests are microwave and millimeter-wave circuit and system designs. Dr. Chang is a member of Phi Tau Phi.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3243

A High Dynamic-Range RF Programmable-Gain Front End for G.hn RF-Coax in 65-nm CMOS Xavier Trulls, Diego Mateo, and Adria Bofill

Abstract—A high-dynamic-range programmable-gain inductorless RF front end suitable for the RF-coax bandplan of the G.hn recommendation is presented. A double-input RF programmable gain amplifier (DI-RFPGA) with switchable capacitive attenuation providing four gain settings is used at the input, followed by a current reuse transconductance amplifier (CR-TCA) and a switching stage for frequency downconversion. Besides the gain configurability provided by the DI-RFPGA, the front end adds an additional configuration mechanism by allowing the bypass of the CR-TCA, connecting the DI-RFPGA directly to the switching stage, and thereby providing a total of eight gain settings. The different sets of specifications result in a signal-to-noise-plus-distortion ratio larger than 37 dB for an input power range from 78 to 5 dBm with a bandwidth from 300 MHz to 2.5 GHz. The chip is fabricated in a 65-nm CMOS technology and consumes between 31.8–46.8 mW. The RF front end achieves a voltage gain range of 39.2 dB, with a maximum voltage gain of 25.2 dB, a minimum noise figure of 5.5 dB, and a maximum third-order intermodulation intercept point of 24.2 dBm. The circuit occupies a total area of 0.119 mm . Index Terms—Capacitive attenuation, configurable, high dynamic-range front end, inductorless, RF programmable gain amplifier (RFPGA).

I. INTRODUCTION

A

S THE demand for home-networking high-data-rate communication systems has increased over the last decade, several standards have been developed to satisfy the requirements of each application, the most popular being wireless local area networks (WLANs) based on the IEEE 802.11 standard. However, poor signal propagation across walls makes WLANs unsuitable for high-speed applications, such as high-definition in-home video streaming, leading to the development of wired technologies using the existing in-home infrastructure. The ITU-T G.hn recommendation (G.9960) unifies the most widely used wired infrastructures at home (coaxial cables, phone lines, and power lines) into a single standard for high-speed data transmission of up to 1 Gb/s. This paper focuses on the design of an RF front-end receiver applicable to the RF-coax bandplan of the G.hn recommendation. The RF-coax specification of the G.hn recommendation defines a bandplan with a center Manuscript received March 03, 2012; revised June 22, 2012; accepted June 27, 2012. Date of publication July 27, 2012; date of current version September 27, 2012. This work was supported by the Spanish Government under the Avanza Research and Development Plan of Project TSI-020100-2009-597 and FEDER funds under Project TEC2008-01856. X. Trulls and A. Bofill are with Broadcom, Barcelona 08003, Spain (e-mail: [email protected]; [email protected]). D. Mateo is with the Department of Electronic Engineering, Technical University of Catalonia, Barcelona 08034, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2012.2207913

frequency from 350 to 2450 MHz and a channel bandwidth of up to 100 MHz with a maximum transmitted power of 8 dBm. Due to the nature of an in-home wired environment, receivers that can handle very large and very small amplitude signals are required. When the transmitter and receiver are connected on the same electric outlet, the only attenuation is due to the connectors and the signal-to-noise-plus-distortion ratio (SNDR) is dominated by the receiver linearity; whereas when the transmitter and receiver are several rooms apart, channel attenuation is high, and the SNDR is dominated by the receiver noise figure. The high dynamic-range specifications for these receivers require the use of configurable-gain topologies that can provide high linearity and low noise for different configurations [1]–[11]. In this paper, we present the design of an inductorless high dynamic-range programmable RF front end. The input RF programmable gain amplifier (RFPGA) uses a switchable capacitive attenuation double-input (DI) topology providing four gain settings. The mixer is composed of a current reuse transconductance amplifier (CR-TCA) stage and a Gilbert-cell switching stage. The CR-TCA can be bypassed, connecting the doubleinput RF programmable gain amplifier (DI-RFPGA) directly to the switching stage, which offers a total of eight gain settings. The design is aimed at occupying a very low area in order to facilitate integration in a complete transceiver system-on-chip (SoC). Section II analyzes high dynamic-range configurable and nonconfigurable amplifier topologies. Section III presents the front-end architecture and a new topology for the input configurable amplifier. Section IV describes the design of the front-end blocks. Section V presents the experimental results of the new proposed input amplifier standalone and of the full front end. These front-end results include SNDR and dynamic-range measurements. Conclusions are given in Section VI. II. HIGH DYNAMIC-RANGE AMPLIFIERS The maximum data rate of a communications system is limited by the maximum bit-error rate (BER) that is specified to achieve throughput requirements. The SNDR, a parameter usually used to define the dynamic range of an analog front end, is directly related to the BER [12]–[14]. Therefore, analog front ends aim at providing a value of the SNDR that is above a specified minimum value for a given range of input signal power to comply with the maximum allowed BER of the whole system. The minimum value of the SNDR ultimately depends on the desired data throughput. The dynamic range of a receiver is defined as the range of input power that complies with a minimum value of the SNDR. The distortion in a wireline system such as G.hn is limited by the distortion generated between all the in-channel carriers, as

0018-9480/$31.00 © 2012 IEEE

3244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

opposed to wireless systems such as WiFi, where the distortion is dominated by the interaction with out-of-band blockers. Wired in-home technologies require a large dynamic range: the transmitter and receiver can be connected at the same electric outlet (hence, a very large input power is received, the circuit is required to provide high linearity, and a high noise figure is allowed) or several rooms apart (therefore, a very small input power is received, the circuit is required to provide a low noise figure, and low linearity is allowed). As a result, a large dynamic-range specification translates into very high linearity and low noise-figure specifications. The receiver can thus be designed as a nonconfigurable topology complying with all specifications simultaneously or as a configurable topology complying with different sets of specifications for different input power ranges. However, nonconfigurable topologies may not be a viable solution for very stringent noise and linearity specifications, given current technologies. Even when a nonconfigurable topology is a viable solution, it presents drawbacks as compared to a configurable topology when a large value of dynamic range is required, which is explained as follows. Complying with both maximum linearity and minimum noise specifications at the same time is inefficient because for small input signals, low linearity is allowed, and for large input signals, a high noise figure is allowed. Therefore, complying with both specifications at the same time will lead to a considerable increase in power consumption or the use of high linearity techniques that are too susceptible to process variations, mismatch, temperature, and voltage biasing, reducing the effectiveness of such techniques in commercial SoCs [15], [16]. The aforementioned techniques include optimal biasing [16], derivative superposition (DS) [17], [18], differential DS [19], and modified DS [20]. There are other high-linearity techniques less susceptible to parameter variations, such as harmonic termination [21] and post-distortion [22]. However, the linearity specifications provided by these techniques are not high enough for applications such as a G.hn front end. Overall, configurable amplifiers are a more efficient solution for the implementation of high dynamic-range front ends, which is the case for G.hn [6]. Configurable-gain amplifiers are commonly designed by varying the load impedance [7], [8], varying the input transconductance [9]–[11], or using preattenuation-based topologies [2]–[6], as shown in Fig. 1. In variable-load amplifiers, the value of the load is modified to increase or decrease the gain of the circuit. By decreasing the load value, gain decreases and the output swing is smaller, resulting in the amplification device generating less distortion. However, since the amplification device remains at the same operating point autonomously of the load value, the linearity enhancement for each decibel decrease in gain is less efficient than the other topologies that provide gain configuration by varying the main transconductor operating conditions or preattenuating the signal before amplification. In variableamplifiers, the operating conditions of the input transconductance are modified to change the specifications. In [9], a common-gate (CG) topology with current steering is used to provide gain control. In this topology, large source degeneration is used to increase linearity performance,

Fig. 1. Configurable-gain topologies. (a) Variable-load. (b) Variable(c) Preattenuation based.

.

Fig. 2. Preattenuation-based amplifiers. (a) Resistive. (b) Capacitive.

but this comes at the cost of a much higher minimum noise figure, requiring the use of an external low-noise amplifier (LNA). In [10], a similar concept is used. In this case, a common-source (CS) topology with current steering and source degeneration is used, also resulting in a significant increase of the minimum noise figure. Preattenuation-based configurable-gain amplifiers [see Fig. 1(c)] are another option for the design of a high dynamic-range receiver. These topologies are based on adding attenuation at the input before any signal amplification takes place. By using this topology, the third-order intermodulation intercept point (IIP3) of the amplifier core remains the same, but since the signal that reaches the input of the amplifier has been attenuated, the third-order distortion generated by the circuit is smaller. When equals 0 dB, the received signal is fed directly to the amplifier without adding any noise, avoiding any tradeoff between noise and linearity as with variableamplifiers. Preattenuation-based amplifiers can be implemented either with a resistive attenuation topology [see Fig. 2(a)] [2] or with a capacitive attenuation topology [see Fig. 2(b)] [3]–[6]. The resistive attenuation circuit provides 6 dB of attenuation per stage, along with good impedance matching if resistor values are chosen accordingly. The main drawback of this topology is the high noise figure due to the resistive termination of the amplifier. The capacitive attenuation topology solves this problem

TRULLS et al.: HIGH DYNAMIC-RANGE RF PROGRAMMABLE-GAIN FRONT END

3245

Fig. 3. Block diagram of the preattenuation-based RF front-end.

by using a ladder based on capacitors [6], [23]. The front end presented in this paper uses a capacitive attenuation topology. III. FRONT-END ARCHITECTURE In this paper, we present a preattenuation-based RF front end (block diagram shown in Fig. 3) using capacitive attenuation for its application to the RF-coax bandplan of the G.hn recommendation. The input RFPGA introduces a variation of the typical capacitive attenuation topology combined with a DI CS amplifier (DI-RFPGA) that provides four gain settings and improves area and bandwidth over a nonswitchable capacitive attenuation topology, as will be shown in later sections. The mixer is a folded topology composed of a CR-TCA and a Gilbert cell switching stage. The CR-TCA can be bypassed using nMOS switches, connecting the DI-RFPGA directly to the switching stage. This means the front end provides a total of eight gain settings (all four gain settings of the DI-RFPGA can be used with the bypass on or off). When the CR-TCA is bypassed, the DI-RFPGA acts as the transconductance of the switching stage, and the front end is composed of a single amplifying stage, improving the linearity of the chain. Buffers are used to isolate the DI-RFPGA and the switching stage from subsequent stages, improving stability and bandwidth. When the CR-TCA is bypassed, the DI-RFPGA is directly connected to the switching stage as the buffer is no longer needed to provide isolation from the CR-TCA input capacitances. The buffers are implemented using highly linear source followers. The front end covers the whole frequency range defined by the G.hn recommendation for RF-coax applications, with a central channel frequency from 350 MHz to 2.45 GHz and a maximum channel bandwidth of 100 MHz. IV. CIRCUIT DESIGN A. DI-RFPGA Typical configurable-gain amplifiers based on capacitive attenuation use a multiplestructure and a nonswitchable capacitor ladder, as shown in Fig. 4(a) (biasings omitted) [2]–[6]. This structure has two main drawbacks. On the one hand, it requires a stage for each attenuation step, thus increasing

Fig. 4. Implementations of capacitive attenuation PGAs. (a) Nonswitchable. (b) Switchable. (c) DI switchable.

the layout area it occupies as the number of required attenuation steps increases. On the other hand, the load capacitance is largely increased as the output capacitance of each stage is added to the total load capacitance, thereby significantly decreasing the amplifier bandwidth compared to a singleamplifier. One structural solution to eliminate these two drawbacks is to use a switchable capacitive attenuation topology, as shown in Fig. 4(b) (biasings omitted) [24]. This structure adds switches – at each attenuation step so that by closing no more than one switch at any given time, only one path is available for the input signal to reach the amplifying stage. Hence, only one stage is required, reducing area and increasing bandwidth as compared to the nonswitchable capacitive attenuation topology. The switchable topology, however, has a higher noise figure. The input switches, which must be implemented using transistors in a CMOS technology, have a nonnegligible channel resistance that adds noise at the input node before amplifying the signal. This is especially detrimental at the highest gain setting

3246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

(a) Fig. 5. DI switchable capacitive attenuation using a C-2C ladder and including switch parasitic capacitances.

(b)

Fig. 6. For different value of switch width. (a) Parasitic capacitances. (b) Channel resistance and noise figure due to the switch.

that handles the smallest amplitude input signals, and therefore requires a very low noise figure. To improve the performance of these two topologies, we propose the use of a DI switchable capacitive attenuation topology, as shown in Fig. 4(c) (biasings omitted). At the highest gain setting, switches – are open and the circuit behaves as a cascoded CS amplifier without adding any input signal attenuation. At the other gain configurations, switches – are closed, and only one of the switches – is closed at any given time to select the desired attenuation step. Thus, transistor is cut off and the circuit operates as a CS amplifier ( being the amplifying device) with degeneration (provided by the on-resistance of switch ). The voltage gain of the four gain settings can be defined as (1)

Fig. 7. Schematic of the differential DI RFPGA with switchable capacitive attenuation.

(2) where refers to the gain setting, being the highest gain setting and the lowest gain setting, is the voltage gain of the highest gain setting (cascoded CS configuration), is the voltage gain of the other gain settings (degenerated CS configuration, where can get the values of 2, 3, and 4), is the attenuation added by the capacitive attenuation circuit (which equals 1 for , and depends on the desired attenuation for and ), and is the value of resistance degeneration of . The size of the cascode, the degeneration, and the capacitors in the capacitive attenuation topology can be chosen to provide different gain steps, as required for each application. The degeneration provided by linearizes the transconductance and the amplifier, and therefore provides better linearity at the gain settings using attenuation, where high linearity is the main requirement. B. Capacitor Ladder Sizing The capacitors in a capacitive attenuation ladder are usually sized as a C-2C structure to obtain 6-dB attenuation steps. How-

ever, the capacitive ladder can be sized in any way to obtain different attenuation steps suitable to the application requirements. Fig. 5 shows the DI capacitive attenuation topology using the typical C-2C structure and the switches using nMOS transistors with the associated parasitic capacitances. The parasitic capacitances of the switches are ac-connected to ground so they modify the value of the capacitances in the C-2C structure as the parasitic capacitances are added to the parallel capacitances, resulting in nonconstant attenuation steps compared to a nonswitchable topology due to both and . Nevertheless, the value of the parasitic capacitances can be taken into account during the sizing of the capacitive attenuation ladder in order to obtain the desired attenuation steps. In the current design, the value of the capacitances has been chosen in order to provide a value of voltage gain that allows to comply with SNDR specifications. The capacitive ladder is implemented using seven-layer metal finger capacitors, which occupy a large area compared to transistors and resistors, thus having little effect on the gain due to mismatch.

TRULLS et al.: HIGH DYNAMIC-RANGE RF PROGRAMMABLE-GAIN FRONT END

3247

Fig. 8. Schematic of the mixer stage consisting of a current reuse TCA (CR-TCA) with bypass and a switching stage.

The switch width size to choose depends on a tradeoff between two specifications. As expected, parasitic capacitances increase as switch width increases [see Fig. 6(a)]. from switch is ac-connected between the input node and ground, thus decreasing the bandwidth of the circuit (because the capacitor values of the ladder are decreased the required amount in order to provide constant attenuation steps, the effect of the other parasitic capacitances on bandwidth is mitigated). For low values of switch width, the on-resistance increases, and as a result, increases the noise figure of the capacitive attenuation circuit [see Fig. 6(b)]. The decrease in the switch channel resistance (and noise figure) has a higher slope for low width values, whereas the parasitic capacitances (and high-frequency gain loss) increase linearly. The width to choose will depend on each particular design to obtain the desired tradeoff between noise and bandwidth. C. Input Impedance Matching The full schematic of the differential DI-RFPGA with capacitive attenuation used in the front-end is shown in Fig. 7. The input impedance matching is performed using two different methods, active feedback and resistive termination, each one having different performances of noise figure and linearity. The active-feedback impedance-matching topology is composed of , , , and . Since this topology has a small contribution to the overall noise figure of the circuit, it is suitable for input impedance matching at the high-gain setting of the RFPGA. However, this topology has two disadvantages that make it unsuitable for input impedance matching in the attenuation settings. The first one is related to the linearity issues resulting from the use of nonlinear feedback. It can be shown [25] that a large part of the nonlinearities generated by this circuit are due to the presence of feedback transistor . Nonlinearities generated by the active feedback can be reduced by increasing , although this, in turn, may increase the noise figure of the RFPGA [25]. The reader may note that to maintain a single input impedance matching topology, this issue could be solved by using an array of selectable resistors in place of and selecting higher resistance values as higher linearity is required. This solution has

not been implemented, however, because of the second disadvantage of the active feedback topology, which is explained as follows. The input impedance of the active feedback circuit is given by the following expression: (3) is the open-loop voltage gain of the In this equation, DI-RFPGA. As can be seen, the input impedance value has an inverse dependence on the gain of the circuit. When input attenuation is added using the switchable capacitive attenuation circuit to increase linearity, the open-loop gain of the DI-RFPGA is lowered, requiring a lower to maintain good impedance matching (generally accepted as an below 10 dB), which results in a decrease of linearity. Thus, the active feedback topology is not suited for input impedance matching in low-gain modes, and a second impedance matching topology using resistive termination at the input is added to the circuit. Since the resistive termination acts as a voltage divider and uses a passive linear component, linearity is improved over the active-feedback topology. The only drawback of the resistive termination method is a larger noise figure, compared to one using the active feedback method. This topology, however, is used only in attenuation settings where the SNDR is dominated by distortion, allowing a higher noise figure. D. Mixer Stage The DI-RFPGA is followed by a folded mixer stage that is composed of a CR-TCA and a Gilbert cell switching stage (Fig. 8). The CR-TCA provides signal amplification with a low noise figure and low linearity, thereby making it suitable for high-gain settings. For low-gain settings, the CR-TCA is bypassed using switches (implemented with nMOS transistors) connecting the DI-RFPGA directly to the switching stage; hence, adding an additional gain configuration scheme. Combining the four different gain settings from the DI-RFPGA and the bypass circuit, the RF front end provides a total of eight gain settings.

3248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE I DI-RFPGA MEASUREMENT RESULTS

Fig. 10. DI-RFPGA

measurement results.

Fig. 9. DI-RFPGA voltage gain measurement results.

When the bypass is activated, the front end can be considered as an LNA-less topology since the DI-RFPGA, acting as an LNA for high-gain settings, becomes the transconductance block of the mixer. The decrease in gain is not only due to the bypass of the CR-TCA, but also to the lower input impedance of the switching stage, which is now directly connected to the DI-RFPGA output. Having a lower gain at the DI-RFPGA while maintaining the same parameters at the active feedback input impedance matching will result in a worse . For the current application, the achieved when the bypass and active feedback are active is adequate. If a better input impedance matching is required, a switchable feedback resistance can be used. The folded mixer structure also allows the use of independent biasing currents between the switching stage and the transconductance stages (DI-RFPGA and CR-TCA), improving the switching stage linearity performance [26].

Fig. 11. DI-RFPGA IIP3 measurement results.

V. EXPERIMENTAL RESULTS This section presents experimental results, first for the DI-RFPGA standalone and then for the whole front end. Voltage gain, noise figure, IIP3, and results over frequency are provided for both cases. Section V-B also includes SNDR measurements.

Fig. 12. DI-RFPGA noise-figure measurement results.

TRULLS et al.: HIGH DYNAMIC-RANGE RF PROGRAMMABLE-GAIN FRONT END

3249

TABLE II RFPGA/RFVGA PERFORMANCE COMPARISON

A. DI-RFPGA The DI-RFPGA was fabricated in a 65-nm technology, packaged inside a 40-pin quad-flat-no-leads (QFN) and measured on a printed circuit board (PCB). SMD external baluns are used for single-ended-to-differential conversion, and an on-chip output buffer is used at the output of the front end to drive the lowimpedance load of the measuring equipment. Both baluns and the output buffer have been de-embedded from the presented results. PCB tracks have also been de-embedded using shortopen-load-through (SOLT) calibration. Due to the difficulties of de-embedding noise-figure measures (as the process requires impedance values to provide a reliable result), the input balun has not been de-embedded from noise measures. Since the balun has a slightly higher loss at the low-end of the frequency range, the noise figure shows a slight increase at low frequencies. The DI-RFPGA occupies a total area of 0.042 mm , including dc decoupling capacitors. The very low-area inductorless design facilitates the integration of the RF front end into a complete transceiver SoC. The power consumption varies from 23 to 24.3 mW, and the bandwidth ranges from 300 MHz to 2.5 GHz. A summary of the DI-RFPGA gain settings and measurement results is presented in Table I. The DI-RFPGA achieves a total voltage gain range of 30 dB, with a maximum voltage gain of 13.4 dB and a minimum gain of 16.6 dB, as shown in Fig. 9. The is below 12.2 dB along the bandwidth (300 MHz–2.5 GHz) in all operating modes, as shown in Fig. 10. Fig. 11 shows an IIP3 range of 30.7 dB with a maximum value of 28.9 dBm. The noise figure has a minimum value of 3.2 dB at the maximum-gain setting, as can be seen in Fig. 12. A comparison with other configurable amplifier topologies is presented in Table II. Since G.hn is a very recent standard, there is no available literature and the table mainly presents the results from circuits applied to DTV, making comparisons difficult. The circuits referenced in the table use either dedicated amplifiers for the highest gain setting, inductors or single-ended topologies, which further makes the comparison not so straightforward, as the presented design is a differential inductorless topology without dedicated amplifiers. Additionally, the maximum linearity of a configurable-gain circuit depends on the minimum gain of each topology. Therefore, the minimum gain of each topology needs to be taken into account when comparing linearity values.

Fig. 13. Microphotograph of the RF front end.

From Table II, we can conclude that the presented DI-RFPGA topology provides the advantages of lower area and higher bandwidth over a multiple-stage capacitive attenuation topology, while improving the noise at the highest gain settings over a single-input switchable capacitive attenuation topology by eliminating the input switch. B. Front End The configurable RF front end was fabricated in a 65-nm technology, packaged inside a 40-QFN, and measured on a PCB. The microphotograph of the RF front-end is shown in Fig. 13. The front end occupies a total area of 0.119 mm , including dc decoupling capacitors. The very low-area inductorless design facilitates the integration of the RF front end into a complete

3250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE III FRONT-END MEASUREMENT RESULTS

Fig. 14. Front-end voltage gain measurement results.

transceiver SoC. The power consumption varies from 31.8 to 46.8 mW, and the bandwidth ranges from 300 MHz to 2.5 GHz. A summary of the front-end gain settings and measurement results is presented in Table III. The front end achieves a total voltage gain range of 39.2 dB, with a maximum voltage gain of 25.2 dB and a minimum gain of 14 dB, as shown in Fig. 14. The is below 9.5 dB along the bandwidth (300 MHz–2.5 GHz) in all operating modes, as shown in Fig. 15. Fig. 16 shows an IIP3 range of 37.8 dB with a maximum value of 24.2 dBm. The single-sideband noise figure (SSB NF) has a minimum value of 5.5 dB at the maximum-gain setting, as can be seen in Fig. 17. The plotted measures of voltage gain, NF and IIP3, have been taken at a baseband frequency of 60 MHz with a local oscillator (LO) 10 MHz below the RF frequency. We have performed SNDR measurements using the noise power ratio (NPR) method [30]. The input signal used for the NPR measurements has a bandwidth of 80 MHz and is composed of 400 carriers with a 200-kHz spacing. Each carrier has a random phase. The reason for using values slightly different than those of the G.hn recommendation (200-kHz carrier spacing instead of 195.3125 kHz in G.hn, and 80-MHz bandwidth instead of 100 MHz) is due to the limitations of our signal generator. The measurement configuration uses the Agilent E4438C ESG vector signal generator and the Agilent E4443A PSA spectrum analyzer.

Fig. 15. Front-end

measurement results.

Fig. 16. Front-end IIP3 measurement results.

The maximum power of the input signal used in the measurements is 5 dBm. This value is 3 dB smaller than the 8-dBm maximum input power defined in the G.hn specification for the 100-MHz bandplan since it accounts for a minimum 3-dB loss before the front-end input due to the diplexer and the electric outlet connectors (transmitter and receiver). The SNDR measures use an LO frequency of 1.5 GHz and an RF input channel

TRULLS et al.: HIGH DYNAMIC-RANGE RF PROGRAMMABLE-GAIN FRONT END

Fig. 17. Front-end noise-figure measurement results.

Fig. 18. Sample screen capture of the front-end output power spectrum when performing NPR measurements.

ranging from 1.51 to 1.59 GHz, resulting in a baseband signal ranging from 10 to 90 MHz. A sample screen capture of the power spectrum at the output of the front end using an NPR measurement is shown in Fig. 18. This screen capture shows the output when using the NPR method by zooming into the channel to show the notch located between the carriers. At the input, the difference between the power of the carriers and the notch is higher than 70 dBc. Due to noise and distortion, the power of the notch increases more than the power of the active carriers, and the resulting difference is measured at the output, providing the SNDR measure. Several SNDR experimental results are provided. The NPR measure is initially performed at the maximum input power established by the G.hn specification. The front end is then configured at the lowest gain setting. Since the NPR measurement depends on the notch location and carrier phase, the measurement has been repeated 80 times (20% of the total carriers) placing

3251

Fig. 19. Histogram of the front-end output SNDR at maximum input power for 80 NPR measurements with random carrier phases and different notch frequency locations.

Fig. 20. Composite front-end output power spectrum showing the frequency location and value of each NPR measure.

the notch at different frequencies and with a random phase distribution for each measurement. The resulting histogram of the front-end SNDR with maximum power input signal is shown in Fig. 19. The histogram shows a worst case SNDR of 37 dB with 92.5% of the measures above 40 dB. To show the frequency location of each measure, a composite plot of the output power spectrum, including all the measured notches, is shown in Fig. 20. This plot has been generated by first capturing all the points of the output spectrum without notches from 5 to 150 MHz, and then using the 80 measured values of SNDR to substitute the points at the corresponding frequency of each measure. Fig. 21 shows the evolution of the front-end SNDR and the front-end output signal power for a range of input signal power using all gain settings. To obtain the values presented in this figure, we configure the vector signal generator to generate the input signal that results in the worst measured SNDR at maximum input power and lowest gain setting, which is 37 dB. The front end is configured at the highest gain setting, and the input

3252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

The very low-area design without inductors eases the integration of the RF front end into a complete transceiver SoC. ACKNOWLEDGMENT The authors thank Agilent Technologies, Las Rozas, Spain, for providing the necessary equipment to perform NPR measurements. REFERENCES

Fig. 21. Front-end output SNDR and output power for a range of input power using all gain settings.

signal power is decreased until the minimum input power value that provides an SNDR over 37 dB is found (78 dB). Then the input signal power is increased in 1-dB steps, and the output SNDR and output signal power are measured. When the SNDR decreases below 37 dB, the front end is configured at the next gain setting, increasing the SNDR. This step is repeated until all gain settings have been used, and the maximum input power has been reached. The resulting front-end dynamic range for a minimum SNDR of 37 dB is 83 dB. VI. CONCLUSION A configurable-gain inductorless wideband fully differential RF front end with high dynamic range for the RF-coax bandplan of the G.hn standard has been presented. The input amplifier uses a DI-RFPGA with switchable capacitive attenuation and two different impedance matching topologies, which provide four gain settings. The presented DI-RFPGA topology improves the noise, linearity, area, and bandwidth specifications over a nonswitchable topology, with the only limitation of requiring a cascode transistor. Experimental results of the DI-RFPGA standalone are provided. The DI-RFPGA achieves a total voltage gain range of 30 dB, with a maximum voltage gain of 13.4 dB and a minimum gain of 16.6 dB. The is below 12.2 dB along the bandwidth (300 MHz–2.5 GHz) in all operating modes. The maximum IIP3 is 28.9 dBm at the minimum-gain setting and the minimum noise figure is 3.2 dB at the maximum-gain setting. In the full front-end configuration, the DI-RFPGA is followed by a CR-TCA, which is connected to the switching stage. The CR-TCA can be bypassed using nMOS switches, connecting the DI-RFPGA directly to the switching stage, thus providing the front-end with a total of eight gain settings. The front end achieves a voltage gain range of 39.2 dB, with a bandwidth from 300 MHz to 2.5 GHz, a minimum noise figure of 5.5 dB at maximum gain, and a maximum IIP3 of 24.2 dBm at minimum gain, providing an SNDR over 37 dB for an input power range from 78 to 5 dBm. The front end consumes between 31.8–46.8 mW and occupies a total area of 0.119 mm .

[1] K. Findlater, T. Bailey, A. Bofill, N. Calder, S. Danesh, R. Henderson, W. Holland, J. Hurwitz, S. Maughan, A. Sutherland, and E. Watt, “A 90 nm CMOS dual-channel powerline communication AFE for homeplug AV with a Gb extension,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2008, pp. 464–628. [2] D. Im, H.-T. Kim, and K. Lee, “A CMOS resistive feedback differential low-noise amplifier with enhanced loop gain for digital TV tuner applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2633–2642, Nov. 2009. [3] K. Iizuka, H. Kawamura, T. Fujiwara, K. Kagoshima, S. Kawama, H. Kijima, M. Koutani, S. Toyoyama, and K. Sakuno, “A 184 mW fully integrated DVB-H tuner with a linearized variable gain LNA and quadrature mixers using cross-coupled transconductor,” IEEE J. Solid-State Circuits, vol. 42, no. 4, pp. 862–871, Apr. 2007. [4] M. Koutani, H. Kawamura, S. Toyoyama, and K. Iizuka, “A digitally controlled variable-gain low-noise amplifier with strong immunity to interferers,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2395–2403, Nov. 2007. [5] I. Mehr, S. Rose, S. Nesterenko, D. Paterson, R. Schreier, H. L’Bahy, S. Kidambi, M. Elliott, and S. Puckett, “A dual-conversion tuner for multi-standard terrestrial and cable reception,” in VLSI Circuits Symp. Tech. Dig., Jun. 2005, pp. 340–343. [6] J. Xiao, I. Mehr, and J. Silva-Martinez, “A high dynamic range CMOS variable gain amplifier for mobile DTV tuner,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 292–301, Feb. 2007. [7] M. Dawkins, A. Burdett, and N. Cowley, “A single-chip tuner for DVB-T,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1307–1317, Aug. 2003. [8] L. Connell, N. Hollenbeck, M. Bushman, B. McCarthy, S. Bergstedt, R. Cieslak, and J. Caldwell, “A CMOS broadband tuner IC,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2002, vol. 2, pp. 324–529. [9] P. Antoine, P. Bauser, H. Beaulaton, M. Buchholz, D. Carey, T. Cassagnes, T. Chan, S. Colomines, F. Hurley, D. Jobling, N. Kearney, A. Murphy, J. Rock, D. Salle, and C.-T. Tu, “A direct-conversion receiver for DVB-H,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2005, vol. 1, pp. 426–607. [10] H. Riihihuhta, K. Halonen, and K. Koli, “A high dynamic range 100 MHz AGC-amplifier with a linear and temperature compensated gain control,” in IEEE Int. Circuits Syst. Symp., May 1994, vol. 5, pp. 521–524, vol. 5. [11] Y. Takamatsu, R. Fujimoto, T. Yasuda, T. Sekine, T. Hirakawa, M. Ishii, M. Hayashi, and N. Itoh, “A tunable low-noise amplifier for digital TV applications,” in IEEE Asian Solid-State Circuits Conf., Nov. 2009, pp. 273–276. [12] D. Dardari, V. Tralli, and A. Vaccari, “A theoretical characterization of nonlinear distortion effects in OFDM systems,” IEEE Trans. Commun., vol. 48, no. 10, pp. 1755–1764, Oct. 2000. [13] H. Qian, R. Raich, and G. Zhou, “Optimization of SNDR in the presence of amplitude limited nonlinearity and multipath fading,” in 38th Asilomar Conf. Signals, Syst., Comput. Conf. Rec., Nov. 2004, vol. 1, pp. 712–716, vol. 1. [14] H. Ochiai and H. Imai, “Performance analysis of deliberately clipped OFDM signals,” IEEE Trans. Commun., vol. 50, no. 1, pp. 89–101, Jan. 2002. [15] T. W. Kim, B. Kim, and K. Lee, “Highly linear receiver front-end adopting MOSFET transconductance linearization by multiple gated transistors,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 223–229, Jan. 2004. [16] V. Aparin, G. Brown, and L. Larson, “Linearization of CMOS LNA’s via optimum gate biasing,” in Proc. Int. Circuits Syst. Symp., May 2004, vol. 4, pp. IV-748–IV-751, vol. 4. [17] Y.-S. Youn, J.-H. Chang, K.-J. Koh, Y.-J. Lee, and H.-K. Yu, “A 2 GHz 16 dBm IIP3 low noise amplifier in 0.25 m CMOS technology,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2003, vol. 1, pp. 452–507.

TRULLS et al.: HIGH DYNAMIC-RANGE RF PROGRAMMABLE-GAIN FRONT END

[18] C. Xin and E. Sanchez-Sinencio, “A linearization technique for RF low noise amplifier,” in Proc. Int. Circuits Syst. Symp., May 2004, vol. 4, pp. IV-313–IV-316, vol. 4. [19] T. W. Kim and B. Kim, “A 13-dB IIP3 improved low-power cmos RF programmable gain amplifier using differential circuit transconductance linearization for various terrestrial mobile D-TV applications,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 945–953, Apr. 2006. [20] S. Ganesan, E. Sanchez-Sinencio, and J. Silva-Martinez, “A highly linear low-noise amplifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4079–4085, Dec. 2006. [21] X. Fan, H. Zhang, and E. Sanchez-Sinencio, “A noise reduction and linearity improvement technique for a differential cascode LNA,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 588–599, Mar. 2008. [22] H. Zhang, X. Fan, and E. S. Sinencio, “A low-power, linearized, ultrawideband LNA design technique,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 320–330, Feb. 2009. [23] G. Retz and P. Burton, “A CMOS up-conversion receiver front-end for cable and terrestrial DTV applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2003, vol. 1, pp. 442–506. [24] X. Trulls, D. Mateo, and A. Bofill, “A small-area inductorless configurable wideband LNA with high dynamic range,” Microelectron. J., 2011. [25] J. Borremans, P. Wambacq, C. Soens, Y. Rolain, and M. Kuijk, “Low-area active-feedback low-noise amplifier design in scaled digital CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 11, pp. 2422–2433, Nov. 2008. [26] M. T. Terrovitis and R. G. Meyer, “Intermodulation distortion in current commutating CMOS mixers,” IEEE J. Solid-State Circuits, vol. 35, no. 10, pp. 1461–1473, Oct. 2000. [27] Y. Takamatsu, R. Fujimoto, T. Yasuda, T. Sekine, T. Hirakawa, M. Ishii, M. Hayashi, and N. Itoh, “A tunable low-noise amplifier for digital TV applications,” in Proc. IEEE Asian Solid-State Circuits Conf., 2009, pp. 273–276. [28] K. S. Chen, N. T. Hsu, K. C. Lu, T. S. Horng, and J. M. Wu, “A variable gain low-noise amplifier with noise and nonlinearity cancellation for DVB applications,” in Proc. Asia–Pacific Microw. Conf., 2009, pp. 1144–1147. [29] T. W. Kim, H. Muthali, S. Sengupta, K. Barnett, and J. Jaffee, “Multistandard mobile broadcast receiver LNA with integrated selectivity and novel wideband impedance matching technique,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 675–685, Mar. 2009. [30] “Agilent signal studio for noise power ratio,” Agilent Technol., Santa Clara, CA, Opt. 421, Tech. Overview, 2005. [Online]. Available: http://cp.literature.agilent.com/litweb/pdf/5988-9161EN.pdf

3253

Xavier Trulls received the M.Sc. degree in telecommunication engineering and Ph.D. degree (with honors) in electronic engineering from the Universitat Politecnica de Catalunya (UPC), Barcelona, Spain, in 2008 and 2012, respectively. From 2008 to 2010, he was an Analog Design Intern with Gigle Networks, Barcelona, Spain. He is currently with Broadcom, Barcelona, Spain, where he is invoved with analog and mixed-signal circuits for audio. His research interests include RF integrated circuits for communications receivers and analog mixed-signal circuits for audio transceivers.

Diego Mateo received the M.Sc. degree in telecommunication engineering and Ph.D. degree (with honors) in electronic engineering from the Universitat Politecnica de Catalunya (UPC), Barcelona, Spain, in 1993 and 1998, respectively. During 2002, he was with the High-Speed and RF Design Group, Wireless Research Laboratory, Lucent Technologies, Bell Laboratories, Murray Hill, NJ, where he was involved with the design of RF front ends for base stations and on the analysis of the effects of substrate noise on RF blocks. He is currently a Full-Time Associate Professor with the Department of Electronic Engineering, Telecommunication Engineering School, UPC. He has coauthored one book, 16 international journal papers, and over 50 conference papers. He holds eight patents. His research interests include mixed-signal and RF integrated circuits, substrate noise problems, ultra-wideband impulse radio circuits, and RF characterization by thermal monitoring.

Adria Bofill received the M.Sc. degree in telecommunication engineering from the Universitat Politecnica de Catalunya (UPC), Barcelona, Spain, in 1998, the D.E.A. degree in integrated systems design from the Universite Joseph Fourier, Grenoble, France, in 1999, and the Ph.D. degree in electronic engineering from The University of Edinburgh, Edinburgh, U.K., in 2005. In 2001, he was a Mixed-Signal Engineer with Cadence Design Systems, Livingston, U.K. From 2004 to 2006, he was with Analog Devices, Edinburgh, U.K. From 2006 to 2010, he was with Gigle Networks, where he was involved with circuits for power-line communications. He is currently a Principal Scientist with Broadcom, Barcelona, Spain, where he is involved with analog and mixed-signal circuits for communications.

3254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A Digital-Intensive Multimode Multiband Receiver Using a Sinc2 Filter-Embedded VCO-Based ADC Jaewook Kim, Student Member, IEEE, Wonsik Yu, Student Member, IEEE, and SeongHwan Cho, Senior Member, IEEE

Abstract—In this paper, we present a 0.2–1.8-GHz digital-intensive receiver front-end using a voltage-controlled oscillator (VCO)-based analog-to-digital converter (ADC) running at 1.4 Gs/s in 90-nm CMOS. To improve the out-of-band rejection, we propose a second-order anti-aliasing Sinc filter that can be embedded in the ADC, which exploits the integrating nature of a VCO. the nonideal effect of the proposed architecture is analyzed with regard to the waveform imperfection due to device mismatch. The proposed receiver achieves 94 dBm of sensitivity at 1-MHz bandwidth and 6.8 dBm of IIP3, while providing 50-dB rejection of aliased signals. Index Terms—Anti-aliaising filter, digital-intensive, direct-conversion receiver, highly digitized, multimode multiband, Sinc2 filter, software-defined radio, voltage-controlled oscillator (VCO)-based analog-to-digital converter (ADC). Fig. 1. Wireless RF MMMB receiver architectures. (a) Conventional analogintensive receiver. (b) Proposed digital-intensive receiver.

I. INTRODUCTION

A

S THE number of wireless communication standards increase, multimode multiband (MMMB) receivers that can cover multiple standards is garnering much attention. It is, however, difficult for a conventional analog receiver to achieve such versatility, since analog baseband circuits such as variable-gain amplifiers (VGAs) and low-pass filters (LPFs) are not easily programmable. In order to cope with this problem, a discretetime MMMB receiver has been proposed in [1], where LPFs and VGAs are implemented using switches and capacitors. While such an architecture avoids the use of sophisticated analog circuits, thereby enabling higher programmability, the receiver itself is still based on analog signal processing and hence is vulnerable to device mismatch and PVT variations (see Fig. 1). As an alternative solution to a discrete-time receiver, a digital-intensive MMMB receiver can be employed where a widedynamic range analog-to-digital converter (ADC) is used. The main advantages of this architecture are the removal of the VGA and the implementation of filters in digital domains, which not

Manuscript received February 20, 2012; revised June 05, 2012; accepted June 08, 2012. Date of publication August 31, 2012; date of current version September 27, 2012. This work was supported by the National Research Foundation of Korea, funded by the Korean Government, under Grant 2012-0000701. J. Kim was with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejon 305–701, Korea. He is now with the Electrical Engineering and Computer Science Department, Massachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail: [email protected]). W. Yu and S. Cho are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejon 305–701, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209445

only offer high programmability but also provide better immunity to device mismatch and PVT variations. With such advantage in mind, direct-RF digitizing receiver and digital intensive direct conversion receiver have been introduced. In a direct-RF receiver, the key component is the bandpass ADC that quantizes the desired signal located at RF. Un-LC filters are not fortunately, RF bandpass ADCs based on widely tunable and consume a large amount of power and area [2], [3]. Furthermore, such an ADC is highly analog-intensive and is not suitable for future nanoscale CMOS processes. Although a widely tunable time-domain bandpass ADC that exploits fast switching time of advanced CMOS has been proposed in [4]–[6], it requires a highly tunable anti-aliasing filter at RF that is a very challenging component. To avoid such complexity of direct-RF sampling, a passive mixer can be added between the LNA and the ADC, which relaxes the requirement of the ADC from a bandpass to a low-pass, ADC to be allowing a conventional continuous-time (CT) used. However, CT ADC suffers from stability issues and requires several operational amplifiers (op-amps) which are not suitable for deep-submicrometer CMOS process. Although such op-amps are replaced by switches and capacitors in the disADC [7], a large amount of gain is required in crete-time the low-noise amplifier (LNA) due to the signal loss in the integration stages, when [7] applies to the receiver. In addition, a high-performance anti-aliasing filter is also required because interferers in odd harmonics of the sampling frequency are not rejected by the embedded filtering process. A first-order noise-shaped voltage-controlled oscillator (VCO)-based ADC is a promising architecture because it can be implemented using ring VCOs and digital circuits, which

0018-9480/$31.00 © 2012 IEEE

KIM et al.: MULTIMODE MULTIBAND RECEIVER USING A SINC FILTER-EMBEDDED VCO-BASED ADC

are much more digital-friendly than conventional delta–sigma ADCs [8]. In addition, the VCO-based ADC provides an inherent first-order anti-aliasing Sinc filter from the integration nature of the VCO. In [9], a digital-intensive receiver exploiting the VCO-based ADC has been proposed, but its target was not a MMMB but a single-mode single-band (2.4-GHz) application. The extension of such an approach to an MMMB receiver is difficult because the first-order Sinc filter in the VCO-based ADC does not provide sufficient out-of-band rejection for MMMB receivers that do not have an RF pre-filter. Therefore, a higher order anti-aliasing filter is required. In this paper, we propose a second-order anti-aliasing Sinc filter (Sinc filter) that can be embedded in a VCO-based ADC [10]. There have been several research works about stand-alone VCO-based ADCs with regard to improving linearity or noiseshaping order [8], [11], [12]. In [11], a negative feedback loop is used to enhance the noise-shaping order and alleviate the effect of VCO nonlinearity. In [12] and [13], a background calibration technique is used to improve linearity by extracting the harmonic coefficients of VCO from the replica VCO-based ADC. In [8], a lookup table (LUT) and a ramp signal are used to store and calibrate the nonlinear characteristic of the VCO. However, none of the above works have looked into improving the embedded Sinc filter of the VCO-based ADC for a wireless RF receiver. In this paper, we present an MMMB RF receiver that employs VCO-based ADC with an embedded Sinc filter [10]. In addition to providing an in-depth analysis of the architecture and its operation principle, we theoretically analyze the proposed Sinc filter and investigate the nonideal effects from waveform approximation and errors from amplitude and phase. This paper is organized as follows. First, the anti-aliasing property of the VCO-based ADC is briefly reviewed in Section II. Second, operation principle of the proposed Sinc filter and its implementation in VCO-based ADC are explained in Section III. Third, nonideal effects of the proposed architecture are analyzed in Section III. Next, implementation of the MMMB receiver using the proposed VCO-based ADC is described in Section IV, and measurement results are shown in Section V. Finally, conclusions are drawn in Section VI.

II. BACKGROUND: VCO-BASED ADC

3255

Fig. 2. Waveform of VCO-based ADC.  [n] represents the amount of phase corresponding to quantization error in the nth sampling period.

is the number of the VCO phase and is the where VCO phase change due to the analog input. Since the -transform of (1) produces , the quantization error of the VCO-based ADC is first-order shaped and, hence, is equivalent to a first-order delta–sigma modulator. The theoretical signal-toquantization-noise ratio (SQNR) can be represented as [8]

(2) where is the tuning range of the VCO. When the VCObased ADC is applied to a digital-intensive receiver based on an LNA-mixer-ADC configuration, it should have a large SQNR to compensate for the absence of VGA. Since the VCO-based ADC can be implemented by using mostly digital circuits and as it does not have any feedback loop, a high sampling frequency of several gigahertz can be easily achieved in advanced CMOS process. Therefore, despite low noise-shaping order, a large SQNR can be obtained due to high OSR.

A. Quantization Noise Characteristic B. Signal Transfer Characteristic In a VCO-based ADC, the VCO integrates the analog input signal as output phase and quantizes it in the form of rising or falling edges. The reset counter counts the number of edges during a sampling period and produces the digital output. The noise-shaping property of the VCO-based ADC can be explained by using phase-domain analysis as shown in Fig. 2 [8]. It can be seen that the residual phase (quantization noise ) of the previous sampling period inherently becomes of the next period. Therefore, the output the initial phase can be represented as of the VCO-based ADC

From the input signal standpoint, the analog input signal is integrated, sampled, and then differentiated, which corresponds to the operation of a first-order Sinc filter. When the VCO-based ADC is used after the mixer in the digital-intensive receiver, there will be out-of-band interferers that are integer multiples of the sampling frequency apart from the desired signal, which will be aliased down to dc, as shown in Fig. 3. Fortunately, these interferers will be suppressed by the inherent anti-alias filter of the VCO-based ADC, and its rejection ratio can be described as

(1)

(3)

3256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 4. Basic principle of Sinc filter.

Fig. 3. Embedded first-order Sinc filter of VCO-based ADC.

where is the frequency where the interferer is located. It can be seen that increasing the sampling rate improves the out-ofband rejection ratio by 20 dB/dec. While [9] may be sufficient for narrowband applications where there exists an RF prefilter, it is not enough for wideband applications where the RF prefilter is absent. For example, if 40 dB of additional filtering is to be achieved for a VCO-based ADC clocked at 400 MHz [9], the sampling rate must be increased by a factor of 100 to 40 GHz, which is not really feasible in a low-power implementation. Therefore, there is a strong motivation to increase the order of the Sinc filter to apply the VCO-based ADC for wideband applications. III. SINC FILTER-EMBEDDED VCO-BASED ADC A. Operation Principle of Sinc Filter The rejection ratio of a second-order Sinc filter (Sinc filter) is given by (4) where it can be seen that the rejection ratio is doubled compared with a first-order Sinc filter. Therefore, the required sampling frequency of a Sinc filter can be ten times lower than that of a Sinc filter while meeting the same rejection ratio. The basic principle of a Sinc filter is shown in Fig. 4. A Sinc function is a time-domain convolution of the input with a trian. The null location of gular waveform whose period is the Sinc filter is related to the period of the triangular waveform ). In our proposed receiver, Sinc (in a way that is followed by an ADC that has a sampling process. Hence, a Sinc filter with sampling can be implemented as shown in Fig. 5, where the input is convoluted with a triangular waveform (i.e., multiplied by a triangular waveform and integrated)

Fig. 5. Sinc filter in the sampling system.

and sampled. As the first desired null location of the Sinc filter , where is the sampling period of the ADC, the triis angular waveform must have a period of 2 (i.e., ). Therefore, a two-way time-interleaving is required for seamless operation of the Sinc filter. B. Approximation of Triangular Waveform In the proposed Sinc filter, the triangular waveform is approximated to a staircase waveform to alleviate the analog efforts in its implementation. To investigate the effect of such approximation, let us consider the staircase waveform as a result of sampling and holding a triangular waveform as shown in Fig. 6(a). In order to denote how well a staircase approximates the triangular waveform, we introduce a term denoted as triangular waveform sampling ratio (TSR) described as (5) is the frequency of the triangular waveform and where is the frequency of the clock that samples the triangular waveform. Basically, (5) indicates how many times the triangular waveform is sampled per period. Hence, a staircase with higher TSR has stronger resemblance to a triangular waveform. The effect of sampling and holding the triangular waveform can be viewed in the frequency domain shown in Fig. 6(b). It can be seen that sampling results in aliasing, causing the nulls to dis. appear at integer multiples of the sampling frequency The holding operation results in Sinc filter that restores nulls . However, the frequency response at integer multiples of

KIM et al.: MULTIMODE MULTIBAND RECEIVER USING A SINC FILTER-EMBEDDED VCO-BASED ADC

Fig. 8. Comparison between filtering properties when

= 8.

3257

TSR = 4 and TSR =

Fig. 6. Approximation of triangular waveform to staircase waveform. TSR 4. (a) Time-domain view of staircase waveform. (b) Frequency-domain view of staircase waveform.

Fig. 9. Approximation of triangular waveform. Triangular waveform is approximated to staircase waveform that is realized by the addition of two rectangular waveforms.

Fig. 7. Frequency response of Sinc filter with

TSR = 4.

ADC. In addition, a higher TSR increases the vulnerability of the approximated triangular waveform to implementation issues such as device mismatches, as it requires more staircases that should have even interval and height. In this paper, we set becomes 2.8 GHz in our implementaTSR to 4 such that tion, when the sampling frequency of the Sinc filter-embedded ADC is 1.4 GHz. C. Proposed Sinc Filter Implemented Using VCO-Based ADC

around is no longer Sinc but Sinc. Therefore, the staircase approximation reduces the rejection ratio at the sampling and its multiples. frequency The above analysis is verified in a MATLAB simulation shown 1 GHz, 0.5 GHz, and in Fig. 7, for the case when 2 GHz. It can be seen that the simulated output spectrum obeys the theoretical analysis shown in Fig. 6. The filtering property of Sinc filter with a TSR of 4 follows that of a Sinc filter at the odd multiples of and that of a Sinc filter at the even multiples of . Fig. 8 shows the filtering properties of the Sinc filter with TSRs of 4 and 8 when the simulation condition by a factor of two causes the is kept the same. Increasing filtering property to be more similar to an ideal Sinc filter. Although a larger TSR provides filtering that is closer to the ideal Sinc filter, it also increases the implementation cost, that is, a circuit generating an approximated triangular waveform with a larger TSR requires a clock signal with a frequency that is also higher. It means that it dissipates a larger of current and its maximum operating speed limits the maximum of the proposed Sinc filter-embedded sampling frequency

The staircase waveform can be realized by adding two rectangular waveforms with different duty cycles as shown in Fig. 9. Hence, multiplying the input by the staircase waveform can be implemented using mixers and adding their outputs. While the Sinc filter shown in Fig. 5 can be difficult to implement in conventional designs, it can be easily implemented by using a VCO-based ADC that operates in the time domain, that is, the integrator can be implemented by using a VCO that inherently integrates the input voltage to phase. The difference operis implemented by using a reset counter which ator quantizes the VCO phase by counting the rising edges of the VCO output during a sampling period. Fig. 10 shows the detailed implementation of the proposed Sinc filter. Note that two rectangular waveforms with different duty cycle are applied to the two multipliers and their outputs are summed in the adder. The adder is implemented in the current domain by using the transconductance of the delay cell and conin the ring VCO. The control transistors verts the gate voltages into currents that are added at the tied drains. The multiplier receiving rectangular waveform and input

3258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 12. Effect of amplitude error.

Fig. 10. Proposed Sinc filter exploiting a VCO-based ADC.

Fig. 11. Nonideal effect due to the amplitude and phase error.

signal is implemented as a single-balanced passive mixer. Compared with the VCO-based ADC with an embedded Sinc filter, the overhead in implementing the Sinc filter-embedded VCObased ADC is one control transistor and two passive mixers per each delay cell, two-way time-interleaving and rectangular waveform generator.

Fig. 13. Effect of phase error.

IV. NONIDEAL EFFECT: WAVEFORM IMPERFECTION An imperfect triangular waveform can degrade the performance of the proposed Sinc filter. As shown in Fig. 11(a), the effect of waveform imperfection can be divided into amplitude mismatch and phase mismatch. 1) Amplitude Error: The triangular waveform can be distorted by the mismatch between the two transconductors and in Fig. 10. The strength difference between the two transistors will cause amplitude error in a staircase waveform as shown in Fig. 11(b). In order to analyze it without complexity, we will not consider a distorted staircase waveform (black line) but a distorted triangular waveform (gray line). The effect of approximation of the triangular waveform to the staircase waveform was described in Section III-B in detail. Defining the amplitude error as , the Fourier transform of the distorted triangular waveform can be expressed as (6)

Fig. 14. Digital-intensive MMMB receiver using a Sinc VCO-based ADC.

filter-embedded

where it can be seen that amplitude mismatch adds a second term that has nulls only at even integer multiples of . Therefore, as the amplitude mismatch is present, the rejection ratio will be degraded. of the Sinc filter at the odd multiples of Fig. 12 shows the MATLAB simulation result of the proposed

KIM et al.: MULTIMODE MULTIBAND RECEIVER USING A SINC FILTER-EMBEDDED VCO-BASED ADC

3259

Fig. 15. Schematic of wideband LNA.

Sinc filter with amplitude error of 0.1%–5% when the sampling frequency is 1 GHz. It shows that the simulation result corresponds to the analysis in (6). It also shows that the amplitude mismatch should be less than 5% in order to achieve 40-dB rejection at the first null. 2) Phase Error: The triangular waveform can also be distorted by the phase mismatch between the two rectangular waveforms as shown in Fig. 11. Denoting the phase error as , the Fourier transform of the distorted triangular waveform can be expressed as

(7) It can be seen that the first term would be exactly the same with the transfer function of the Sinc filter if was zero. Considering that is about a few picoseconds in real implementation, the effect of is negligible in the first and second terms. In the third term, the difference of two exponential terms becomes a noticeable error even though is very small, that is, the exponential terms represent periodic signals in the frequency domain and , respectively. Unforwhose frequency is tunately, the amplitude difference of these two periodic signals having slight frequency difference has peak values at the integer multiples of , which are null frequencies of the Sinc filter. Fig. 13 shows the MATLAB simulation result of the proposed Sinc filter with phase error of 0.1%–5% when the sampling frequency is 1 GHz. It can be seen that, for the same percentage errors, the phase error degrades the rejection property of the Sinc filter much more than the amplitude error, as shown in Fig. 12. It corresponds to the above analysis in that the error due to phase mismatch has peak values at the nulls of the Sinc

filter. In order to achieve a rejection ratio above 40 dB at the first null, the phase mismatch should be less than 0.5%. V. MMMB RECEIVER The block diagram of the implemented MMMB receiver using the proposed Sinc filter-embedded VCO-based ADC is shown in Fig. 14. The receiver covers signals at 0.2–1.8 GHz with wideband LNA that amplifies the signal by 8 or 16 dB in low or high-gain mode, respectively. Four ADCs are required for differential I/Q signals, as the ADC receives single-ended input. The output of the passive mixer is directly connected to the input transistor of the proposed VCO-based ADC. An implicit first-order LPF is formed by the on-resistance of the switch transistor and the input capacitance of the ring VCO. The tradeoff between linearity and noise in a generic receiver can be applied to the receiver using a VCO-based ADC in the same way. The nonlinear voltage-to-frequency characteristic of the VCO in a VCO-based ADC can degrade the linearity performance of the receiver such as IIP2 and IIP3. Note that IIP2 can be easily improved by exploiting a differential configuration in the VCO-based ADC, as shown in Fig. 14. In the case of IIP3, unless additional calibration techniques are used [8], [12], LNA gain should be adjusted to reduce the power of interferers that will generate a third-order intermodulation term (IM3) through the VCO nonlinearity. Based on the target noise figure of the receiver and the LNA gain which is set by the VCO nonlinearity, noise specification of the VCO-based ADC can be determined. In a generic receiver, improving the linearity by reducing the gain imposes a stringent requirement on noise. In this work, LNA gain in high-gain mode is determined as 16 dB based on the simulation result of IIP3 of the proposed VCO-based ADC, which is 12.5 dBm.

3260

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 16. Schematic of a rectangular waveform generator.

Fig. 17. Chip micrograph.

The wideband LNA is based on a noise-cancelling architecture using a common-gate and common-source amplifier, as shown in Fig. 15. In order to achieve wideband input impedance matching, an external LC ladder filter and a termination resistor is adopted. The ADC employs a 16-phase subfeedback differential ring VCO so as to increase the tuning range while maintaining the frequency for enhanced time-resolution and high SNR. Depending on the target SNR, the sampling frequency can be changed from 800 MHz to 1.4 GHz, where the lower frequency is determined so as to allow one-bit quantization in the counter, i.e., so that the output of the counter is either one or zero [8]. The maximum frequency is determined by the maximum operating frequency of the following digital decimation filter. Scaling sampling frequency also enables scaling of power consumption, since the VCO-based ADC is implemented using mostly digital circuits. Note that the effect of PVT variation in the ring VCO c,an be significantly alleviated by exploiting a differential configuration of VCO-based ADC as shown in Fig. 14. The error due to the PVT variation in a ring VCO are rejected as a common-mode noise where the rejection is limited by the mismatch between two ring VCOs. The schematic of the rectangular waveform generator for the proposed Sinc filter is shown in Fig. 16. A clock signal whose frequency is twice the sampling frequency of the ADC goes through two divide-by-two circuits. The outputs of the dividers are combined using logic gates and captured by D flip-flop to generate two synchronous rectangular pulses and ) with duty cycles of 0.25 and 0.75, ( respectively.

Fig. 18. Measured output spectra with the Sinc filter and the proposed Sinc filter.

VI. MEASUREMENT RESULTS The die microphotograph of the proposed receiver front-end is shown in Fig. 17. The core area is less than 0.4 mm , where the LNA accounts for 0.06 mm and the differential I/Q ADCs occupy 0.19 mm . A 45 dBm desired signal at 1.001 GHz and a 25 dBm out-of-band interferer at 1.810 GHz that will be aliased to 10 MHz after down conversion are applied to the input of the receiver that has 1-GHz LO and 800-MHz ADC sampling clock. The measured output spectrums with the Sinc filter and the proposed Sinc filter are shown in Fig. 18(a) and (b), respectively. The conventional Sinc filter is obtained by bypassing the multiplier in the ring VCO. The proposed Sinc filter achieves an out-of-band rejection ratio of 50.2 dB, which is almost twice as large as 25.5 dB of the Sinc filter. Note that the quantization noise nulls at dc and are seen due to the two-way time-interleaving of the VCO-based ADC. The rejection ratio of the Sinc filter and Sinc filter when the frequency of the out-of-band interferer is changed from 1.8001 to 1.81 GHz is shown in Fig. 19, where the interferer is aliased to 100 kHz–10 MHz. It can be seen that the rejection ratio of the two filters are relatively constant even when the aliased frequency is close to dc. Simulation results show that the rejection ratio is limited by clock jitter and mismatch among the delay cells. The measured output spectrum with 28 dBm two-tone test is shown in Fig. 20, where it can be seen that the in-band IIP3 is 6.78 dBm. The SNDR as a function of the RF input power is shown in Fig. 21 when the LO frequency is 1 GHz, sampling frequency is 1.4 GHz, and the input bandwidth is 1 and 10 MHz, respectively. Assuming that the required system SNR is 10 dB, the proposed receiver achieves

KIM et al.: MULTIMODE MULTIBAND RECEIVER USING A SINC FILTER-EMBEDDED VCO-BASED ADC

Fig. 19. Rejection ratio of the Sinc filter and Sinc filter.

3261

Fig. 22. SNR versus RF carrier frequency from 0.2 to 1.8 GHz.

TABLE I PERFORMANCE SUMMARY

Fig. 20. Measured output spectrum with

028 dBm two-tone test. 31.2 dBm. As the sampling frequency is changed from 800 MHz to 1.4 GHz, the current consumption of the ADC varies from 20.3 to 27.4 mA, where 9 mA of constant current is consumed in the VCO. The LNA dissipates 12 mA and the LO buffer dissipates 2.1 mA from a 1.35-V power supply. VII. CONCLUSION

Fig. 21. SNDR as a function of the RF input power.

sensitivity levels of 94 and 74 dBm for 1- and 10-MHz bandwidth, respectively. The SNR versus RF carrier frequency from 0.2 to 1.8 GHz is shown in Fig. 22 for the case when the RF input power is 35 dBm and the sampling frequency is 1.4 GHz. The performance is summarized in Table I. The measured IIP2 is

In this paper, we present a 0.2–1.8-GHz digital-intensive receiver front-end using a VCO-based ADC running at 1.4 Gs/s in 90-nm CMOS. In order to achieve MMMB applications where a RF prefilter is absent, we propose a second-order anti-aliasing Sinc filter that can be embedded in the ADC, which exploits the integrating nature of a VCO. To alleviate the analog efforts in implementation, a triangular waveform is approximated to a staircase waveform and its effect is analyzed and verified. Nonideal effect of the proposed architecture is analyzed with regard to the waveform imperfection due to device mismatch. The proposed receiver achieves 94 dBm of sensitivity at 1-MHz bandwidth and 6.8 dBm of IIP3, while providing 50-dB rejection of aliased signals. Since the overhead in implementing such a high-rejection Sinc filter in the VCO-based ADC is a few transistors and a digital clock generator, the proposed receiver can be considered to be quite suitable for the future digital CMOS process.

3262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

REFERENCES [1] R. Bagheri, A. Mirzaei, S. Chehrazi, M. E. Heidari, M. Lee, M. Mikhemar, W. Tang, and A. A. Abidi, “An 800-MHz.6-GHz software-defined wireless receiver in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2860–2876, Dec. 2006. [2] T. Chalvatzis, E. Gagnon, M. Repeta, and S. P. Voinigescu, “A lownoise 40-GS/s continuous-time bandpass ADC centered at 2 GHz for direct sampling receivers,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1065–1075, May 2007. [3] B. K. Thandri et al., “A 63 dB 75-mW bandpass RF ADC at 950 MHz using 3.8-GHz clock in 0.25-..m SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 269–279, Feb. 2007. [4] Y. Yoon, J. Kim, T. Jang, and S. H. Cho, “A time-based bandpass adc using time-interleaved voltage-controlled oscillators,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 12, pp. 3571–3581, Dec. 2008. [5] Y. Yoon and S. H. Cho, “A 1.5 GHz 63 dB SNR 20 mW direct RF sampling bandpass VCO-based ADC in 65 nm CMOS,” in Proc. IEEE SOVC, Jun. 2009, pp. 270–271. [6] J. Lee, J. Kim, and S. H. Cho, “A 1.8 to 2.4-GHz 20 mW digital-intensive RF sampling receiver with a noise-canceling bandpass low-noise amplifier in 90 nm CMOS,” in Proc. RFIC, Sep. 2010, pp. 293–296. [7] R. Winoto and B. Nikolic, “A highly reconfigurable 400–1700 MHz receiver using a down-converting sigma-delta A/D with 59-dB SNR and 57-dB SFDR over 4-MHz bandwidth,” in IEEE Symp. VLSI Circuits Dig. Tech. Papers, 2009, pp. 142–143. [8] J. Kim, T. K. Jang, Y. G. Yoon, and S. H. Cho, “Analysis and design of voltage-controlled oscillator based analog-to-digital converter,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 1, pp. 18–30, Jan. 2010. [9] F. Opteynde, “A maximally-digital radio receiver front-end,” in Proc. ISSCC, Feb. 2010, pp. 450–451. [10] J. Kim, W. S. Yu, H. K. Yu, and S. H. Cho, “Digital-intensive receiver front-end using VCO-based ADC with an embedded 2nd-order antialiasing Sinc filter in 90 nm CMOS,” in Proc. ISSCC, Feb. 2011, pp. 176–177. [11] M. Z. Straayer and M. H. Perrott, “A 12-bit, 10 MHz bandwidth, continuous-time ADC with a 5-bit, 950 ms/s VCO-based quantizer,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 805–814, Apr. 2008. [12] G. Taylor and I. Galton, “A mostly digital variable-rate continuoustime ADC DS modulator,” in Proc. IEEE Int Solid-State Circuits Conf., Feb. 2010, pp. 298–299. [13] G. Taylor and I. Galton, “A mostly-digital variable-rate continuous time delta-sigma modulator ADC,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2634–2646, Dec. 2010. [14] J. A. Weldon, R. S. Narayanaswami, J. C. Rudell, L. Lin, M. Otsuka, S. Dedieu, L. Tee, K. Tsai;, C. W. Lee, and P. R. Gray, “A 1.75-GHz highly integrated narrowband CMOS transmitter with harmonic-rejection mixers,” IEEE J. Solid-State Circuits, vol. 36, no. 10, pp. 2003–2015, Oct. 2001.

16

Jaewook Kim (A’06) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejon, Korea, in 2006, 2008, and 2011, respectively. He is currently a Postdoctoral Associate with the Electrical Engineering and Computer Science Department, Massachusetts Institute of Technology, Cambridge. His research interests are time-based analog-to-digital converters, highly digitized RF receivers and DNA-protein circuits for systems and synthetic biology. Dr. Kim was the corecipient of the 2009 IEEE Transactions on Circuits and System Society Guillemin–Cauer Best Paper Award.

Wonsik Yu (S’12) received the B.S. degree from Ajou University, Suwon, Korea, in 2010, and the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2012, both in electrical engineering. He is currently working toward the Ph.D. degree in electrical engineering at KAIST. His current research interests include time-based analog-to-digital converters, time-to-digital converters, and digital RF receivers

SeongHwan Cho (SM’10) received the B.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejon, Korea, in 1995, and the M.S. and Ph.D. degrees in from the Massachusetts Institute of Technology, Cambridge, in 1997 and 2002, respectively. In 2002, he joined Engim, Inc., where he was involved in data converters and phased-locked loop (PLL) design for IEEE 802.11abg WLANs. Since November of 2004, he has been with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, where he is currently an Associate Professor. His research interests include mixed-signal and analog circuits for low-power communication systems and bio/healthcare devices. Prof. Cho was the corecipient of the 2009 IEEE Transactions on Circuits and System Society Guillemin–Cauer Best Paper Award. He serves on the Technical Program Committee on several IEEE conferences, including ISSCC, Symposium on VLSI, and A-SSCC. He has served as an associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS from 2010 to 2011 and guest editor of the IEEE JOURNAL OF SOLID-STATE CIRCUITS. He has twice received the Outstanding Lecturer Award from the Department of Electrical Engineering, KAIST.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3263

Design and Analysis of a Low-Power 3–6-Gb/s 55-GHz OOK Receiver With High-Temperature Performance Mehmet Uzunkol, Student Member, IEEE, Woorim Shin, Student Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents an in-depth analysis of an SiGe BiCMOS on–off keying (OOK) receiver composed of a low-noise SiGe amplifier and an OOK detector. The analysis indicates that the bias circuit and bias current have a substantial impact on the receiver and should be optimized for best performance. The LO leakage from the transmitter can also have a detrimental impact on the receiver sensitivity and should be minimized for best performance. The receiver consumes 11 mW, has a noise equivalent at 55 GHz, and an instantaneous dynamic power of 5–10 fW/Hz range of 27–30 dB. The OOK receiver achieves 6-Gb/s communication with a bit-error rate (BER) at room temperature. Operation is also demonstrated up to 105 C at 3 Gb/s with a . Index Terms—Low-noise amplifier (LNA), millimeter wave, multigigabits, on–off keying (OOK), 60 GHz, wireless.

I. INTRODUCTION

M

ILLIMETER-WAVE communication systems at 60 GHz offer the possibility of a wide spectral bandwidth (BW) that allows for gigabits/second communication links [1]. Several designs have been demonstrated recently using binary phase-shift keying (BPSK), quadrature phase-shift keying (QPSK), and 16 quadrature amplitude modulation (QAM) modulation [2]–[4], but these require local oscillators (LOs), dividers, and phase-locked loops (PLLs), which can be power hungry, especially when operated at high temperatures. The on–off keying (OOK) modulation scheme, while spectrally inefficient, allows for a very low power architecture since receivers do not employ LOs, and transmitters generally use a free-running LO without a divider/PLL circuit. Several OOK receivers, transmitters, and transceivers have been demonstrated recently using SiGe and advanced CMOS nodes and with good performance [5]–[11]. However, none of these papers have presented an in-depth analysis of these systems showing their ultimate sensitivity and limitations. Also, to the

Manuscript received May 08, 2012; revised July 24, 2012; accepted July 25, 2012. Date of publication August 17, 2012; date of current version September 27, 2012. This work was supported by the Intel Corporation and the University of California (UC) Discovery Program, Dr. I. Young and Dr. J. Rizk, program managers. The authors are with the Electrical and Computer Engineering Department, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2211381

Fig. 1. OOK receiver system consisting of an SiGe LNA and a detector.

authors’ knowledge, none of the published systems have shown gigabit/second operation up to 105 C. This paper presents an expanded and in-depth analysis of an OOK receiver [6], together with analysis showing the noise due to the bias circuit, noise equivalent power (NEP) versus bias current, instantaneous dynamic range, ultimate sensitivity, and the effect of transmitter LO leakage on the receiver sensitivity. The receiver consists of a low-noise amplifier (LNA) followed by an OOK detector (Fig. 1). It is shown that an NEP of 5–10 fW/Hz can be achieved at 55 GHz using an advanced SiGe BiCMOS technology, which results in an OOK receiver dynamic range of 27–30 dB. The OOK receiver is tested versus different input power levels and can achieve 6-Gb/s communication with a at room temperature. Also, operation is demonstrated up to 105 C at 3 Gb/s with a . II.

-BAND LNA

The LNA and OOK detector are fabricated in a 0.12- m SiGe BiCMOS technology (IBM8HP) with seven metal layers of [12]. This process has 0.12- m SiGe HBTs with an of 180–200 GHz and 0.12- m CMOS transistors with an 90–100 GHz, but the CMOS transistors are not used. Grounded coplanar-waveguide (G-CPW) transmission lines with dimenare implemented in the sions of 11/12/11 m top three metal layers, with a simulated loss of 0.55 dB/mm at 60 GHz. The 50- G-CPW transmission lines are used at the input/output interconnections; whereas 60- (11/4/11 m) and 55- (11/6/11 m) G-CPW transmission lines are used as shorted stubs with a of 11–12 at 60 GHz. Standard IBM transistor cells and metal–insulator–metal (MIM) capacitor models are used, and full electromagnetic modeling is done on the transmission lines and stubs using Sonnet [13]. Fig. 2 presents the four-stage common-source SiGe LNA, which is designed for low noise and high gain [6]. The first stage is designed for simultaneous power and noise matching with an

0018-9480/$31.00 © 2012 IEEE

3264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 2. (a) LNA schematic and (b) G-CPW transmission line ( dimensions are in m).

, all

emitter degeneration inductor and consumes 1 mA, while the following stages are designed for high gain with 2 mA per stage. The bias circuits are implemented using standard current mirrors. The simulated gain is 25 dB at 52–61 GHz, and the simulated NF is 5.7–5.8 dB at 55–60 GHz. The amplifier consumes 10.5 mW (7 mA from a 1.5-V supply) and is biased using a current mirror. A PTAT current source is not used, and the LNA current increases to 7.5 mA at 105 C. Fig. 3(a) presents the measured amplifier gain versus temperature. A gain of 26 dB occurs at 52 GHz with an NF of 6–6.5 dB at 50–60 GHz [see Fig. 3(b)]. The gain decreases by 4.9 dB at 105 C (simulations predict 3.5 dB). The measured output at 55 GHz is 10 dBm dBm , which does not limit the receiver , as shown in Section III. III.

-BAND DETECTOR

A. Design The -band OOK detector is shown in Fig. 4(a). The design employs emitter degeneration and a base inductor to match the detector input impedance to 50 . A 60-GHz notch filter with pH and fF is used at the collector to filter out the fundamental signal, and the collector impedance is set to 600 for dc-biasing purposes. The nonlinear bipolar junction transistor (BJT) response with emitter degeneration is analyzed using the large-signal model shown in Fig. 4(a) and is presented in the Appendix. The detector open-circuit responsivity, defined as under input impedance-match conditions, is calculated using the Volterra kernels, and can be expressed as (1) where is the addition of the intrinsic BJT emitter resistance and the extrinsic resistance due to the finite

Fig. 3. Measured LNA. (a) Gain versus temperature (25 C–105 C with 10 steps). (b) NF versus frequency.

of the emitter inductance , is the source resistance (50 ), is the load resistance (600 ), is the total capacitance of the base–emitter depletion capacitance and diffusion capacitance , and is the thermal voltage (26 mV at 300 K). At low bias currents ( and ), the responsivity increases linearly with dc bias current . As the bias current is increased, the and terms in cause the responsivity to peak and then roll off. Fig. 4(b) presents a comparison between the calculated opencircuit responsivity using (1) and the simulated responsivity in SpectreRF using the transistor models provided by IBM. A device size of 5.2- m width and are used, and the detector input impedance is matched to at each bias current point by corresponding ideal and inductors. For the calculated responsivity, the values are estimated from the versus plots given in the IBM8HP design manual [12]. The calculated and simulated responsivity values agree well with each other. It should be noted that these simulations/calculations are for the open-circuit responsivity. Therefore, the loading effect needs to be considered when the detector is followed by a wideband baseband amplifier. The emitter degeneration inductor linearizes the device and reduces the responsivity (Fig. 5). In this case, pH and pH for all bias currents, and the simulations include the inductor (11–12). At low bias currents 120 A , the inductive feedback is negligible since . As the bias current is increased A , the simulated responsivity drops by a factor of 2 when the emitter inductor is used. Since results in a wide impedance match, it is preferable to use an emitter inductor to account for process and temperature variations. One of the key parameters of a detector is the NEP, and it is defined as the input power that results in a signal-to-noise ratio

UZUNKOL et al.: DESIGN AND ANALYSIS OF LOW-POWER 3–6-Gb/s 55-GHz OOK RECEIVER

Fig. 4. (a) Detector schematic and large-signal model for nonlinearity analysis. (b) Simulated (using SpectreRF with IBM models) and calculated [using (1)] . detector open-circuit responsivity versus

Fig. 5. Simulated responsivity of the 60-GHz detector with and without the pH . degeneration inductor

(SNR) of 1 at the detector output. In other words, the NEP is the output noise voltage divided by the responsivity. Therefore, it is of interest to analyze the noise contribution of each component in the OOK detector shown in Fig. 4(a). The output noise voltage at low frequencies is

(2) where the noise contribution of , , , , , and are expressed, respectively, neglecting of . noise is neglected because this is a wideband receiver. At very low

3265

Fig. 6. Output noise contribution of each component versus frequency and inA and (b) tegrated (1 MHz–10 GHz) noise voltage at: (a) A.

A , is proportional to , whereas the responsivity is proportional to , and the NEP decreases as increases. As is further increased, becomes proportional to , and the responsivity is no longer proportional to , and the NEP starts to degrade. Therefore, there is a certain bias current that minimizes the detector NEP. Fig. 6 presents the simulated output noise contribution of each component versus frequency for a bias current of 100 and 350 A. The bias resistors have the highest noise contribution at low frequencies with a noise transfer function pole at MHz ( k , fF). Therefore, the integrated total output noise due to the bias resistors at a fixed and depends only on the input capacitance, and can be expressed as (3) Even though lower value bias resistors could be used to improve the spot noise, the integrated output noise due to and is the same as long as the pole frequency is lower than the output signal BW. This is an important observation for wideband OOK receivers. However, for narrowband imaging receivers with a BW of 30–3000 Hz, it is essential to choose a much lower noise biasing network [14], [15]. Fig. 7 presents the integrated (1 MHz–10 GHz) output noise voltage due to and for different values (1–10 k ) at of 100

3266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 7. Integrated output noise voltage (1 MHz–10 GHz) due to and versus at: (a) A and (b) A. values are also . changed proportionally for each

Fig. 9. (a) Detector output noise voltage measurement setup and (b) measured and simulated detector output noise voltage versus output IF frequency at A and A. Fig. 8. Measured and simulated -parameters of the OOK detector.

and 350 A. In these simulations, the values are changed proportionally for each to keep the same current mirror ratio and . The integrated output noise due to the bias resistors is virtually constant, as expected. For future designs, the detector output noise can be reduced with the following design choices. A lower current-mirror ratio will reduce the noise contribution of , , and , as given by (2), since a lower value is used. The current-mirror ratio could be set to 1 or even higher since the detector power consumption is negligible compared to the LNA. A larger input capacitor will result in a lower integrated noise from the bias network [refer to (3)], but since it is in the RF path, attention should be placed on its resonating frequency. Most importantly, an input matching network with a shunt inductor can be used. Hence, and will be completely eliminated since the bias is applied to an ac ground. B. Measurements Fig. 8 presents the measured and simulated -parameters of the OOK detector. The detector is well matched and the notch filter characteristic is clearly seen in the response. Fig. 9(a) presents the detector output noise measurement setup. The detector output impedance is 600 and an SMA-tee together with an SMA 50- termination is placed right after the output ground–signal–ground (GSG) probe in order to eliminate the standing waves due to the long SMA cable to the spectrum analyzer (Agilent E4448A). The spectrum analyzer internal preamp (100 kHz–3 GHz) is turned on to reduce the noise floor to 168 dBm/Hz. The noise contribution of the bias resistors can be clearly seen, especially below 500 MHz [see Fig. 9(b)]. Fig. 10 presents the measured and simulated responsivity, output noise voltage, and NEP of the detector versus at

Fig. 10. Measured and simulated detector responsivity, output noise voltage, GHz . The output IF frequency is 500 MHz. and NEP versus

60 GHz. The noise voltage is sampled at an output IF frequency of 500 MHz so that the noise contribution of the bias resistors is reduced. The measured responsivity into a 50- load is 600–700 V/W at A, and the measured output noise at 500 MHz is 1.4–2.9 nV/Hz . This results in a measured NEP of at 60 GHz for A. Fig. 11 2.0–4.5 pW/Hz shows the measured and simulated responsivity and NEP of the OOK detector versus frequency. Note that the NEP at 100 A is 2–2.5 lower than at 350 A.

UZUNKOL et al.: DESIGN AND ANALYSIS OF LOW-POWER 3–6-Gb/s 55-GHz OOK RECEIVER

Fig. 13. Microphotograph of the OOK receiver (1.1 pads).

3267

0.5 mm including the

Fig. 11. Measured and simulated detector responsivity and NEP versus freA and A. The output IF frequency is quency at 500 MHz.

Fig. 12. Measured and simulated detector responsivity versus input power. dBm. Input

Fig. 12 presents the measured detector responsivity versus input power. The detector input is defined as the input power, which results in a relative output voltage of 0.9 , and is 20 dBm for both cases. The transistor self-bias at 20 dBm with an additional 120- A of current. IV.

-BAND OOK RECEIVER CHARACTERIZATION

A. LNA/Detector The OOK receiver occupies 0.57 1.1 mm including the pads and is based on the SiGe LNA followed by the OOK detector (Fig. 13). The OOK receiver responsivity is simply the LNA gain multiplied by the detector responsivity. The measured responsivity in a 50- load at 55 GHz is 160–190 kV/W for A. Since the LNA response shifted from 56 to 52 GHz, the measured LNA will be used in the OOK receiver simulations [see Fig. 3(a)]. The measured OOK receiver NEP is 10–24 fW/Hz at A for an output IF frequency of 500 MHz (Fig. 14). The NEP is dominated by the OOK detector and is again 2–2.5 higher than for 350 A compared to 100 A. The OOK receiver consumes 7.5 mA from a 1.5-V supply (11 mW).

Fig. 14. Measured and simulated OOK receiver responsivity and NEP versus: and (b) frequency. The output IF frequency is 500 MHz. (a)

B. BER Measurements Fig. 15(a) presents an OOK transmitter system based on an 1 pseudorandom Agilent N4903A BER tester sending 2 binary sequence (PRBS). A carrier frequency of 55 GHz is chosen. A coaxial mixer was used to achieve the OOK modulation by multiplying the PRBS data with the carrier. If the incoming data is 1, then the carrier signal passes through the mixer; else, there is no signal at the mixer output. However, due to the finite LO-RF isolation, there is a residual signal at the mixer output when the data is 0, which greatly reduces the system dynamic range. In order to cancel the residual signal, an LO leakage cancelation path with the same residual amplitude and 180 out of phase is used together with a waveguide magic-tee summer [see Fig. 15(a)]. The OOK modulated signal is transmitted and received using WR-15 waveguide antennas over variable distances, but this is normalized out of the experiment and all power levels are referred to the available input power at the GSG probe tips. The detected baseband signal after the device-under-test (DUT) (OOK receiver chip) is amplified by coaxial 50- 1–6000-MHz

3268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 15. (a) BER measurement setup and (b) measured BER versus data rate at a carrier frequency of 55 GHz.

wideband amplifiers and fed back to the BER tester. The baseband amplifier has an NF of 5 dB, which is insignificant compared to the output noise from the OOK receiver. Their power consumption is not included in the calculations. Fig. 15(b) presents the BER versus data rate for different input power levels, and a 6-Gb/s wireless communication link is achieved with a 10 BER. The measured BER is actually 10 , but it takes significant amount of time to measure a so 10 is determined to be the lower limit. The optimum BER is achieved at a midpoint input power of 36 dBm. Fig. 16(a) presents the BER versus input power for a fixed data rate (3 Gb/s). The dynamic range of the OOK receiver is 23–27 dB taking the BER of 10 as a reference. Additionally, the OOK receiver is measured versus temperature at 3 Gb/s with an input power of 36 dBm, and the BER remains 10 at 25 C–105 C [see Fig. 16(b)]. The eye diagram at 25 C is also presented in Fig. 16(b). It is instructive to analyze the minimum input power for a certain BER. For a coherent OOK modulation with a doubleside spectrum, the BER is (4) where is the complementary error function, is the energy per bit to the noise power spectral density ratio [16]. Using (4), the OOK modulation scheme requires an dB for . The required SNR is calculated using [17] dB

dB

Data Rate/BW

(5)

Fig. 16. Measured BER versus: (a) input power and (b) temperature at a carrier frequency of 55 GHz.

Considering 3-Gb/s data rate and a 6-GHz baseband amplifier BW, the required SNR for a BER of 10 is 14 dB. The measured NEP of the OOK receiver is 10–24 fW/Hz at 100–350 A, which is equivalent to 110 to 106 dBm/Hz . The minimum input power necessary for a BER of 10 can be calculated using dBm/Hz

dB

(6)

and is 47 to 43 dBm for 100–350 A. As shown in Fig. 16(a), the measured minimum input power for a BER of 10 is 41 dBm at 350 A. The 2-dB difference is due to the LNA response, where the measured peak gain is centered at 52 GHz. Therefore, the LNA/detector performs almost a one-sided spectral detection when a 55-GHz carrier is chosen. A higher gain LNA can be used to improve the OOK receiver sensitivity at the expense of a lower input . However, since the output noise is mostly dominated by the detector, a higher gain LNA will shift the lower sensitivity and upper compression power levels by the same amount, and the receiver dynamic range will not change. If a lower gain LNA is used, the receiver input can be improved at the expense of receiver sensitivity. Again, the dynamic range will not change. Therefore, in order to improve the dynamic range, a variable-gain LNA should be used. C. Effect of LO Leakage and Power Compression on OOK Detection Fig. 17(a) shows the voltage waveform of the OOK modulated signal in the time domain, and in an ideal OOK modulator, . However, due to the LO leakage in the OOK modulator, there is a residual signal, which limits the receiver dynamic range. In a nonideal OOK modulator, the LO leakage

UZUNKOL et al.: DESIGN AND ANALYSIS OF LOW-POWER 3–6-Gb/s 55-GHz OOK RECEIVER

3269

Fig. 18. Simulated NEP of the: (a) detector and (b) OOK receiver versus temperature.

Fig. 17. (a) OOK modulated waveform. (b) BER versus for nonideal OOK modulation. (c) SNR degradation versus at a fixed BER.

can be modeled as BER is (see the Appendix)

, where

, and the

The LO leakage was reduced from 15 to 50 dBm using the cancellation path, which corresponds to dB and no sensitivity degradation. As shown in Fig. 16(a), the BER performance degrades at high input power levels when the LNA and OOK detector enter into compression. Intuitively, the gain is different for the (with compression) and (without compression) intervals, and this results in a decrease of the effective isolation. Therefore, the system BER decreases by a similar reasoning as LO leakage effects. D. Effect of Temperature on OOK Receiver Dynamic Range

(7) for an ideal OOK Equation (7) simplifies to (4) when modulation. Additionally, corresponds to a BPSK modulation scheme, and it is observed in (7) that the OOK modulation requires 3 dB more energy per bit to achieve the same performance as BPSK modulation. Fig. 17(b) presents the BER versus for various values. When – (10–20-dB isolation), the sensitivity degradation is 4 and 1 dB, respectively [see Fig. 17(c)]. There is virtually no sensitivity degradation above 30-dB isolation. In the BER measurement setup shown in Fig. 15(a), the OOK modulator is a coaxial Marki Microwave mixer [18] with 50matched ports with an IF BW of dc–10 GHz, an input of 2 dBm, a conversion loss of 9 dB, and an LO-RF isolation of 25 dB. The LO drive level is 10 dBm at 55 GHz. The IF data signal from the BER tester has an amplitude of 300 mV for bit 1 and 0 mV for bit 0. Therefore, has an amplitude of 105 mV considering the 9-dB conversion loss, and has an amplitude of 56 mV. This corresponds to (6-dB isolation) and a sensitivity degradation of 7 dB [see Fig. 17(c)].

Fig. 18 presents the simulated NEP of the detector and the OOK receiver versus temperature at A. The NEP degradation of the OOK detector is only 1 dB at 105 C, but that of the entire receiver is 6 dB, mostly due to the 5-dB drop in the LNA gain. Therefore, the minimum input power level for a certain BER will increase by 6 dB. On the other hand, the LNA is 5 dB higher at 105 C due to the LNA gain decrease. Hence, the OOK receiver dynamic range is estimated to be 1 dB lower at 105 C. Finally, as discussed in Section III-A, the NEP can be improved by eliminating the noise of and through the use of a shunt inductor at the base of [see Fig. 4(a)]. In this case, the NEP improves by 3 dB and is 5 fW/Hz for the entire receiver, which increases the instantaneous dynamic range to 30 dB. The authors believe that this is an inherent limit for OOK receivers, given on one side by the NEP and on the other side by receiver compression. V. CONCLUSION A low-power OOK receiver at -band has been developed in a commercial 0.12- m SiGe BiCMOS process, and 3–6-Gb/s wireless communication link has been demonstrated with a

3270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

up to 105 C. In-depth analyses indicate that the OOK receiver operates best at low bias currents, and care should be taken to reduce the bias circuit noise, and to reduce the LO leakage from the transmitter. The OOK receiver, when well designed, can have an instantaneous dynamic range of 30 dB. APPENDIX A. Derivation of the Detector Responsivity Using the large-signal BJT model shown in Fig. 4(a), the collector current can be described by a Volterra-series expression with an applied voltage and expressed as

Fig. 19. BER versus

for coherent and noncoherent OOK modulation.

BER for an optimum binary receiver has been derived in [16], and is

(8) The first two Volterra kernels are derived for a commonemitter BJT in [19]. To find the dc response due to second-order nonlinearity, is obtained by setting and is shown as follows:

(15) where (16)

(9) (10)

and

are the energies of

where

, , , and . is the emitter resistance including the finite of . is the source resistance (50 ), is the base resistance of the device. and are the matching inductors at the emitter and base, respectively. is the depletion capacitance, and is the diffusion capacitance of the device. is the input voltage source and is equal to . The responsivity is defined as the output dc voltage due to nonlinearity divided by the available input power and can expressed as (11)

and

, respectively, and (17)

Let

, then (18)

The BER can be expressed in terms of a more basic parameter , the energy per bit. Assuming bit 1 and 0 are equally likely, is the mean of and . Hence, (19) Substituting (18) and (19) into (15) yields the BER for a coherent OOK detection, and it is expressed as

Considering the input impedance match condition (12)

(20)

(13) For the case of a noncoherent OOK receiver, the BER is derived in [20], and is

Substituting (9), (10), (12), and (13) into (11) yields (14)

B. Derivation of the BER for a Nonideal OOK Modulation In a binary scheme where symbols are transmitted every seconds, let and be the two pulses used to transmit bit 1 and 0. In an ideal OOK , but there is a residual signal in the OOK modulator due to the LO leakage, and . The

(21) for theoretical coherent Fig. 19 shows the BER versus OOK (4) and noncoherent OOK (21). The difference between the coherent and noncoherent OOK is 0.5 dB to achieve the same BER of 10 , virtually they are same for all practical purposes. Since the mathematics involved with the noncoherent OOK analysis (especially for the LO leakage) is tedious, all the analysis presented in this paper are based on the coherent OOK.

UZUNKOL et al.: DESIGN AND ANALYSIS OF LOW-POWER 3–6-Gb/s 55-GHz OOK RECEIVER

ACKNOWLEDGMENT The authors would like to thank Prof. J. Buckwalter, University of California at San Diego (UCSD), La Jolla, for his help in the BER measurements.

REFERENCES [1] S. K. Reynolds, B. A. Floyd, U. R. Pfeiffer, T. Beukema, J. Grzyb, C. Haymes, B. Gaucher, and M. Soyuer, “A silicon 60-GHz receiver and transmitter chipset for broadband communications,” IEEE J. SolidState Circuits, vol. 41, no. 12, pp. 2820–2831, Dec. 2006. [2] A. Siligaris, O. Richard, B. Martineau, C. Mounet, F. Chaix, R. Ferragut, C. Dehos, J. Lanteri, L. Dussopt, S. D. Yamamoto, R. Pilard, P. Busson, A. Cathelin, D. Belot, and P. Vincent, “A 65-nm CMOS fully integrated transceiver module for 60-GHz wireless HD applications,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3005–3017, Dec. 2011. [3] K. Okada, N. Li, K. Matsushita, K. Bunsen, R. Murakami, A. Musa, T. Sato, H. Asada, N. Takayama, S. Ito, W. Chaivipas, R. Minami, T. Yamaguchi, Y. Takeuchi, H. Yamagishi, M. Noda, and A. Matsuzawa, “A 60-GHz 16QAM/8PSK/QPSK/BPSK direct-conversion transceiver for IEEE802.15.3c,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 2988–3004, Dec. 2011. [4] A. Tomkins, R. A. Aroca, T. Yamamoto, S. T. Nicolson, Y. Doi, and S. P. Voinigescu, “A zero-IF 60 GHz 65 nm CMOS transceiver with direct BPSK modulation demonstrating up to 6 Gb/s data rates over a 2 m wireless link,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2085–2099, Aug. 2009. [5] K. Kang, F. Lin, D.-D. Pham, J. Brinkhoff, C.-H. Heng, Y. X. Guo, and X. Yuan, “A 60-GHz OOK receiver with an on-chip antenna in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 9, pp. 1720–1731, Sep. 2010. [6] W. Shin, M. Uzunkol, and G. M. Rebeiz, “Ultra low power 60 GHz ASK SiGe receiver with 3–6 Gbps capabilities,” in IEEE Compound Semicond. Integr. Circuits Symp., Oct. 2009, pp. 1–4. [7] M. F. Karim, Y.-X. Guo, M. Sun, J. Brinkhoff, L. C. Ong, K. Kang, 4 antenna array and F. Lin, “Integration of SiP-based 60-GHz 4 with CMOS OOK transmitter and LNA,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 7, pp. 1869–1878, Jul. 2011. [8] W. Shin, M. Uzunkol, R. A. Alhalabi, and G. M. Rebeiz, “60 GHz low power 1.5 Gb/s ASK transmitter in 90 nm CMOS with on-board Yagi–Uda antenna,” in Eur. Microw. Conf., Sep. 2010, pp. 272–275. [9] J. Lee, Y. Chen, and Y. Huang, “A low-power low-cost fully-integrated 60-GHz transceiver system with OOK modulation and on-board antenna assembly,” IEEE J. Solid-State Circuits, vol. 45, no. 2, pp. 264–275, Feb. 2010. [10] E. Juntunen, M. C.-H. Leung, F. Barale, A. Rachamadugu, D. A. Yeh, B. G. Perumana, P. Sen, D. Dawn, S. Sarkar, S. Pinel, and J. Laskar, “A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK digital radio,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 348–355, Feb. 2010. [11] W.-H. Chen, S. Joo, S. Sayilir, R. Willmot, T.-Y. Choi, D. Kim, J. Lu, D. Peroulis, and B. Jung, “A 6-Gb/s wireless inter-chip data link using 43-GHz transceivers and bond-wire antennas,” IEEE J. SolidState Circuits, vol. 44, no. 10, pp. 2711–2721, Oct. 2009. [12] “BiCMOS-8HP Model Reference Guide,” Compact Model Development CL4V Dept., Microelectron. Div., IBM, Yorktown Heights, NY, Jun. 2011, V1.2.1.0hp ed. [13] Sonnet. ver. 12.52, Sonnet Softw. Inc., Syracuse, NY, 2009. [14] J. W. May and G. M. Rebeiz, “Design and characterization of -band SiGe RFICs for passive millimeter-wave imaging,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1420–1430, May 2010. [15] E. Dacquay, A. Tomkins, K. H. K. Yau, E. Laskin, P. Chevalier, A. Chantre, B. Sautreuil, and S. P. Voinigescu, “ -band total power radiometer performance optimization in an SiGe HBT technology,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 813–826, Mar. 2012. [16] B. P. Lathi, Modern Digital and Analog Communication Systems, 3rd ed. Oxford, U.K.: Oxford Univ. Press, 1998. [17] “Calculating the sensitivity of an ASK receiver,” Maxim Dallas Semiconductor, Dallas, TX, Appl. Note 2815, Nov. 2003. [Online]. Available: http://pdfserv.maxim-ic.com/en/an/AN2815.pdf [18] “Double-balanced mixers,” Marki Microw., Morgan Hill, CA, Tech. Rep. M9-0765, Sep. 2009. [Online]. Available: http://www.markimicrowave.com/menus/datasheets/M9-0765.pdf

3271

[19] K. L. Fong and R. G. Meyer, “High-frequency nonlinearity analysis of common-emitter and differential-pair transconductance stages,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 548–555, Apr. 1998. [20] L. W. Couch, Digital and Analog Communication Systems, 5th ed. New York: Prentice-Hall, 1997. Mehmet Uzunkol (S’05) received the B.S. degree in microelectronics from Sabanci University, Istanbul, Turkey, in 2007, the M.S. degree in electrical and computer engineering from the University of California at San Diego, La Jolla, in 2009, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of California at San Diego. His research interests include RF and millimeterwave integrated circuits in silicon technologies. Woorim Shin (S’08) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 2007, the M.S. degree in electrical and computer engineering from the University of California at San Diego, La Jolla, in 2009, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of California at San Diego. In 2001, he held an internship position with the Intel Corporation, Hillsboro, OR, where he was involved in the design of millimeter-wave communication systems. His research interests include RF and millimeter-wave integrated circuit and systems in silicon technologies. Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is currently the Wireless Communications Industry Chair Professor of electrical and computer engineering with the University of California at San Diego (UCSD), La Jolla. From 1988 to 2004, he was at The University of Michigan at Ann Arbor. From 1988 to 1996, he contributed to planar millimeter-wave and terahertz antennas and imaging arrays, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed 6–18- and 40–50-GHz eight- and 16-element phased arrays on a single silicon chip, and the first millimeter-wave silicon passive imager chip at 85–105 GHz. His group also demonstrated high- RF microelectromechanical systems (MEMS) tunable and the new angular-based RF MEMS capacifilters at 1–6 GHz tive and high-power high-reliability RF MEMS metal-contact switches. As a consultant, he helped develop the USM/ViaSat 24-GHz single-chip SiGe auto-, -, -, -, and -band motive radar, phased arrays operating at -, for defense and commercial applications, the RFMD RF MEMS switch, and the Agilent RF MEMS switch. He is the Director of the UCSD/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He has authored or coauthored over 500 IEEE publications. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). He has graduated 42 Ph.D. students and 15 post-doctoral fellows. He currently leads a group of 21 Ph.D. students and post-doctoral fellows in the area of millimeter-wave RF integrated circuits (RFICs), tunable microwaves circuits, RF MEMS, planar millimeter-wave antennas, and terahertz systems. Prof. Rebeiz is a National Science Foundation (NSF) Presidential Young Investigator. He has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has been a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), IEEE Antennas and Propagation Society (AP-S), and IEEE Solid-State Circuits Society. He was the recipient of an URSI Koga Gold Medal Recipient, the 2003 IEEE MTT-S Distinguished Young Engineer, the IEEE MTT-S 2000 Microwave Prize, the IEEE MTT-S 2010 Distinguished Educator Award, the 2011 IEEE AP-S John D. Kraus Antenna Award, the 1997–1998 Eta-Kappa-Nu Professor of the Year Award, the 1998 College of Engineering Teaching Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at The University of Michigan at Ann Arbor, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have been the recipients of a total of 20 Best Paper Awards of IEEE MTT-S, RFIC, and AP-S conferences.

3272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Linearity Considerations for Low-EVM, Millimeter-Wave Direct-Conversion Modulators Arpit K. Gupta, Student Member, IEEE, and James F. Buckwalter, Member, IEEE

Abstract—This paper presents the analysis and implementation of a millimeter-wave (mm-wave) I/Q modulator and determines the impact of I- and Q-channel nonlinearity, PA nonlinearity, and other nonideal circuit implementations on the error vector magnitude (EVM). The modulator is fabricated in 120-nm SiGe BiCMOS process and occupies an area of 5 mm . For a 64-QAM constellation at a 42-GHz carrier frequency, the EVM is less than 2.5% at 48-Mbps data rate. The on-chip digital-to-analog conversion resolution is capable of employing digital pre-distortion (DPD) and calibration. An external power amplifier is linearized to improve the EVM with DPD. To the best of the authors’ knowledge, this is the lowest EVM reported at these mm-wave frequencies. Index Terms—Adjacent channel power ratio, digital pre-distortion (DPD), direct-conversion transmitter, error vector magnitude (EVM), I/Q modulator, -band, quadrature amplitude modulation (QAM), upconverter.

I. INTRODUCTION

L

INEAR transmitters are critical to achieving high-capacity communication links. With the advancement of the fabrication processes through lithographic scaling, transmitter systems that include digital signal processing, analog baseband circuitry, and RF front-ends can be implemented in low-cost Si/SiGe processes at millimeter-wave (mm-wave) bands. High-capacity communication for satellite links suggests using spectrally efficient -ary quadrature amplitude modulation (QAM). Isolating the impact of various circuit nonidealities on error vector magnitude (EVM) is necessary to satisfy the low EVM demands for -QAM modulation. Existing literature on transmit system analysis does not decompose sources of nonlinearity in a transmitter between the baseband, upconverter, and RF contributions. Typically, the impact of power amplifier (PA) amplitude (AM–AM) compression and phase compression (AM–PM) on the EVM is treated but not distinguished from other sources of circuit compression [1], [2]. In particular, the separate contribution of the I/Q path compression and PA compression has not been previously analyzed. This paper presents theoretical derivations and discussions of the EVM contributions due to I/Q path nonlinearity and PA AM–AM and AM–PM compression for various -QAM schemes. Other well-known sources of errors like I/Q gain and

phase imbalances, LO leakage, LO phase noise, and symbol rate are also included in the subsequent analysis to discuss the relative impact and how these errors are related to the compression effects. Process variations and modeling limitations at high frequency result in systematic errors which significantly degrade the EVM. Digital calibration is a preferred method to compensate for these errors instead of incurring high area and power penalties to reduce the mismatches [3]. As higher -ary QAM is considered, the overall contribution to EVM of these nonlinearity effects and circuit mismatches is discussed. Additionally, digital correction through pre-distortion can compensate the transmitter linearity without significant sacrifice to overall efficiency. Mm-wave power amplifiers (PA) are not particularly high-power or efficient relative to amplifiers at RF bands. Consequently, a mm-wave PA operating at peak efficiency degrades the EVM through gain compression. Digital pre-distortion (DPD) is primarily used for compensating the PA compression. Pre-distortion has been demonstrated for GaAs–GaN PAs [4], [5] and in CMOS process at RF bands [6]. Previously implemented I/Q modulators rely on external sources for baseband signal generation and have not shown the capability to perform digital correction and pre-distortion [7]–[11]. The implemented I/Q modulator contains high-resolution and high-speed digital-to-analog converters (DACs) to perform digital calibration and pre-distortion in a monolithic platform. Section II presents a comprehensive analysis of the circuit nonidealities and mismatch contributions to the EVM. The EVM is calculated from the derived equations for various cases. The EVM dependence on the -ary QAM modulation formats is also discussed. Analysis presented in Section II is used to derive the key system specifications in Section III, which is followed by the discussion of the implemented modulator architecture and the design of various building blocks. Measurement setup details and results are included in Section IV. Digital calibration of the systematic errors is performed, and the achieved EVM for different -ary QAM schemes is presented. EVM enhancement with the aid of DPD of the input signal is demonstrated in Section IV-D while an external PA is operated close to the compression point. II. SYSTEM ANALYSIS

Manuscript received January 03, 2012; revised June 27, 2012; accepted July 03, 2012. Date of publication August 20, 2012; date of current version September 27, 2012. The authors are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92037 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209435

EVM is the key metric for the transmit systems employing -QAM. A low EVM is desired to achieve reliable transmission, but various circuit nonidealities, such as amplitude nonlinearity, in-band noise, LO or carrier phase noise, IQ gain and phase imbalances, and LO leakage, degrade the EVM. While prior work has discussed some of these individual contributions

0018-9480/$31.00 © 2012 IEEE

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

3273

, where is the expectation operator. Therefore, the EVM is defined as

(2) In subsequent discussions, the impact of each source of nonlinearity and error is used to calculate the impact on EVM. For brevity, the discrete-time notation for the envelope and reference are removed.

Fig. 1. Transmit system model with various circuit errors.

to EVM, this analysis seeks to describe the impact of nonlinearity before and after the upconversion and how these sources of nonlinearity interact to impact EVM. As shown in Fig. 1, the direct-conversion I/Q modulator is decomposed into a series of dominant sources of nonideality and mismatch that impact EVM. The I/Q signal path is represented as a third-order polynomial given by , where and are the first-order (linear) and third-order coefficients, respectively, and is the input I or Q voltage. Parameters and denote the I/Q gain and phase mismatches in Fig. 1. Since LO leakage or carrier feed-through appears as the dc offset in the envelope after down-conversion, it is modeled as an additive dc voltage in the I/Q path. The multiplicative term represents the LO phase noise. Finally, denote the PA AM–AM nonlinearity and denotes the PA AM–PM nonlinearity. A thorough analysis and discussion on how each of these issues affect the EVM is presented in the following sections.

A. EVM Definition The block diagram of a direct-conversion I/Q modulator is and shown in Fig. 1. Baseband I and Q signals are generally independent inputs corresponding to a particular input symbol of a complex constellation generated at discrete time intervals of symbol rate . While the constellation can be arbitrarily constructed with symbols assigned arbitrary probabilities, this work assumes that a rectangular -QAM constellation is sampled and each I and Q signal takes one of values with equal probability. For 64-QAM, and independently take one of eight different values, e.g., . The ideal RF envelope should be a linear scaling of the transmitted envelope (1) When nonlinearity and mismatch is present in the signal path, the transmitted envelope is distorted relative to the ideal reference constellation. The EVM is deduced from an ideal receiver based on the best estimate of the constellation from an a priori knowledge of the constellation characteristics. At this receiver, the reference envelope is calculated to normalize the power of the transmitted envelope , i.e.,

B. Linearity of the I/Q Signal Path Assuming that I/Q baseband circuit blocks are differential, a weakly nonlinear model includes only third-order nonlinearity. Since the I and Q signal paths are identical, the envelope of the RF carrier is expressed as (3) where and represent the linear and third-order nonlinearity of the I/Q channel gain. Therefore, the scaling factor for the reference constellation is determined from the nonlinear coefficients

where (4) The gain scaling factor is equal to when no nonlinearity is present in the signal path . Note that, since the nonlinearity is often compressive, , and the scaling factor is less than one. The scaling factor not only depends on features of the signal path but also on the construction of the constellation. The factors and estimate the deviation in power between symbol values. In (4), the I signal is used to deduce , but the Q signal could also be applied. Since the statistics of the symbols transmitted on both channels are identical, the result for and based on the Q signal is the same. From (1) and (2), the EVM due to nonlinear compression of the I/Q signal path is (5) Several features of this expression are relevant. Again, when no nonlinearity is present in either signal path, the EVM is zero as expected. Alternatively, when the power of every symbol in the constellation is the same, for example, quaternary phase-shift keying (QPSK), and are identically one and . Therefore, I/Q channel nonlinearity will not degrade the EVM of QPSK since each path only sees two symbol levels, and any amount of compression will not change the relative spacing between the symbols in constellation. If compression in the I and Q channels is not identical, this manifests as an I/Q gain and phase imbalance in the constellation for QPSK, resulting in EVM degradation.

3274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 2. 64-QAM constellation under IQ channel and PA nonlinearity for the and . same

C. I/Q Imbalance For small gain and phase imbalances, the linear component of the envelope described in (3) is

Fig. 3. EVM degradation due to IQ and PA AM–AM nonlinearity for the same and .

(6) where is the gain error and is the phase imbalance. The EVM due to the I/Q imbalance is

(7) For the remainder of this treatment, we will assume that I/Q imbalance is small and independent of the I/Q nonlinearity. The EVM contribution due to the I/Q imbalance and the I/Q compression is treated as two separate independent sources of error. For large mismatch and high compression, this might not be completely accurate but is a reasonable assumption for low EVMs. D. PA AM–AM Compression PA AM–AM compression is conventionally the dominant form of nonlinearity in the transmitter. This compression is distinct from the compression calculated in the Section II-B. While I/Q channel nonlinearity compresses the I and Q signals independently, PA AM–AM compresses the magnitude of the vector sum of the I and Q signals. The difference is distinguished in Fig. 2, where a fixed amount of nonlinearity is described in each example constellation. At the PA input, the magnitude and phase of each symbol is and . For PA compression, the RF and reference envelopes are expressed as

to match the reference constellation to the transmitted envelope power. This factor is calculated in the same manner as

where (10) The EVM resulting from AM–AM compression is similar to (5) and is shown as

(11) To compare the effect of nonlinearity in the transmitter in the I/Q circuit blocks and in the PA, the EVM is plotted in Fig. 3 in terms of the margin between the average power of the signal and the 1-dB compression point of the circuit. The 1-dB compression based on the weakly nonlinear model of the circuit is given by . At a given margin between the 1-dB compression and average signal power, the EVM is higher for the I/Q nonlinearity than for AM–AM compression. Typically, the I/Q blocks are operated away from compression to avoid any contribution of the I/Q compression to EVM. However, PAs operated close to their compression point operate at higher overall system power efficiency. Therefore, in practice, the linearity margins are not necessarily the same for PA and I/Q path.

(8)

E. Power Amplifier AM–PM Conversion

(9)

The PA exhibits a phase shift depending upon the input amplitude which distorts the constellation. To evaluate the impact of this type of nonlinearity on EVM, a phase relationship similar to the one mentioned in [12] is assumed and is given here as

where and are the power series coefficients describing PA gain compression, and is the factor for AM–PM compression that will be discussed in the following section. The scaling factor is similar to the factor introduced for I/Q compression

(12)

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

3275

The exact value of this factor depends on signal characteristics as well as the signal path nonlinearity and succinct algebraic expressions are difficult to derive. Here, estimation of this factor is reached from numerical techniques. The EVM is estimated when both types of nonlinearity are present and when one or the other is present exclusively. The difference indicates a correlation between the two factors that is used to proportionally represent the weight of the I/Q and PA nonlinearity. Contours in Fig. 5 show and based on the linearity margins in the I/Q and PA signal paths and phase compression in the PA. While and do not depend on specific implementation of the I/Q path, they do depend on the linearity margins. The factor is positive when both the I/Q and PA are compressive in behavior suggesting that these sources of linearity compound to make the overall EVM worse. On the other hand, is negative since the I/Q compression mitigates the impact of AM–PM nonlinearity on the overall EVM.

Fig. 4. PA AM–PM nonlinearity.

G. LO Phase Noise where and are the power series coefficients of the AM–PM conversion. Based on this behavior, the EVM is

If the LO signal has an rms phase noise of EVM contribution is approximately [1]

radians, the (15)

(13) where the factor is determined in (10). In Fig. 4, EVM due to AM–PM nonlinearity is plotted for and . The corresponding impact on a 64-QAM constellation is shown in the inset where the symbols with higher magnitudes are rotated more than the inner symbols. To characterize the AM–PM response, the maximum phase deviation over the output power range is considered. When the I and Q paths are normalized to unity, the maximum phase shift is calculated from (12). For example, . Different values of and could result in a different maximum phase deviation. The EVM degradation corresponding to the maximum phase deviation of the signal path is plotted in Fig. 4. F. Correlation Between AM–AM and AM–PM Compression in I/Q Upconverter and PA Since transmission of symbols at peak power levels implies that high signal levels are seen in both the I/Q channels as well as in the PA, it is reasonable to assume that correlation exists between the AM–AM behavior in the I/Q signal path and the AM–AM and AM–PM behavior in the PA. To account for this correlation, we introduce the factors and as

If the rms phase noise is 1 , the EVM will be 1.74%. H. LO Leakage For direct-conversion modulators, dc offsets present in the I and Q channels cause the LO signal to leak to the RF output and contribute LO power to the RF output for direct upconversion transmitters. From [1], the EVM degradation due to LO leakage is (16) If the carrier power is 20 dB below the average signal power, the EVM will be 10%. LO leakage is particularly significant for direct conversion receivers since the LO contributes to a dc offset in the received constellation. I. Overall EVM The EVM contributions due to each of the discussed sources of circuit nonideality are now tallied. The overall EVM is

(17)

(14a)

(14b)

This net EVM incorporates several assumptions that should be investigated for a particular implementation. All sources of EVM are discussed as independent statistical processes, which allows I/Q imbalances, LO leakage, and LO phase noise to be summed as squares and added to the nonlinear effects. The EVM contributions due to PA AM–AM and PA AM–PM

3276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 5. Contour plots for the EVM codependence between nonlinearity in the I/Q and PA signal paths for 64-QAM. (a)

. (b)

.

are independent in this case since our model of these nonlinearities assumed that the AM–AM compression resulted from odd-order polynomial terms while the AM–PM compression resulted from even-order polynomial terms. However, I/Q imbalances and LO leakage do in fact exhibit very weak correlation to nonlinearities. For instance, for 10 dB and phase imbalance , the magnitude of -factor is under 0.2. For simplicity, we ignore these other sources of EVM correlation. J. Selection of Constellation for a Given Nonlinearity Increasing the number of symbols in the constellation improves the spectral efficiency of the channel; however, the EVM increases to a point that communication is no longer reliable. The various sources of EVM described in previous sections dictate the best choice of QAM modulation. EVM degradation caused by I/Q gain and phase imbalances, LO leakage, and LO phase noise is the same for any QAM modulation scheme since these issues do not depend on the order of the constellation. However, nonlinearity in the transmitter, e.g., I/Q channel, PA AM–AM and PA AM–PM depend on the modulation scheme. Based on the previous analysis, the EVM is plotted for -ary QAM at the same average output power in Fig. 6 when 6 dB for I/Q and PA AM–AM nonlinearity and for PA AM–PM nonlinearity which gives at 64-QAM from (12). From Fig. 6, we observe that the sensitivity of the transmitter to I/Q channel nonlinearity, PA AM–AM and PA AM–PM nonlinearity increases as increases. For QPSK (4-QAM), the EVM contribution of I/Q and PA AM–AM nonlinearity is zero. Any identical compression in I/Q path or compression after I/Q combining only scales the constellation for QPSK. There is a slight decrease in EVM due to PA AM–PM at 16-QAM. This is due to the reduced for 16-QAM at the same average output power. However, as mentioned in Section II-E, different phase characteristics could result in different behavior. For 16-QAM

Fig. 6. Comparison of EVM for different modulation schemes under different types of nonlinearities.

and higher schemes, IQ and PA AM–AM nonlinearity do not degrade the EVM significantly. Note that the will be less than 6 dB for the combined system. Combined EVM saturates at 2.9% for the conditions described in this section. III. MODULATOR ARCHITECTURE AND DESIGN The implemented mm-wave IQ modulator is intended to support low EVM for high-order constellations (64-QAM). Since the DPD is mainly used to compensate for the PA nonlinearity, the I/Q modulator part of the transmitter should be designed for very low EVM. An “error-less” modulator has a very low bit error rate (BER) and suggests that the EVM must be under 2.5% [13]. An EVM budget is constructed to suggest acceptable contributions due to various errors in the I/Q signal paths in Table I. The analysis and modeling presented in Section II is used to determine the contribution of each modulator circuit block. For

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

In other words, and

TABLE I EVM BUDGET

3277

can be expressed in terms of as (20)

From (20), the band linearity for

should be 39 dBc for the desired base1.12 V and 0.355 V.

A. Modulator Circuit Design The I/Q modulator block diagram is shown in Fig. 7. A high-resolution current-steering DAC is implemented in each of the baseband channels. DAC resolution (bits) decides the DAC signal-to-noise ratio (SNR) and is given by dB , where is the DAC resolution in bits. EVM is related to SNR as %

Fig. 7. Block diagram of the implemented IQ modulator.

this work, modulator output is targeted to be 0 dBm. From Table I, this translates to 6 dBm of typical for the modulator. Therefore, each of the I and Q channels should have 3 dBm and 9 dBm. For the I/Q channel, the overall 1-dB compression point is composed of a composite linearity for the baseband circuit, e.g., the DAC and filter, and the mixer and is derived with a standard analysis for cascaded systems [14]. The voltage compression of each channel is calculated as (18) where is the mixer conversion gain in V/V. Preliminary simulations reveal that an equal allocation of dc current between the baseband and mixer circuits is a good compromise between linearity and signal swing. Therefore, from a net power budget of 100 mW (or 50 mW per I/Q path), 25 mW is assigned to the mixer. The mixer exhibits 0.316 V/V ( 10 dB) and 280 mV ( 1 dBm) for 25 mW of assigned power budget. From (18), the should be approximately 1.12 V and should be 0.355 V to get 9-dBm output power after upconversion. The third-order harmonic distortion (HD3) is the ratio of the third-harmonic tone and the fundamental tone. For a third-order nonlinearity and are directly related as follows: (19)

dB

(21)

Although a 7-b DAC is sufficient to achieve less than 1% EVM, a 12-b DAC is implemented to enable precise digital correction of systematic circuit errors like I/Q gain and phase imbalance, canceling LO leakage by introducing dc offsets in the transmitter and DPD to compensate PA compression. To handle DPD, the I/Q channel must support a larger bandwidth than the desired signal bandwidth. For example, the DAC sample rate is typically more than a factor of three larger to compensate the compression related to the third-order nonlinearity. However, the higher DAC sample rate offers the advantage of pushing signal aliases appearing at clock harmonics to higher frequency. The DAC is followed by a third-order active filter to reduce the signal aliases and spurious harmonic content. For a signal BW of 20 MHz, the filter cutoff frequency is chosen to be 60 MHz to enable DPD. The use of a high DAC sampling rate equal to 200 MHz along with the filter limits the signal aliases to below 40 dBc, which is well under our adjacent channel power ratio (ACPR) specification ( 35 dBc). The filter output is fed to an active double-balanced Gilbert cell mixer. A hybrid coupler along with the passive RF baluns is used to provide the differential I and Q LO signals to the mixers. Mixer outputs are summed in current domain and converted to a single-ended output using another RF balun. 1) D/A Converter: The DAC circuit diagram is shown in Fig. 8. A standard current-steering architecture is adopted to implement the DAC due to its advantage of high-speed operation [15]. Partial segmentation (6 b) is used to relax the matching requirements on the current sources and to reduce the data-dependent glitches [15], [16]. The DAC current cell is designed to reduce the mismatch and thereby achieve a high spur-free dynamic range (SFDR). SFDR is defined as the ratio of the amplitude of the fundamental tone to the amplitude of the highest undesired spur. Since third-order distortion is usually dominant, SFDR becomes equal to the inverse of HD3. DAC SFDR affects the harmonic content of the baseband signal and therefore, the P1dB of the I/Q path. For 12-b resolution, the standard deviation of the current mismatch for an 0.5 LSB is 0.5% for 70% yield [17]. Monte Carlo simulations for the thin- and thick-oxide pMOS devices available in the 120-nm SiGe BiCMOS process are performed at the same unit current. Thin-oxide devices are

3278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 8. Partially segmented 12-b DAC.

used to implement the current cell due to their low area for the same mismatch performance and headroom. A current-cell layout configuration which minimizes linear and second-order process variations in two dimensions is chosen to mitigate the impact of process variations on current source matching [16]. Simulations show that the SFDR due to harmonic distortion of the DAC remains better than 55 dBc up to 20 MHz of the signal bandwidth. As expected, the DAC SFDR rolls off with higher signal frequency [18]. A high DAC sampling rate of 200 MHz is used to oversample the input. Oversampling enables pre-distortion and better filtering of the signal copies at DAC clock harmonics. Typical DAC full-scale current is 2 mA from a 2.5-V supply and can be scaled to 10 mA to increase output power. With a 200- differential load resistance, the full-scale DAC output voltage ranges from 400 mVpp to 2 Vpp with little impact on harmonic distortion. At the low end of the full-scale current range, the DAC power consumption is 5.5 mW including the digital circuits. 2) Filter Design: High-order filtering is necessary to eliminate sample clock harmonics and signal aliases. On-chip passive filters provide high linearity and no power consumption but occupy very large area considering the desired signal bandwidth. Therefore, an active gm-C filter is implemented with a

Fig. 9. Third-order active gm-C filter.

third-order roll off ( 60 dB/decade) and cutoff frequency at three times the signal bandwidth (60 MHz). Gm cells used with feedback to implement gyrators (or inductor) can be used to implement higher order filters [19]. In Section III, at

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

3279

Fig. 10. Schematic of the gm-cell used in the filter.

0.71 V (1-dBm baseband power) about 40 dBc of HD3 is enough to achieve target EVM. To avoid significant degradation in the HD3, the filter is designed for less than 50-dBc distortion. A design methodology similar to [20] is used. Fig. 9 shows the schematic of the implemented filter and equivalent passive model. An input gm-cell amplifies and converts the DAC output voltage to current. Output voltage of the filter is supplied to active mixer. The circuit diagram of the individual gm-cell is presented in Fig. 10. All of the gm-cells used in the filter are identical with the exception of the input gm-cell. pMOS input pair with resistive degeneration is used to boost the linearity. A common-mode feedback circuit sets the desired output dc voltage. The high-linearity requirement for the filter entails a high power consumption. The filter consumes 38.5 mW power from a 2.5-V supply.

Fig. 11. Double-balanced Gilbert cell mixer.

B. Mixer and RF Components A double-balanced gilbert cell mixer is used to upconvert the baseband signal to 40–45 GHz (Fig. 11). The input pair is implemented using HBTs with resistive degeneration to increase the mixer linearity. HBTs have higher transconductance (gm) than MOS devices for the same bias current. Therefore, to achieve the same linearity performance, HBT emitter degeneration is more effective than MOS source degeneration at a given power consumption. LO is applied to thin-oxide nMOS switches. Simulations show that nMOS switches when compared with HBT switches require more LO power but provide better linearity. The mixer core consumes 14 mA of current from 1.8 V (25.2 mW dc power) with simulated of 1 dBm and conversion loss equal to 10 dB. A hybrid coupler available in the process model kit is used to generate IQ phases of the LO. Hybrid coupler exhibits low gain and phase imbalances for wide bandwidth as shown in Fig. 12. Single-ended I and Q outputs of the hybrid coupler are converted to differential signals using an RF balun. Careful EM simulations are performed using SONNET EM solver [21] to design RF baluns. Outputs of I and Q mixers are summed in current domain and another output RF balun is connected to get a single-ended modulated RF signal at the -band.

Fig. 12. Simulated magnitude and phase errors between I and Q outputs of the hybrid coupler.

IV. MEASUREMENTS The I/Q modulator is fabricated in a 120-nm SiGe BiCMOS process. The CMOS devices are used primarily in the baseband signal path, and the HBTs are used for the I/Q upconverter. The total chip area is 5 mm and is dominated by the DAC area and I/Q 90 hybrid coupler. The chip pad frame supports 400-Mbps

3280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 14. Filter frequency response.

Fig. 13. Chip-on-board assembly of the fabricated modulator chip.

digital I/O, dc bias voltages and currents, and the -band carrier. The mixed-signal nature of the circuit requires a hybrid approach to measure the chip. All digital signals and bias voltages are wire-bonded to a standard FR-4 PCB. Since the FR-4 substrate becomes excessively lossy for signals above 10 GHz, the -band LO and modulated RF signals are probed using ground–signal–ground (GSG) RF probes. Fig. 13 shows the four-layer PCB board and chip-on-board assembly. Controlled-impedance microstrip lines carry the high-speed digital signals. Digital lines are routed to one side of the PCB while the bias voltages are supplied from the opposite side for the ease of connections. Low-profile surface-mount components are used to ease the use of RF probes. The chip is epoxied to the PCB and a via-field to the bottom ground plane acts as a heat sink. While the design power budget was constrained to 100 mW, the power consumption is 138 mW for the implemented I/Q modulator. This is due to an additional gm-cell to interface between the DAC and the filter. Also, the baseband circuits require a higher supply voltage (2.5 V) compared with the mixer (1.8 V) to allow for headroom. A. Baseband Measurements The baseband signal measurements are discussed here. The baseband filter frequency response is shown in Fig. 14. A

Fig. 15. SFDR versus output voltage.

reduction in the measured cutoff frequency relative to simulation is observed and results from additional parasitic loading of PCB traces on the filter outputs. The filter roll-off matches the anticipated third-order response. Baseband signal quality is measured from the SFDR defined as the ratio of the fundamental signal power to the power of the highest spur. A high-bandwidth Agilent DSO80604B oscilloscope is used with an high-input impedance active probe to monitor the baseband signals. The SFDR is plotted as a function of output voltage in Fig. 15. The output voltage swing is varied by scaling the DAC full-scale current. As mentioned in Section III-A1, DAC SFDR reduces with output frequency. From our simulation, the DAC SFDR is better than 55 dB up to 20 MHz and the distortion is mainly dominated by the filter. Our measurements indicate that the DAC SFDR showed degraded frequency dependence, thereby reducing SFDR at 20 MHz by 10 dB. The possible reasons for this are the additional capacitances seen by the current sources after layout and

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

3281

Fig. 16. RF and modulation measurement setup.

Fig. 17. LO and RF port matching.

the timing skew at the input of current steering switches caused by the layout effects [18]. The filter distortion varies with the voltage swing due to the finite linearity of gm-cells resulting in lower SFDR at higher output voltage. At low frequency, SFDR is limited by the linearity of the filter. At high frequency, SFDR is limited by the DAC. Measurements reveal that the SFDR is better than specification by 5 dB up to target bandwidth of 20 MHz. B. RF Measurement Setup and Results The RF measurement setup is presented in Fig. 16. A Xilinx ML605 evaluation kit with VIRTEX-6 FPGA is used along with a PC to supply various data patterns. Raised-cosine pulse-shaping filtering is applied to the digital data using MATLAB which greatly reduces the sidelobes and improves the ACPR. Since this is done in the digital domain, it does not degrade the linearity of the I/Q path. An Agilent 81134A

Fig. 18. Measured output RF spectrum at before and after LO leakage calibration.

42 GHz and

10 MHz

dual-channel pulse generator supplies synchronized clocks to the FPGA board and the prototype modulator. A high-speed, low-pin-count FMC connector is used to connect the ML605 data output to the device-under-test (DUT). The Agilent E8257D signal generator provides the LO carrier signal at 40–45 GHz with as much as 14-dBm output power. However, the 2.4-mm coax and GSG probe have a total 6-dB loss at the -band and limit the maximum available LO power to 8 dBm. An Agilent E4419B power meter along with the N8487A power sensor is used to measure the total RF output power. To view the spectrum, a 10-dB Krytar coupler is connected to the Agilent E4448A spectrum analyzer. The coupler adds 1-dB loss at -band. All external losses are de-embedded from the measurement. Fig. 17 shows the measured LO and RF port matching characteristics. LO port reflection coefficient is below 7.5 dB beyond

3282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 19. Measured RF output spectrum with sideband (image) suppressed. (a) Lower sideband suppression. (b) Upper sideband suppression.

Fig. 20. Measured output

and third-order intercept point. (a) RF output power versus DAC full-scale current. (b) Output third-order intercept point.

40 GHz and RF port is well-matched to below 8 dB beyond 37 GHz. The uncalibrated modulator measurements show high LO leakage ( 20 dBc) and low sideband suppression ( 15 dBc), which prevents demonstration of high-order modulation formats since sideband suppression reflects high I/Q gain and phase imbalances. Sideband suppression (SB) is represented in terms of I/Q magnitude and phase errors as [1] (22) where is the absolute magnitude error and is the phase imbalance. From Table I, and are specified to be under 2% and 1 to satisfy the EVM and, therefore, the required sideband suppression is 37.5 dB. Lower sideband suppression results from device mismatches, process variations, and mistuning of RF baluns; however, these systematic errors are static and can be corrected. A digital correction is performed to compensate for the LO leakage and I/Q imbalances. For instance, the LO leakage is compensated by introducing a d.c. offset between the

I and Q path. The I/Q gain and phase imbalances are expressed as [22] (23) where (24) To correct for the errors, the raw I/Q symbols are multiplied by using digital techniques. Fig. 18 shows the output RF spectrum at a 42-GHz RF and 10-MHz IF frequency when the LO power is 8 dBm and DAC full-scale current is 2 mA. Measurements are done at 42 GHz instead of higher frequency due to higher output power at 42 GHz. This is because of slightly degraded RF port matching at higher frequencies and increased losses from on-chip passive components. At this condition, the output RF power from the modulator is 8 dBm. The I and Q channels are supplied with identical sinusoidal patterns. The LO leakage is below 39 dB. Similarly, sideband suppression is below 39 dBc after digital

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

Fig. 21. Measured output constellations and eye diagrams at 8-MS/s symbol rate. (a) QPSK, EVM 2.1%.

Fig. 22. Measured EVM versus symbol rate at dBm.

8 dBm and

2.2%. (b) 16-QAM, EVM

3283

2.3%. (c) 64-QAM, EVM

1

Fig. 24. Measured constellations at the output of external PA (16-QAM, 8 MS/s).

tone test. The IF signal with two 6 dBFS tones at 8 MHz, 10 MHz is supplied at both I and Q inputs. The power of the desired tones and the IM3 tones is measured and plotted in Fig. 20 and demonstrates a measured of 11 dBm. C. IQ Modulation and EVM Results Fig. 23. Modulated RF spectrum at 8-MS/s symbol rate.

correction, as shown in Fig. 19, which indicates that the I/Q imbalance is sufficient to achieve a 1% EVM contribution from Table I. Output and are measured by scaling the DAC fullscale current. The measured output is 1 dBm, which is 1 dB lower than the simulation. The is measured with two

, I/Q gain and phase As presented in Section IV-B, the imbalances and LO leakage must meet the specification in Table I to achieve a 2.5% EVM. The LO signal is generated with the Agilent E8257D and has an integrated phase jitter of 0.1 rms when integrated from 1 kHz to 1 MHz offset, which does not incur a significant impact on EVM. Fig. 21 plots the measured EVM for various modulation schemes with respect to symbol rate for a DAC full-scale current of 2 mA corresponding to an output power of 8 dBm. At all rates supported through the signal modulator, the EVM

3284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE II PERFORMANCE SUMMARY AND COMPARISON

is specified at under 2.5%. Probe contact resistance was found to introduce an uncertainty of around 0.5% EVM and contributes to some of the irregular behavior of measured data points in Fig. 21. Predicted EVM is lower than the specified since the LO phase noise is no longer a significant contributor to EVM. Also, EVM is almost independent of symbol rate. EVM measurements could only be done upto symbol rates of 10 MS/s due to the IF bandwidth limitation of Agilent E4448A spectrum analyzer’s digital modulation utility. Nonetheless, the modulator can theoretically achieve the symbol rates in excess of 40 MS/s (240 Mbps with 64-QAM) without significant degradation of EVM beyond 2.5%. Measured output constellation and eye diagram for various QAM modulation formats are presented in Fig. 22 at 8-MS/s symbol rate and 8-dBm RF output power. Eye diagrams for QPSK, 16-QAM, and 64-QAM have two, four, and eight distinct voltage levels and almost identical I and Q eye opening. Spectrum of the modulated RF signal with 8-MS/s symbol rate is shown in Fig. 23. Raised-cosine filtering with unity roll-off factor is applied to the baseband data to minimize the out of band sidelobes. The ACPR is about 35 dBc and is equal to SNR in this case which implies that ACPR is not limited by the IQ channel nonlinearities. Output power is 8 dBm. D. Digital Predistortion To reach high-power levels needed for satellite communication, substantial external power amplification is required. The linearity of the I/Q modulator can be used to introduce DPD for external PA compression. Here, the EVM results presented in the prior section are extended to demonstrate the digital predistortion with a Spacek Labs 20-dBm PA (SG4510-30-15 V) when operated close to its 1-dB compression point. Fig. 24 illustrates the output constellation prior to predistortion using a 16-QAM constellation at 18-dBm output power. Notably, the highest power points in the constellation show maximum deviation from the ideal positions due to a dominant AM–AM compression. The measured EVM is 8.2%. Estimation of the power compression at the high power points is used to create a compensation scheme for the DPD. The input

of the PA is digitally premultiplied with the compensation factor . From (8), the compensated PA output becomes (25) After memoryless DPD is applied, the outlier symbols are pushed back towards their ideal locations the EVM improves from 8.2% to 4.9%. Closer inspection of the constellation after pre-distortion reveals that there is some spread in the high-power constellation symbols and suggests a possible memory effect in the PA. PA memory effects occur when the output depends on previous inputs. For a random input envelope, a spread in the output voltage is observed which tends to become worse with high output power. Memory effects are often caused by bias circuits, self-heating, and trapping effects in transistors [23]–[25]. This suggests the need for real-time closed loop correction to eliminate the memory effect. DPD is also performed at 64-QAM under the same conditions as 16-QAM (DAC current, symbol rate, and center frequency). The EVM improved from 5.7% to 4.2% for 64-QAM. Note that EVM is lower for 64-QAM than 16-QAM since, for the same DAC current, peak power is the same but average power is lower for 64-QAM. This implies that linearity margin is higher, hence lower EVM from Fig. 3. E. Comparison to Prior Work The implemented mm-wave I/Q modulator performance is compared with prior work in I/Q modulators in Table II. The I/Q modulator achieves the lowest EVM at similar data rates to prior demonstrations and initially supports DPD for PAs. The lowest LO leakage and highest image suppression at mm-wave carrier frequencies is shown. V. CONCLUSION A high-linearity I/Q signal modulator is implemented in a 0.12- m SiGe process. A new analysis of the impact of signal compression considers how the combination of baseband and RF compression contributes to EVM degradation. The

GUPTA AND BUCKWALTER: LINEARITY CONSIDERATIONS FOR LOW-EVM, MILLIMETER-WAVE DIRECT-CONVERSION MODULATORS

breakdown of EVM suggests a linearity specification for the implemented circuit. The measured I/Q modulator achieves an EVM of less than 2.5% at a 48-Mb/s bit rate while performing 64-QAM at 42 GHz. DPD capability is demonstrated by driving an external PA into compression at 18 dBm, and the EVM is reduced from 8.2% to 4.9%. ACKNOWLEDGMENT The authors would like to thank the staff of the DARPA LEAP Pogram for access to the IBM 8HP technology, Dr. S. Raman of the DARPA ELASTx program, and Dr. D. Palmer, U.S. Army Research Office, for support. The authors would also like to thank Prof. P. Asbeck, Prof. L. Larson, and J. Kim for discussions on the design and measurements and H. Dabag for helping with the FPGA programming. REFERENCES [1] Q. Gu, RF System Design of Transceivers for Wireless Communications. Berlin, Germany: Springer, 2010. [2] R. Liu, Y. Li, H. Chen, and Z. Wang, “Evm estimation by analyzing transmitter imperfections mathematically and graphically,” Analog Integr. Circuits Signal Process., vol. 48, no. 3, pp. 257–262, 2006. [3] B. Debaillie, P. Van Wesemael, G. Vandersteen, and J. Craninckx, “Calibration of direct-conversion transceivers,” IEEE J. Sel. Topics Signal Process., vol. 3, no. 3, pp. 488–498, Mar. 2009. [4] D. Kimball, J. Jeong, C. Hsia, P. Draxler, S. Lanfranco, W. Nagy, K. Linthicum, L. Larson, and P. Asbeck, “High-efficiency envelope tracking w-cdma base-station amplifier using gan hfets,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3848–3856, Nov. 2006. [5] J. Yan, C. Presti, D. Kimball, Y.-P. Hong, C. Hsia, P. Asbeck, and J. Schellenberg, “Efficiency enhancement of mm-wave power amplifiers using envelope tracking,” IEEE Microw. Wireless Compon. Lett., no. 3, pp. 157–159, Mar. 2011. [6] S. Chung and J. L. Dawson, “A 73.1 db SNDR digitally assisted subsampler for rf power amplifier linearization systems,” in Proc. IEEE Symp. VLSI Circuits, 2009, pp. 148–149. [7] H. Y. Chang, P. S. Wu, T. W. Huang, H. Wang, C. L. Chang, and J. G. J. Chern, “Design and analysis of CMOS broadband compact highlinearity modulators for gigabit microwave/millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 20–30, Jan. 2006. [8] S. Gueorguiev, S. Lindfors, and T. Larsen, “A 5.2 GHz CMOS I/Q modulator with integrated phase shifter for beamforming,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1953–1962, Sep. 2007. [9] Y. Hamada, K. Maruhashi, M. Ito, S. Kishimoto, T. Morimoto, and K. Ohata, “A 60-GHz band compact IQ modulator MMIC for ultrahighspeed wireless commuication,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1701–1704. [10] C. Wagner, M. Hartman, A. Stelzer, and H. Jaeger, “A fully differential 77-GHz active IQ modulator in a silicon-germanium technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 5, pp. 362–364, May 2008. -band image rejection [11] Y.-J. Ko, S. Stapleton, and R. Sobot, “ sliding- IF transmitter in 0.13-mum CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 2091–2107, Aug. 2011. [12] M. Ibnkahla, Signal Processing for Mobile Communication Systems. Boca Raton, FL: CRC, 2000. [13] J. G. Proakis and M. Salehi, Digital Communications. New York: McGraw-Hill, 2008. [14] D. M. Pozar, Microwave Engineering. New York: Wiley, 2005.

3285

[15] B. Razavi, Principles of Data Conversion System Design. New York: IEEE, 1995. [16] K. O’Sullivan, C. Gorman, M. Hennessy, and V. Callaghan, “A 12-bit 320-MSamples/s current-steering CMOS D/A converter in 0.44 mm ,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1064–1072, Jul. 2004. [17] A. Van den Bosch, M. Steyaert, and W. Sansen, “An accurate statistical yield model for CMOS current steering D/A converters,” in Proc. IEEE Int. Symp. Circuits Syst., Aug. 2000, pp. 105–108. [18] A. Van den Bosch, M. Steyaert, and W. Sansen, “SFDR bandwidth limitations for high-speed high resolution current steering cmos d/a converters,” in Proc. IEEE Int. Conf. Electron., Circuits Syst., Sep. 1999, pp. 1193–1196. [19] R. Schaumann, H. Xiao, and M. E. V. Valkenburg, Design of Analog Filters. Oxford, U.K.: Oxford Univ., 2010. [20] A. J. Lewinski and J. Silva-Martinez, “A 30-MHz fifth-order elliptic low-pass cmos filter with 65-dB spurious-free dynamic range,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 3, pp. 469–480, Mar. 2007. [21] Sonnet Software. Sonnet Software Inc., Syracuse, NY. [22] I. Teikari, J. Vankka, and K. Halonen, “Baseband digital predistorter with quadrature error correction,” in Proc. Norchip Conf., Nov. 2004, pp. 159–162. [23] P. Draxler, I. Langmore, T. Hung, and P. Asbeck, “Time domain characterization of power amplifiers with memory effects,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 803–806, vol. 2. [24] P. Draxler, J. Deng, D. Kimball, I. Langmore, and P. Asbeck, “Memory effect evaluation and predistortion of power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, p. 4, pp. [25] M. Kwak, D. F. Kimball, C. D. Presti, A. Scuderi, C. Santagati, J. J. Yan, P. M. Asbeck, and L. E. Larson, “Design of a wideband highvoltage high-efficiency BiCMOS envelope amplifier for micro-basestation RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 6, pp. 1850–1861, Jun. 2012. Arpit K. Gupta (S’11) received the B.E. degree from Birla Institute of Technology and Sciences (BITS), Pilani, India, in 2007, and the M.S. degree from University of California at San Diego, La Jolla, in 2011, where he is currently working toward the Ph.D. degree, all in electrical engineering. He was with Cosmic Circuits Pvt. Ltd., Bangalore, India, as an Intern in 2007 and as a Design Engineer from 2007 to 2009. There, he worked on high-speed serial links, ring oscillators, ultra-low-noise crystal oscillators, and various power management circuits including LDO regulators and precision bandgap references. His research interests include analog/RF/millimeter-wave circuit design.

James F. Buckwalter (S’01–M’06) received the B.S. degree from the California Institute of Technology, Pasadena, in 1999, the M.S. degree in electrical engineering from the University of California, Santa Barbara, in 2001, and the Ph.D. degree in electrical engineering from the California Institute of Technology in 2006. From 1999 to 2000, he was a Research Scientist with Telcordia Technologies. During the summer of 2004, he was with IBM T. J. Watson Research Center, Yorktown Heights, NY. In 2006, he joined Luxtera, Carlsbad, CA, where he developed high-speed circuits for optical interconnects. In July 2006, he joined the faculty of the University of California at San Diego, La Jolla, where he is an Associate Professor of electrical engineering. Dr. Buckwalter was the recipient of a 2004 IBM Ph.D. Fellowship, the 2007 Defense Advanced Research Projects Agency Young Faculty Award, and the 2011 National Science Foundation CAREER Award.

3286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

A 160-GHz Subharmonic Transmitter and Receiver Chipset in an SiGe HBT Technology Yan Zhao, Member, IEEE, Erik Öjefors, Member, IEEE, Klaus Aufinger, Member, IEEE, Thomas F. Meister, and Ullrich R. Pfeiffer, Senior Member, IEEE

Abstract—A monolithically integrated 160-GHz transmitter and receiver chipset with in-phase/quadrature baseband inputs and outputs and on-chip local oscillator (LO) generation has been implemented in a 0.25- m silicon–germanium heterojunction bipolar transistor technology. The chipset features a three-stage differential power amplifier, a low-noise amplifier, up- and down-conversion subharmonic quadrature mixers, and an 80-GHz voltage-controlled oscillator equipped with a 1/16 frequency prescaler for frequency locking by an external phase-locked loop. To investigate the behavior of the Gilbert-cell-based subharmonic mixer operated close to , the correlation between LO phases and conversion gain is studied. The conclusion suggests that the maximum conversion gain can be obtained with certain LO phases at millimeter-wave frequencies. Over the 150–168-GHz bandwidth, the transmitter delivers an output power of more than 8 dBm with a maximum 10.6-dBm output power at 156 GHz. The receiver provides a noise figure lower than 9 dB and more than 25 dB of conversion gain at 150–162 GHz, including the losses of an auxiliary input balun. The transmitter and receiver chips consume 610 and 490 mW, respectively. Index Terms—Heterojunction bipolar transistors (HBTs), millimeter-wave integrated circuits, silicon germanium (SiGe), subharmonic, transceiver architectures.

I. INTRODUCTION

M

ILLIMETER-WAVE transceivers with operating frequencies beyond 100 GHz, at the 2-mm atmospheric window (140–160 GHz), are currently mainly deployed for radio astronomy and remote-sensing applications [1] with very stringent system specification. Hence, present transceiver designs are optimized for high-power and low-noise performance and are typically implemented with discrete subsystems in waveguide technology using high-performance III–V-based semiconductors. There are, however, a number of emerging applications with much relaxed specifications, which call for

Manuscript received March 20, 2012; revised June 22, 2012; accepted June 27, 2012. Date of publication August 13, 2012; date of current version September 27, 2012. This work was supported in part by the European Commission under Project DOTFIVE (216110). Y. Zhao, E. Öjefors, and U. R. Pfeiffer are with the Institute for High-Frequency and Communication Technology, University of Wuppertal, D-42119 Wuppertal, Germany (e-mail: [email protected]; [email protected]; [email protected]). K. Aufinger and T. F. Meister are with Infineon Technologies AG, Neubiberg D-85579, Germany (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209450

fully integrated low-cost transceiver solutions in this frequency range. Examples include future 154-GHz automotive radar [2], high-resolution millimeter-wave imaging [3], and gigabit-rate wireless communication. Silicon–germanium (SiGe) HBT and BiCMOS technologies can meet the requirements of such systems by combining the integration and volume production capabilities of commercial silicon technology with good millimeter-wave performance. However, with current SiGe technologies available, it is still challenging to design transceiver circuits beyond 100 GHz, mainly due to the difficulties of the local oscillator (LO) generation as the operation frequency is about one-third of . A few 160-GHz monolithic integrated transmitters or receivers based on a conventional heterodyne [4], [5], or zero-IF quadrature down-conversion architecture [6], have been demonstrated. These front-end architectures require the generation of a 160-GHz LO signal either by means of an integrated fundamental-frequency voltage-controlled oscillator (VCO) or a frequency multiplier. High-frequency VCOs are difficult to design for low power consumption and low phase noise, whereas multiplier-based LO chains are area and power consuming. An alternative solution is offered by a polyphase subharmonic mixing architecture based on a Gilbert cell [7]. It provides two-stage down-conversion by multiplying the RF signal with the in-phase/quadrature (I/Q) components of the LO in two cascaded mixer cores. This allows the mixer to be operated from a more easily generated 70–80-GHz LO signal, and thus facilitates a harder switching of the mixer transistors, as required for higher efficient mixing and lower noise figure (NF). The subharmonic mixing approach combined with a fully differential circuit architecture also largely eliminates dc-offset problems in zero-IF applications caused by LO leakage to the receiver input since no strong fundamental or harmonic component of the LO signal is present within the RF bandwidth of the receiver front-end. Similar mixers driven by quadrature LO signals have been realized at microwave [8] and millimeter-wave [9]–[11] frequencies. In these designs, the quadrature LO signals are always required, but the reasons are not fully discussed. In addition, the above designs obtain quadrature LO signals either by the use of RC-polyphase filters, which suffer from high insertion losses, or a lower loss branch-line 90° hybrid at the cost of a relatively large die area and narrow bandwidth. This paper presents a detailed study on the LO phases of the Gilbert-cell-based subharmonic mixer, which promotes a better understanding of how the LO phases impact the conversion gain

0018-9480/$31.00 © 2012 IEEE

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

3287

Fig. 1. Two receiver schemes for subharmonic I/Q conversion. (a) 45° phase shift on the LO signal. (b) 90° phase shift on the RF signal.

and NF of the mixer operated close to . A monolithic integrated 160-GHz transmitter and receiver with a three-stage differential power amplifier (PA), a differential low-noise amplifier (LNA), up- and down-conversion subharmonic quadrature mixers with I/Q-baseband inputs/outputs, and an on-chip 80-GHz VCO with a prescaler for external frequency locking by a phase-locked loop (PLL) is then demonstrated in a 0.25- m SiGe HBT technology. Additionally, a compact low-loss 90° coupler is introduced to generate quadrature LO signals. This paper is arranged as follows. Section II introduces the system architectures and the detailed study on the mixer LO phases. Section III presents the electrical design of circuits while the SiGe technology and layout is described in Section IV. Finally, Section V presents the characterization setup and results, and conclusions are provided in Section VI. II. SYSTEM DESIGN A. Quadrature Conversion Scheme In a subharmonic mixer, the IF frequency is determined by (1) In order to realize a quadrature up-/down-conversion in subharmonic mode, two LO signals with 45° phase shift are needed due to the phase/frequency doubling of the LO signal in the mixer. A commonly used system architecture is shown in Fig. 1(a), but there are two drawbacks in this solution. First, 45° outputs are hardly available in standard hybrid coupler design without sacrificing amplitude balance. Second, two extra 90° couplers are required to provide quadrature LO signals for each mixer with two cascaded mixer cores. Therefore, an alternative quadrature mixing solution, shown in Fig. 1(b), is proposed to avoid the 45° issue by separation of the RF signal into quadrature paths, similar to the 60-GHz receiver presented in [12], so that the quadrature mixing can be realized. Based on the proposed scheme, the system diagram of our transmitter and receiver chipset is depicted in Fig. 2. In the receiver, a three-stage differential LNA is used at the input to provide sufficient gain and suppress the noise of the down-converter. The amplified 160-GHz RF signal is divided into an I/Q

Fig. 2. Block diagram of the integrated 160-GHz transmitter and receiver, with separate I/Q paths, cascaded mixer cores for subharmonic up-/down-conversion, on-chip generation of the 80-GHz LO signal, and an optional external LO port.

path through a 90° coupler and fed to cascaded mixers. In the transmitter, an I/Q signal are fed to cascaded quadrature mixers and up-converted to RF frequency in an I/Q path. The RF power of the two paths are then combined by a 90° coupler and further amplified by a three-stage differential PA. The differential output of the PA and input of the LNA can be directly interfaced to balanced on- or off-chip antennas, such as folded-dipole radiators. The on-chip VCO provides the 80-GHz LO signal to the mixers through a buffer amplifier and a 90° coupler. The VCO output is also fed to a 1/16 frequency divider in order to provide a 5-GHz signal for locking of the VCO by an external low-frequency PLL. B. Study on Mixer LO Phases In our proposed system architecture, both quadrature RF and LO paths are required. The quadrature RF path is needed for quadrature up-/down-conversion, while understanding the purpose of the quadrature LO path requires knowledge on how the LO phases relate to the mixer performance, such as conversion gain and NF. Operation of the Gilbert-cell-based subharmonic mixer can be understood as two cascaded fundamental mixers, shown in Fig. 3. In large-signal operation, an ideally switching LO signal can be defined as a 50% duty-cycle square wave whose Fourier series consists of odd harmonic frequencies. If is the LO fundamental frequency, the LO square wave involved in fundamental mixer 1 can be described as (2)

3288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

the th harmonic of harmonic of . For

with the th , the IF signal is (9)

and for

, we have

(10)

Fig. 3. Block diagram of the subharmonic mixer.

and for

,

The LO square wave in mixer 2 with an arbitrary phase shift is (11) (3) where is the voltage amplitude of the LO signal, and stands for the voltage gain of the LO signal inside the mixer. The IF signal is obtained by the operation of . Assuming a lower sideband RF signal in the I-channel down-conversion mixer,

Combining (9)–(11), we can write the mixer conversion gain as

(12) The derivative of with respect to or minimum conversion gain if

leads to the maximum

(4) where is the IF frequency, is the voltage amplitude of the RF signal, and denotes the voltage gain of the RF signal inside the mixer. The initial phase of the RF signal is set to zero for simplicity. The overall mixing products can be expressed as

(13) For in-phase LO signals

, (12) can be simplified as

(14) noted that the summation of the above infinite series is 1. , (12) can be developed For quadrature LO signals as

(15)

(5) where and denote the th and th harmonics of the LO signal. Four terms with different frequency components are obtained in the above equation, but only the first three terms could result in IF products, if the coefficients in front of are zero. Hence, (6) (7)

noting that the summation of the infinite series in (15) is (16) The mixer conversion gain with I/Q LO signals is expressed as if if

(17)

(8) Thus, (6) stands for the mixing of the fundamental with the fundamental , while (7) indicates the mixing of the th harmonic of with the th harmonic of , and likewise, (8) is the mixing of

Therefore, (17) confirms that in-phase LO signals provide zero conversion gain while quadrature LO signals provide a maximum conversion gain, assuming that the Gilbert-cell-based subharmonic mixer is pumped by LO signals with ideal square waveforms. Sweeping the phase from 0° to 360° in (12),

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

3289

Fig. 5. Schematic diagram of the three-stage differential LNA detailing the first stage and its important capacitive and inductive layout parasitics. Fig. 4. Calculated conversion gain with sweeping and up to 41st LO harmonics considered ( is up to 20). The peak conversion gain is normalized to 0 dB.

a correlation between the mixer LO phases and conversion gain in decibel is plotted in Fig. 4. The peak and valley of the conversion gain curve appear at 90°/270° and 0°/180° LO phase shifts, respectively, supporting that quadrature LO signals result in a maximum mixing efficiency. The variation of conversion gain with respect to the LO phase shift is briefly explained as follows. For the in-phase LOs, the mixer suffers zero conversion gain because the IF product is completely canceled by the rest of the 180° out-of-phase IF products . For the quadrature LOs, a highest conversion gain is obtained because the IF product is strengthened by the I IF products and , though partly canceled by the 180° out-of-phase IF products and , where . The RF–IF and LO–IF isolations can be also derived from (5). III. TRANSMITTER AND RECEIVER DESIGN A. LNA Design A schematic diagram detailing the first stage of the three-stage differential LNA is shown in Fig. 5. The transistors Q1–Q4 are arranged in a differential cascode configuration in order to maximize the gain and reverse isolation per stage. Devices with an emitter-window size of m biased at mA were selected for the cascode since it yields an optimum differential source impedance for minimum NF equal to a 100- system impedance. The output of the cascode is impedance-matched to the 100- system impedance by a conventional LC-tank consisting of a center-tapped differential shunt inductor pH and 10-fF output series capacitors . With a 4-pH parasitic wiring inductance in each of the base connections of Q3/Q4 and in the emitter connections of Q1/Q2, a small amount of gain peaking and emitter degeneration of the stage is obtained. This leads to

a simulated 10-dB gain, 8-dB NF, and 6-dB return loss of the first stage at 160 GHz. Lower input return loss can be obtained by increased inductive degeneration, which increases the real part of input impedance. The LNA was designed with three cascaded stages in order to secure sufficient gain for a low system NF in the presence of potential process parameter changes. Since the input stage is equipped with large devices, it has a high enough compression point to be used as the intermediate and the final stage of the amplifier. Hence, the three-stage LNA has been designed as three cascaded identical stages. Individual optimization of each stage can, however, yield improved bandwidth and linearity of the amplifier. The power supply voltage to each stage is low-pass filtered by the RC network pF in order to suppress any common-mode instabilities due to power-rail crosstalk in the multistage amplifier. B. Subharmonic I/Q Mixer Design Fig. 6(a) presents the schematic diagram of the subharmonic I/Q receiver mixer. The 160-GHz RF output signal of the LNA is split into an I/Q path by the 3-dB differential 90° coupler. Separate RF stages Q1/Q2 and Q3/Q4 with emitter areas m are used for the I/Q channel. The subharmonic down-conversion is performed by two stacked switching quads in each channel, consisting of the devices Q5–Q20. This arrangement provides current sharing between the cascaded mixer cores at the cost of an increased supply voltage. Each of the four switching quads is equipped with small m devices biased at a quiescent current mA in order to minimize the LO-drive power requirement. In each quad, the transistors are arranged as two cells with shared collector terminals. Equation (15) discussed above is helpful to understand the benefit of quadrature LO signal in Gilbert-cell-based subharmonic mixer, but in reality, the behavior of conversion gain is not in agreement with the above discussions due to the limited circuit bandwidth, thus only lower harmonics are involved in the mixing and suffer from different gain with respect to frequency, which can be described by the gain-magnitude frequency response of the differential pair used in quads. As shown

3290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 7. Analysis of differential pair used in the mixer quad. (a) Schematic and (b) large-signal gain response.

Fig. 8. Calculated conversion gains with respect to the ratio of

Fig. 6. Schematic of the: (a) subharmonic I/Q receiver mixer and (b) transmitter mixer.

in Fig. 7(a), the differential pair is operated in a large-signal mode, steering the emitter current back and forth between two transistors. With a strong input signal at low frequencies, the output waveform of the differential pair is clipped as a square wave with peak-to-peak voltage swing of , where is the equivalent load resistance at collectors. Thus, given a fixed input power, the large signal gain of the differential pair is a constant value with respect to frequency. However, the gain drops at high frequencies where the maximum power gain is not high enough to push the output voltage swing beyond . Combining the gain at low and high frequencies, we have a large-signal gain curve shown in Fig. 7(b). It can be described in decibels as dB

if

.

current in the design. The conversion gain curves move closer to each other as frequency increases, leading to less variation of conversion gain over 360° LO phase shift at higher LO frequencies. It should be noted that Fig. 8 is only intended to qualitatively describe the correlation between the mixer conversion gain and LO phases over frequency, ignoring all the parasitic effects of transistors. However, the optimum LO phases drift away from 90° at millimeter-wave frequencies mainly due to the base–emitter capacitance . In the mixer quads, transistors can be regarded as common base (CB) stages during the switching-on period for the RF signals. The CB stage and its small-signal equivalent circuit are depicted in Fig. 9(a), where is an input ac current and is the output. They are in phase at low frequencies, but out-of-phase at millimeter-wave frequencies. As shown in Fig. 9(b), voltage has a phase delay of with respect to due to the RC constant of and the emitter resistance . can be calculated as

(18)

(19)

where is the frequency when . Replacing the term in (2) and (3) by (18) and modifying (12), the conversion gain with I/Q LOs is plotted in Fig. 8 by using numerical calculation with proper assumption of , , and according to the input LO power, the transistor size and bias

Ignoring the Miller capacitance for simplicity, and are in phase. Thus, is delayed by with respect to . For the receiver mixer core shown in Fig. 10(a), the transistors that are switched off by the LOs are drawn in gray for a better understanding of the large-signal operation. As depicted in Fig. 10(b), and are the sequence of and chopped by

dB

if

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

Fig. 9. Analysis

influence on the phase delay between

and

3291

.

Fig. 10. Analysis of nonquadrature LO phases in mixer: (a) mixer core and (b) time-domain analysis.

in the lower quads, and are further chopped by in the higher quads and merged into and . For the higher quads, and is the collector current response of the emitter current and , respectively. There is a phase delay of between and and and , which requires the same phase delay on the quadrature LO signals for alignment in time slot. Therefore, the phase of input “quadrature” LO signals for the higher quads should be compensated by an extra phase shift of . It should be noted that the in (19) is equal to for the down-converter and for the up-converter. Fig. 11 compares the three performances at the different LO frequencies, 8 and 80 GHz. The maximum conversion gain, minimum NF, and the best RF–IF isolation are obtained at 90° and 130° phase shifts, respectively, and their variations are smaller at 80-GHz LO frequency than at 8 GHz, leading to a higher tolerance on phase error of the coupler. The minimum NF is obtained at the peak conversion gain for their inversely proportional relationship. The best RF-IF isolation also appears at the peak conversion gain since more RF power is involved into down-conversion instead of leaking into IF. Although the mixer conversion gain is not maximized with a 90° coupler used in the 80-GHz LO path, it is still close to the peak value due to its less variation over the LO phases at 80 GHz. According to the simulation, the mixer has a 10.8-dB conversion gain and a 25-dB NF at 90° LO phase shift. Compared to the maximum 12.4-dB conversion gain and the min-

Fig. 11. Simulated conversion gain, NF, and RF–IF leakage of the mixer with respect to LO phase shift. The mixer is pumped by: (a) 8- and (b) 80-GHz LO, delivering an IF signal at 100 MHz.

imum 19-dB NF at the 130° LO phase shift, the system conversion gain is decreased by 1.6 dB and the NF is increased by 0.2 dB at 90° LO phase shifts, assuming a 30-dB-gain LNA is used in front of the mixer. Therefore, the 3-dB 90° coupler is still used to provide quadrature 80-GHz LO signals to the two stacked switching quads without sacrificing much performance degradation. The optimum LO phase distribution will be implemented in future work by investigating a novel hybrid coupler with arbitrary phase shift. Resistive collector loads are used together with emitter–follower output buffers to provide wideband I/Q-baseband outputs. In the up-conversion subharmonic mixer, shown in Fig. 6(b), the mixer core is reused by swapping the IF and RF ports. At the RF ports, resistive collector loads and emitter–follower output buffers are replaced by the LC matching networks and the 90° coupler. C. PA Design The PA uses a differential cascode circuit topology for high gain and high output power, shown in Fig. 12. The differential architecture provides an additional 3-dB output power. In order

3292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 13. VCO: (a) core and (b) buffer amplifier schematic. Fig. 12. Schematic diagram of the three-stage differential PA.

to accommodate large input power, each transistor device used in the first and second stage is made of two parallel connected two cells with the emitter-window size of m . The optimum bias current for each transistor is 7 mA. In order to enhance the output compression point, each transistor used in the third stage is arranged as four cells mentioned above and biased at a 14-mA quiescent current. The standard LC matching network is used to match the input and output impedance to 100 . The inductors are implemented by microstrip lines with side-ground shields to reduce the cross-coupling effect. A 50- characteristic impedance of the transmission line is obtained from a 2.8- m-thick and 3- m-wide conducting strip on the top metal layer with a 6- m distance to the side-ground shields built from metal 2 to the top metal, depicted in Fig. 12.

D. VCO and LO Buffer Design The Colpitts topology is widely used in millimeter-wave oscillator design for its low phase noise. As shown in Fig. 13(a), a modified Colpitts topology is used for our 80-GHz VCO design. is the resonating inductor, while works as the output matching inductor. For a low phase-noise oscillation, the transistor size and bias current are the first important consideration. Transistors with a 10 0.25 m emitter area biased with a 2.5-mA current are used in the VCO core. The second major contributor to the phase noise is the current source connected to the emitters. Instead of using a transistor, the resistors are used for proper bias current since the flicker noise of transistor dominates the close-carrier phase noise. Alternatively, a quarter-wavelength transmission line can feed bias current and block the ac signal, but it was not employed in this design due to its large area requirements. The third factor that must be considered is the tradeoff between the phase noise and the tuning range. The resonating inductor and output inductor have been selected as 220 and 40 pH, respectively, to cover a simulated 10-GHz bandwidth (by a 0.4–5-V tuning voltage) without sacrificing much phase-noise performance. The fourth design parameter, according to the phase-noise theory of the Colpitts oscillator [13], [14], is the capacitive divider ratio . To calculate , the parasitic PN-junction capacitance and the para-

sitic parallel capacitance of the resistor as follows:

must be considered

(20) is the capacitance of the varactor. The optimized where value is related with the quality factors of the inductors and varactors and bias current. The value is set between 0.3 and 0.4 considering the above transistor size, bias current, and inductor configurations. The VCO buffer is designed as a differential cascode, as shown in Fig. 13(b), equipped with a differential -match tank circuit. To shrink the size of the matching inductor , a capacitor is added in parallel. The series matching capacitors, and , are 21 fF. The simulated power gain is 10 dB with a 3-dB bandwidth from 68 to 93 GHz, covering the whole tuning range of the VCO. The simulated maximum output power delivered by the VCO and the buffer is 5 dBm. E. Frequency Prescaler Design Static frequency dividers are used in the frequency prescaler chain. The first divider stage operates at 80 GHz. As shown in Fig. 14, resistors – and transistors – , and – form a feedback loop that determines the input sensitivity of the divider. In the first stage, a 30- load resistor and transistors with an emitter area of 4 0.25 m are used. The simulated input sensitivity at 80 GHz is 8 dBm. In order to minimize the impact of the input impedance of the divider on the impedance matching between the output of buffer and the input of mixer, a pair of 66-pH series inductors, and , are introduced at the differential input of the divider, leading to a 2-dB reduction of the input sensitivity. IV. SiGe TECHNOLOGY AND LAYOUT A. SiGe HBT Technology The receiver is fabricated in an advanced SiGe bipolar technology, which has been derived within the DOTFIVE project from Infineon’s high-speed bipolar production process B7HF200. B7HF200 is qualified for automotive applications such as 77-GHz adaptive cruise control, and it is based on the process described in [15]. The transistors are realized

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

3293

Fig. 14. Schematic showing the input divider stage of the four-stage 1/16 LO prescaler. Fig. 15. TEM cross section of the npn transistor with an emitter window opening of 0.21 m.

in a double-polysilicon self-aligned transistor concept with shallow and deep trench isolation. The SiGe base is deposited by selective epitaxy. With B7HF200 as a starting point, the npn transistors have been significantly scaled laterally and vertically. The emitter window width has been reduced from 0.35 to 0.23 m. By additionally reducing the emitter–base spacer width from 75 to 45 nm, this yields a reduction of the effective emitter width from 0.2 to 0.14 m. These measures simultaneously reduce base collector capacitance , base emitter capacitance , and base resistance . Furthermore, the active area opening in the STI oxide has been reduced by more than a factor of 2 to reduce and the width of the emitter polysilicon was decreased for reducing and . To increase the transit frequency of the transistors, the vertical scaling steps included tighter SiGe base profiles with an increased Ge content of 30%, as well as a reduction of collector thickness. The peak current gain of these transistors is about 1500 at a base–emitter voltage of 0.8 V. The collector–emitter breakdown voltage with open base is 1.5 V and the collector–base breakdown voltage is 5.5 V. The npn transistors achieve a transit frequency of 234 GHz and a maximum oscillation frequency of 335 GHz. The minimum gate delay of the current-mode-logic (CML)-based ring oscillators fabricated with these transistors is 2.6 ps. A further laterally scaled version of the device with an emitter window width of 0.21 m and an effective emitter width of 0.12 m achieves an of 230 GHz, an of 350 GHz, and a CML gate delay of 2.5 ps. A TEM cross section of such a transistor is shown in Fig. 15, and the and characteristics are depicted in Fig. 16. The process provides two types of polysilicon resistors with sheet resistances of 150 and 1000 , and TaN thin-film resistors with a sheet resistance of 20 . A metal–insulator–metal (MIM) capacitor with Al O dielectric and a specific capacitance of 1.4 fF m is integrated in the four-layer copper metallization. By using a double-epitaxy concept [16], the process further provides high-voltage npn transistors with breakdown voltages of 3.5 V and of 14 V with an of 60 GHz on the same dies as the high-speed npn devices. This double-epitaxy concept has also allowed the implementation of varactor diodes with a capacitance ratio (5 V)/ (0 V) of 2.3 and quality factors of about 8 at a frequency of 77 GHz.

Fig. 16. and emitter size of 0.12

versus collector current for a device with an effective 2.7 m .

B. Quadrature Couplers The 80- and 160-GHz differential 90° 3-dB couplers used for the generation of RF and LO quadrature signals have been implemented with two stacked two-wire transmission lines in adjacent M3/M4 metal layers, as shown in Fig. 17. In contrast to a traditional coplanar stripline (CPS), these differential transmission lines are fully embedded in the SiO backend. Hence, a high degree of coupling can be obtained since the phase velocity of the odd and even modes in the coupled lines are similar. The coupler was optimized using a 3-D electromagnetic (EM) simulation tool (HFSS). For a 3-dB coupling ratio and a 100- system impedance, conductors with m width and m spacing have been used. The length of the coupler transmission lines corresponds to and is 400 m at 80 GHz and 200 m at 160 GHz. In order to obtain a more compact coupler with the ports spaced closer together, the transmission lines have been folded into a C-shape. The simulations of the two couplers are presented in Fig. 18. The 80-GHz coupler with the isolated port terminated in a 100- load shows a maximum amplitude error of 0.4 dB and a phase error of 6° in the quadrature output signals over a 70–90-GHz frequency range. The simulated excess insertion

3294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 17. Layout and cross section of the differential 80- and 160-GHz 90° couplers.

Fig. 19. Layout arrangement of the subharmonic receiver I/Q mixer core.

complete tank into the circuit simulator, the lumped elements can be resized to absorb the parasitics of the interconnects in order to obtain a good impedance match. D. Subharmonic Mixer Core

Fig. 18. Simulated amplitude and phase balance of the: (a) 80- and (b) 160-GHz coupler.

loss is 0.8 dB. For the 160-GHz coupler, a 10° maximum phase error and a 1.2-dB amplitude error over 140–180-GHz is obtained. The simulated input return losses are better than 10 dB for all the ports. C. LNA LC-Tank The LNA LC-tank has been implemented with a centertapped lumped rectangular shunt inductor in the M4 top metal layer and series metal–oxide–metal (MOM) plate capacitors. The 30 25 m large inductor is surrounded by a grounded M1–M4 metal and via fence in order to minimize crosstalk to nearby circuit elements. Substrate contacts along the perimeter of the inductor area tie the substrate to ground potential. The 10-fF series MOM capacitors use 15 18 m large plates in the M3–M4 layers. The influence of the parasitic substrate capacitance is minimized by connecting the sensitive high-impedance collector nodes to the top plates of the capacitors, whereas the relatively low-impedance 100- output port of the stage is connected to the substrate-facing bottom plates. The via and wire interconnects in the LC-tank, as well as its connections to the transistors and output port, provide a substantial parasitic inductance and capacitance at the 160-GHz operating frequency. Hence, a post-layout EM -parameter simulation of the full LC-tank of each stage has been performed, with ports for the transistor collector nodes and their corresponding output ports, as well as the collector power-supply port of the inductor. By importing the resulting five-port -matrix of the

The layout of the subharmonic I/Q mixer is carefully designed to minimize the parasitic capacitances between overlapped metal layers. An example of the receiver mixer is illustrated in Fig. 19. The I/Q mixer consists of two identical cores. Each one includes a differential pair Q1–Q2 and two stacked switching quads Q5–Q8 and Q13–Q16. The cells with dual NPN transistors and shared collector are used to shrink the size of the quad and reduce the Miller capacitance. The quadrature LO signals are injected into the bases of the quads from the right. The quadrature RF signals input into the bases of Q1–Q4 from the left, and the I/Q signals output from the collectors of Q13–Q20. When the core is used in the up-conversion mixer, the RF and I/Q ports are swapped. This layout arrangement requires only two metal layers for the interconnections. The parasitic capacitance due to the overlapped metal area is minimized. E. Baluns and Shielded Pads A 160-GHz integrated input balun is needed at the RF input port for characterization of the receiver in a single-ended wafer-probing environment since a fully differential circuit architecture is used. In addition, an 80-GHz LO balun is needed for the characterization of the standalone VCO and mixer breakouts. A 160-GHz Marchand balun has been implemented from a 500- m-long center-tapped balanced transmission line designed with 2.4- m conductor width and spacing in the M4 top thick metal layer. The unbalanced input port of the balun is connected to a signal pad, which is shielded from the substrate by a grounded M1/M2 mesh metallization. A short-circuit transmission-line stub connected to the pad is used to provide inductive shunt compensation [17] of the pad capacitance. The combination of the balun and the capacitance-compensated pad has been measured using manufactured back-to-back test structures. The characterization results show an insertion loss of between 1.6 to 2.1 dB over the 150–170-GHz frequency range.

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

3295

Fig. 21. Measured (solid line) and post-layout simulated (dashed line) smallsignal gain of the differential three-stage LNA equipped with input and output baluns.

Fig. 20. Micrograph of the integrated transmitter and receiver chip including the auxiliary RF-input balun.

F. Manufactured Transmitter and Receiver Fig. 20 shows the micrographs of the manufactured transmitter and receiver, occupying 1.8 0.6 and 1.5 0.6 mm , respectively. A large part of the die is occupied by the pad frame and the RF input balun, whereas the transmitter and receiver cores only require an area of 0.45 and 0.4 mm . The total chipset area saving compared to our previous publication [6] is about 64%. A version of the receiver without the integrated VCO was also manufactured together with breakouts of the VCO and the three-stage LNA. V. EXPERIMENTAL RESULTS A. Three-Stage LNA The measured -parameters of the three-stage differential LNA breakout are shown in Fig. 21 together with the simulated of the amplifier. The results include the total 3-dB losses of the integrated input and output baluns used for characterization purposes. Good agreement between simulated and measured gain is obtained from 140 to 160 GHz. The lower measured gain of 26 dB at the 156-GHz gain peak, compared to the 32-dB simulated value, is caused by compression of the amplifiers during the -parameter measurement. The deviation from the targeted 160-GHz center frequency can be explained by parasitic metal to substrate capacitance fF (see Fig. 5) present at the collector nodes of the stages not included in the simulation during the original design phase. In the presented simulation results, the correct capacitance has been extracted and added at these nodes.

Fig. 22. NF and conversion gain measurement setup including an optional external 80-GHz LO.

The LNA NF was unable to be measured separately due to the lack of an off-chip mixer module. Alternatively, the system NF will be presented as follows. B. Receiver Characterization The integrated receiver has been characterized for conversion gain, NF, input compression point, and I/Q balance. For the conversion gain and NF measurements, the -factor method was used, whereas compression and I/Q balance measurements have been performed with a frequency-multiplier-based continuous wave (CW) source. The measurement setup, including an optional external LO feed, is depicted in Fig. 22. The conversion gain and NF measurements based on the -factor method are shown in Fig. 23 together with simulation results. In this measurement, the bias current of the VCO buffer was adjusted in order to optimize the LO drive power for maximum conversion gain and -factor. A commercial noise source (ElVA-1) covering from 110 to 170 GHz with a calibrated excess noise ratio (ENR) of 12 2 dB was used in -factor measurement. The estimated 1.6–2.1-dB insertion loss

3296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 24. Simulated (dashed line) and measured (int. LO solid line, ext. LO dashed–dotted line) 200-MHz IF output power of the receiver with a 156-GHz RF input power swept.

Fig. 23. Measured: (a) conversion gain and (b) system NF, 200-MHz IF of the full receiver with internally generated LO (squares) and the LNA/mixer breakout fed with an external 3-dBm 73–85-GHz LO signal (circles). The 1.6–2.1-dB losses of the integrated auxiliary RF balun and pad have not been de-embedded.

of the RF-input balun has not been de-embedded from the presented results. The 2.3-dB insertion loss of the WR-6.5 wafer probe has been calibrated out of the measurement. A maximum conversion gain of 35 dB and a minimum NF of 8.5 dB is obtained at the 156-GHz center frequency of the LNA. Similar results are obtained for the receiver with an integrated VCO as compared to the breakout circuit fed by an externally generated LO. The higher simulated NF of 11 dB can be explained by limitations of the high-frequency noise modeling capabilities of the Gummel–Poon model, which assumes noncorrelated shot noise in the base and collector currents [18]–[20]. In addition, a conservative estimation of the base-sheet resistance is used in the device model. The combined I/Q power of receivers with and without an internally generated LO is presented in Fig. 24 for a 200-MHz IF and a sweep of the input RF power. The receiver shows 35-dBm input-referred CP1 dB with a saturated IF output power of 0 dBm. Above this input power level, the voltage swing at the collector nodes of the upper quads Q13-Q20 (see Fig. 6) saturates, thus causing a shift of the operating points of

Fig. 25. Tuning characteristic (solid line) and phase noise (dashed line) of the 80-GHz VCO recalculated from the measured 1/16 divider output.

the mixer quads and the phase relationships of signals in the subharmonic mixer. Hence, a drop of the output power above the compression point is seen. This behavior has also been noticed for a similar type of subharmonic mixer in [9]. Increased voltage headroom at the collector nodes of the upper quads in the mixer or a modified load network can delay the onset of compression. With a 100-MHz IF, the measured I/Q unbalance is better than 5° and the amplitude error is 1 dB. These errors are mainly caused by inaccurate I/Q splitting in the RF 90° coupler and could be minimized by a further optimized coupler design. A maximum dc offset of 5 mV was measured at the differential I and Q outputs. The small dc offset shows that the used subharmonic downmixing scheme efficiently minimizes the problems of self mixing. The total power consumption of the receiver amounts to 490 mW, where the LNA and downconverter consume 240 mW from a 4-V supply, whereas the VCO and buffer require 40 mW from a 2.2-V supply, and prescaler dissipates 210 mW from a nominal 3-V supply. A redesign of the bias networks could allow single-supply operation and a reduced power consumption.

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

3297

TABLE I COMPARISON OF MONOLITHICALLY INTEGRATED TRANSMITTERS AND RECEIVERS ABOVE 125 GHz

Fig. 26. Output power and conversion gain measurement setup.

C. VCO Measurements Fig. 25 depicts the tuning characteristic over most of the VCO is frequency range with respect to the tuning voltage . As tuned from 0.4 to 5.0 V, the divided frequency at the prescaler output varies from 4.765 to 5.026 GHz. Hence, the VCO frequency is from 76.2 to 80.4 GHz. The oscillating frequency and the phase noise of the VCO are calculated from the measured output signal of the 1/16 prescaler. The phase noise of the 4.863-GHz prescaler output signal is 114.5 dBc/Hz at a 1-MHz offset. Hence, the phase noise of the VCO at 77.8 GHz is 90.5 dBc/Hz at a 1-MHz offset, for a dB phase-noise improvement with a frequency-divided-by- operation, where is the frequency division number. As shown in Fig. 25, the best phase noise appears between 1.5–2.5-V tuning voltage where the capacitive ratio [see (20)] is close to the optimized value. D. Transmitter Characterization The transmitter has been characterized for maximum output power and conversion gain. The measurement setup is depicted in Fig. 26. A -band waveguide probe and a WR-6.5 waveguide were used to pick up the RF signal. In order to observe the output spectrum of the transmitter, a -band waveguide directional coupler and an OML M06HWD harmonic mixer were used to work with an Agilent E4440A spectrum analyzer. The RF power was simultaneously measured by a millimeter-wave

Fig. 27. Transmitter characterization results. (a) Measured saturated output power. (b) Conversion gain at IF frequency of 60 MHz.

power meter (Erickson PM4 with WR-10 waveguide interface) connected to the directional coupler through a WR-10–WR-6.5 waveguide taper. The measured conversion gain and saturated output power are shown in Fig. 27. Across the 150–168-GHz band, the transmitter achieves a saturated output power above 8 dBm and maximum 10.6 dBm at 156 GHz with a 7-dBm IF input at 60 MHz, a

3298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

maximum conversion gain of 30–34 dB, and an output CP1 dB of 5 to 1 dBm. For the output power measurement, the estimated 1.6–2.1-dB insertion loss of the on-chip RF-output balun has not been de-embedded from the presented results. The transmitter consumes 610 mW totally, where the PA consumes 330 mW from a 3.3-V supply, and the upconverter takes 30 mW from a 4-V supply. VI. SUMMARY AND CONCLUSION A 160-GHz monolithic integrated transmitter and receiver chipset has been demonstrated in an engineering version of a 0.25- m SiGe HBT technology. The use of cascaded up-/down-conversion mixers and compact 90° hybrids enable a direct quadrature up-/down-conversion from a subharmonic LO signal, which was generated from an integrated 80-GHz low phase-noise VCO equipped with a prescaler for external locking. In addition, this paper features a theoretical study promoting a better understanding of why the maximum conversion gain is obtained by arranging LO signals in quadrature at lower frequencies, but in nonquadrature at frequencies close to . The study also shows the less variation of the conversion gain over LO phases at higher frequencies. As a result, the mixer has a higher tolerance on the phase error of the 90° hybrid design. Compared to similar transceivers shown in Table I, this chipset provides a low-system NF of 8.5/7 dB (with/without an aux. balun) and up to 10.6-dBm saturated output power combined with a limited dc power consumption and a high level of integration. Compared to our previous publication [6], the chipset area is reduced by 64% and the power consumption is saved by 67%. To the authors’ knowledge, this study shows a record receiver NF and a record transmitter output power above 125 GHz in SiGe technology. The conversion gain and output power can be traded for a wider bandwidth and higher input compression point by a re-optimization of the LNA, mixer, and PA. Hence, the presented chipset can be adapted to a number of different D-band imaging, radar, and communication applications. ACKNOWLEDGMENT The authors wish to acknowledge the helpful discussions from K. Statnikov and Dr. J. Grzyb, both with the University of Wuppertal, Wuppertal, Germany. REFERENCES [1] P. de Maagt, P. H. Bolivar, and C. Mann, “Terahertz science, engineering and systems—From space to earth applications,” in Encyclopedia of RF and Microwave Engineering, K. Chang, Ed. New York: Wiley, 2005, pp. 5175–5194. [2] R. Rasshofer, “Functional requirements of future automotive radar systems,” in Eur. Radar Conf., Oct. 2007, pp. 259–262. [3] D. L. Woolard, J. O. Jensen, R. J. Hwu, and M. S. Shur, Terahertz Science and Technology for Military and Security Applications. Singapore: World Sci., 2007. [4] E. Laskin, K. Tang, K. Yau, P. Chevalier, A. Chantre, B. Sautreuil, and S. P. Voinigescu, “170-GHz transceiver with on-chip antennas in SiGe technology,” in IEEE Radio Freq. Integr. Circuits. Symp., Jun. 2008, pp. 637–640.

[5] E.Öjefors, F. Pourchon, P. Chevalier, and U. R. Pfeiffer, “A 160-GHz low-noise downconverter in a SiGe HBT technology,” in Eur. Microw. Conf., Oct. 2010, pp. 521–524. [6] U. R. Pfeiffer, E.Öjefors, and Y. Zhao, “A SiGe quadrature transmitter and receiver chipset for emerging high-frequency applications at 160 GHz,” in IEEE Int. Solid-State Circuits Conf., Feb. 2010, pp. 416–417. [7] R. Svitek and S. Raman, “A SiGe active sub-harmonic front-end for 5–6 GHz direct conversion receiver applications,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2004, pp. 675–678. [8] P. Lindberg, E.Öjefors, E. Sönmez, and A. Rydberg, “A SiGe HBT 24 GHz sub-harmonic direct-conversion IQ demodulator,” in Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Jun. 2004, pp. 247–250. [9] A. Müller, M. Thiel, H. Irion, and H.-O. Ruoss, “A 122 GHz SiGe active subharmonic mixer,” in 13th GAAS Symp., Paris, France, Oct. 2005, pp. 57–60. [10] E. Öjefors and U. Pfeiffer, “A 94-GHz monolithic front-end for imaging arrays in SiGe: C technology,” in Eur. Microw. Integr. Circuits Conf., Oct. 2008, pp. 422–425. [11] K. Schmalz, W. W. J. Borngräber, W. Debski, B. Heinemann, and J. C. Scheytt, “A subharmonic receiver in SiGe technology for 122 GHz sensor applications,” IEEE J. Solid-State Circuits, vol. 45, no. 9, pp. 1644–1656, Sep. 2010. [12] A. Parsa and B. Razavi, “A 60 GHz CMOS receiver using a 30 GHz LO,” in IEEE Int. Solid-State Circuits Conf., 2008, pp. 190–191. [13] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. phase noise in bipolar [14] A. Fard and P. Andreani, “An analysis of colpitts oscillators (with a digression on bipolar differential-pair LC oscillators),” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 374–384, Feb. 2007. [15] J. Boeck, H. Schaefer, K. Aufinger, R. Stengl, S. Boguth, R. Schreiter, M. Rest, H. Knapp, M. Wurzer, W. Perndl, T. Boettner, and T. F. Meister, “SiGe bipolar technology for automotive radar applications,” in Proc. Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2004, pp. 84–87. [16] R. K. Vytla, T. F. Meister, K. Aufinger, D. Lukashevich, S. Boguth, H. Knapp, J. Boeck, H. Schaefer, and R. Lachner, “Simultaneous integration of SiGe high speed transistors and high voltage transistors,” in Proc. Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2006, pp. 61–64. [17] U. R. Pfeiffer, “Low-loss contact pad with tuned impedance for operation at millimeter-wave frequencies,” in 9th IEEE Signal Propag. Interconnects Workshop, May 2005, pp. 61–64. [18] P. Sakalas, J. Herricht, A. Chakravorty, and M. Schroter, “Compact modeling of high frequency correlated noise in HBTs,” in Proc. Bipolar/BiCMOS Circuits Technol. Meeting, 2006, pp. 1–4. [19] K. Yau and S. Voinigescu, “Modeling and extraction of SiGe HBT noise parameters from measured -parameters and accounting for noise correlation,” Proc. SiRF, pp. 226–229, 2006. [20] K. Yau, P. Chevalier, A. Chantre, and S. Voinigescu, “Characterization of the noise parameters of SiGe hbts in the 70–170 GHz range,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 8, pp. 1983–2000, Aug. 2011. [21] M. Abbasi, S. E. Gunnarsson, N. Wadefalk, R. Kozhuharov, J. Svedin, I. Kallfass, A. Leuther, and H. Zirath, “Single-chip 220-GHz active heterodyne receiver and transmitter MMICs with on-chip integrated antenna,” IEEE Trans. Microwav. Theory Tech., vol. 59, no. 2, pp. 466–478, Feb. 2011. [22] I. Sarkas, J. Hasch, A. Balteanu, and S. Voinigescu, “A fundamental frequency 120-GHz SiGe BiCMOS distance sensor with integrated antenna,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 795–812, Mar. 2012. [23] E. Laskin, M. Khanpour, S. Nicolson, A. Tomkins, P. Garcia, A. Cathelin, D. Belot, and S. Voinigescu, “Nanoscale CMOS transceiver design in the 90–170-GHz range,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3477–3490, Dec. 2009. [24] A. Tang, G. Virbila, D. Murphy, F. Hsiao, Y. Wang, Q. Gu, Z. Xu, Y. Wu, M. Zhu, and M.-C. F. Chang, “A 144 GHz 0.76 cm-resolution 14 sub-carrier SAR phase radar for 3-D imaging in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf., Feb. 2012, pp. 264–265. [25] E. Öjefors, B. Heinemann, and U. Pfeiffer, “Subharmonic 220- and 320-GHz SiGe HBT receiver front-ends,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 5, pp. 1397–1404, May 2012.

ZHAO et al.: 160-GHz SUBHARMONIC TRANSMITTER AND RECEIVER CHIPSET IN SiGe HBT TECHNOLOGY

Yan Zhao (M’09) received the M.S. degree in microwave technology from Northwestern Polytechnical University, Xi’an, Shaanxi, China, in 2002, and the Ph.D. degree in circuit and system from Southeast University (SEU), Nanjing, China, in 2009. From 2002 to 2005, he was with the ZTE Corporation, Xi’an, China, where he was an RF Engineer involved with millimeter-wave transceiver system and WCDMA RF system design. Since 2009, he has been with the Institute for High-frequency and Communication Technology (IHCT), University of Wuppertal, Wuppertal, Germany. His research concerns millimeter-wave and terahertz circuit design.

Erik Öjefors (S’01–M’06) received the M.Sc. degree in engineering physics and Ph.D. degree in microwave technology from Uppsala University, Uppsala, Sweden, in 2000 and 2006, respectively. In 2007, he joined the Institute of High-Frequency and Quantum Electronics, University of Siegen, Siegen, Germany. Since 2008, he has been with the Institute for High-frequency and Communication Technology, University of Wuppertal, Wuppertal, Germany. Dr.Öjefors was the corecipient of the 2007 IEEE Antennas and Propagation Society (AP-S) R. W. P. King Award, the 2008 European Microwave Integrated Circuits (EuMIC) (EuMIC) Best Paper Award, and the 2010 EuMC Microwave Prize.

Klaus Aufinger (M’09) was born in Kirchbichl, Austria, in 1966. He received the Diploma and Ph.D. degrees in physics from the University of Innsbruck, Innsbruck, Austria, in 1990 and 2001, respectively. From 1990 to 1991, he was a Teaching Assistant with the Institute of Theoretical Physics, University of Innsbruck. In 1991, he joined Corporate Research and Development, Siemens AG, Munich, Germany, where he investigated noise in submicrometer bipolar transistors. He is currently with Infineon Technologies (the former Semiconductor Group of Siemens), Munich, Germany, where he is involved with the field of device physics, technology development, and modeling of advanced SiGe technologies for highspeed digital and analog circuits.

3299

Thomas F. Meister received the Diploma and Ph.D. degrees in physics from the University of Aachen, Aachen, Germany, in 1978 and 1981, respectively. From 1981 to 1985, he was with Kernforschunganlage Jülich, Germany, where he was involved in the field of statistical mechanics of solid/fluid interfaces. In 1985, he joined Corporate Research and Development, Siemens AG, Munich, Germany. He is currently with Infineon Technologies (the former Semiconductor Division, Siemens), Munich, Germany, where he was initially engaged in the physics of polysilicon emitters and in characterization and modeling of fast bipolar transistors. Since 1994, he has been involved with the development of advanced SiGe bipolar devices and technology for high-speed applications like optical communication networks, wireless communications, and automotive radar systems.

Ullrich R. Pfeiffer (M’02–SM’06) received the Diploma degree in physics and Ph.D. degree in physics from the University of Heidelberg, Heidelberg, Germany, in 1996 and 1999, respectively. In 1997, he was a Research Fellow with the Rutherford Appleton Laboratory, Oxfordshire, U.K. In 2000, his research was based on real-time electronics for a particle physics experiment with the European Organization for Nuclear Research (CERN), Geneva, Switzerland. From 2001 to 2006, he was with the IBM T. J. Watson Research Center, where his research involved RF circuit design, PA design at 60 and 77 GHz, and high-frequency modeling and packaging for millimeter-wave communication systems. In 2007, he lead the Terahertz Electronics Group, Institute of High-Frequency and Quantum Electronics, University of Siegen, Siegen, Germany. Since 2008, he has led the High-frequency and Communication Technology Chair with the University of Wuppertal, Wuppertal, Germany. Dr. Pfeiffer is a member of the German Physical Society (DPG). He was the recipient of the 2007 European Young Investigator Award He was the corecipient of the 2004 and 2006 Lewis Winner Award for Outstanding Paper of the IEEE International Solid-State Circuit Conference, the 2006 IBM Pat Goldberg Memorial Best Paper Award, and the 2008 European Microwave Integrated Circuits (EuMIC) (EuMIC) Best Paper Award.

3300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Millimeter-Wave Printed Circuit Board Characterization Using Substrate Integrated Waveguide Resonators Dmitry E. Zelenchuk, Member, IEEE, Vincent Fusco, Fellow, IEEE, George Goussetis, Senior Member, IEEE, Antonio Mendez, and David Linton, Senior Member, IEEE

Abstract—This paper proposes a substrate integrated waveguide (SIW) cavity-based method that is compliant with ground-signal–ground (GSG) probing technology for dielectric characterization of printed circuit board materials at millimeter wavelengths. This paper presents the theory necessary to retrieve dielectric parameters from the resonant characteristics of SIW cavities with particular attention placed on the coupling scheme and means for obtaining the unloaded resonant frequency. Different sets of samples are designed and measured to address the influence of the manufacturing process on the method. Material parameters are extracted at - and -band from measured data with the effect of surface roughness of the circuit metallization taken into account. Index Terms—Dielectric characterization, ground–signal–ground (GSG) probing, millimeter-wave (mm-wave) measurement, resonator, substrate integrated waveguide (SIW).

I. INTRODUCTION ILLIMETER-WAVE (mm-wave) technology paves the way to next-generation wireless applications, e.g., high-bandwidth local-area networks (LANs) at 60 GHz, vehicular collision avoidance at 77 GHz, and 94-GHz imaging radar for security and defense are some prominent examples [1]–[3]. Volume manufacture requires either system-on-chip (SoC) or system-in-package (SiP) realization [2]. The SoC solution integrates passive and active components on a wafer, but yield suffers and real estate is increased, whereas SiP allows embedding active components into low-loss substrates. The latter approach presents an opportunity to mix active components manufactured with different processes to reduce losses in passive components such as inductors and allow real-estate-intensive antennas to be patterned. There is a growing demand for using inexpensive printed circuit board (PCB)

M

Manuscript received January 22, 2012; revised June 21, 2012; accepted July 02, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. The work was supported by the Leverhulme Trust Research Project under Grant F/00 203/U-Phase of Conjugate Wireless Communication. The work of A. Mendez was supported by Project FIS2010-15170 and Project PO7-FQM-03280. D. Zelenchuk, V. Fusco, G. Goussetis, and D. Linton are with the ECIT Institute, Queen’s University Belfast, Belfast BT3 9DT, U.K. (e-mail: v.fusco@ecit. qub.ac.uk). A. Mendez is with the Department of Electromagnetism and Physics of Matter Science, University of Granada, Granada 18071, Spain. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209438

substrates in SiP designs. The knowledge of the electromagnetic PCB substrate parameters is vital for accurate design, especially in the mm-wave range, where dimensions based on electrical characteristic such as substrate permittivity are tolerance-critical. Today, most substrate PCB manufacturers specify the dielectric parameters of their substrate materials at 10 GHz. Consequently, in realized designs at mm-wavelengths, frequency shifts attributed to permittivity deviation commonly occur, e.g., [4]. This arises because there is no established accurate technique for on-board dielectric characterization of PCBs at millimeter wavelengths, despite the availability of a number of different material characterization strategies [5]. A comprehensive review of the techniques for dielectric characterization has been made in [6], and it has been concluded that waveguide cavity resonant methods remain the most accurate in terms of permittivity and loss tangent determination. However, due to their form factor, waveguide characterization methods have classically been difficult to apply to microwave PCB materials. The full-sheet resonance method is generally used for PCB testing, however, this approach lacks accuracy for dielectric loss evaluation due to substantial radiation losses that are hard to quantify [7]. Other approaches for the PCB characterization are mostly restricted to microstrip resonators of various shapes [5], [8]. Since the parameters of microstrip resonators depend on the substrate thickness, multiple-resonator designs are usually required for each nominal substrate thickness at a particular frequency. In addition, since the electric field is not homogeneous in the cross section of a microstrip line, the method suits extraction of effective permittivity, e.g., [8], rather than absolute permittivity, and loss estimates also have uncertainty associated with them due to radiation and metal loss characterization complicated by etching tolerances, including irregularity of the strip cross section (e.g., undercut) [5]. Ideally, a metallic waveguide cavity filled entirely with a dielectric would provide a fully screened test environment with near homogeneous field distribution and excellent loss tangent resolution potential. In order to synthesize such an environment for a PCB material, some of the authors have earlier proposed the use of substrate integrated waveguide (SIW) resonators [9] at microwave frequencies. However, their utility at - and -bands has, to the best of the authors’ knowledge, never been reported before. SIW is a fully screened transmission line, which is compatible with standard PCB processing techniques [10], [11]. Electric field distributions in SIW are very close to those in metallic

0018-9480/$31.00 © 2012 IEEE

ZELENCHUK et al.: MILLIMETER-WAVE PCB CHARACTERIZATION USING SIW RESONATORS

3301

where is the velocity of light in vacuum and is the relative permittivity of the substrate. As described in [13], there are three major loss mechanisms associated with SIW: dielectric loss, conductor loss, and radiaof the cavity tion loss. Therefore, the unloaded quality factor is (3)

Fig. 1. Layout of a rectangular SIW resonator fed with GSG probes through a U-shaped aperture.

waveguides, and there is a straightforward relation between the transmission lines. As a consequence, the field is uniform in the vertical direction for substrates thinner than a half of guided wavelength. The latter is a unique feature of SIW resonators in comparison with other planar resonators, and thus it allows direct measurement of the absolute value of relative permittivity as well as straightforward calculation of metal loss, ensuring accurate characterization of loss tangent. In this paper, the technique presented in [9] is extended to propose a simple and efficient method for mm-wave dielectric characterization with SIW resonators. Section II presents the underlying theory for extraction of the substrate dielectric properties from the resonant characteristics of an unloaded SIW cavity and discusses metal loss as well as a means to account for the effect of surface roughness. Section III concentrates on the design of practical resonators and discusses a ground–signal–ground (GSG) probe-based coupling mechanism, equivalent schematic of the resonator, and robust technique for extracting the unloaded resonant cavity frequency from the measured loaded one. Section IV presents experimental results obtained using the proposed method in - and -bands for a low-loss nonreinforced PTFE-based PCB substrate suitable for mm-wave applications [12]. Main findings are discussed in Section V.

is the quality factor associated with the conductor where is the quality factor associated with the dielectric loss, loss, is the quality factor due to radiation loss, which is and in case of SIW cavity is manifested by leakage through the via walls. The latter, as will be shown later in the paper by numerical simulations, can be designed as a negligible contributor subject [15], [16]. can be deto the sufficient via density termined by the cavity geometry, permittivity of the dielectric, , whereas is reand the surface resistance of the metal [17]. Conventional ciprocal to the dielectric loss tangent PCB metallization consists of copper or brass, whose electromagnetic properties are well known. for the TE mode of a rectangular The quality factor cavity is given as [17] (4) where (5) and

is the substrate thickness, and Ohm

(6)

For different walls, top and bottom metallization with surface and , respectively, (4) has been revised resistance in this paper as

II. THEORY

A. SIW Cavity Resonator

(7)

An SIW rectangular cavity is shown in Fig. 1. It has been shown in [13] and [14] that both propagation and attenuation constants of an SIW waveguide are equivalent to the quantities as of a rectangular waveguide with effective width (1) Additionally, the resonant frequency of the TE a rectangular SIW resonator is obtained from

This modification is necessary in order to accommodate the physical realization of the test structure; see Section III. We note that, for a rectangular cavity with reduced height, conductor losses in the sidewalls [reciprocal of the first term of (7)] are smaller than those in the top and bottom walls [reciprocal of the second term of (7)].

mode of B. Extraction of Dielectric Properties (2)

Once the resonant frequency and the quality factor of the resonator have been measured, the substrate permittivity can be

3302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

recovered from (2). Subsequently, the loss tangent obtained from (7) combined with (5) and (6) to yield

can be

(8) Importantly, it follows from (8) that the extracted permittivity does not depend on the thickness of the substrate, and the metal loss is defined by closed-form accurate expression (7). This makes the presented approach preferable to other planar techniques like microstrip or stripline resonator measurement, where individual designs and subsequent extractions are necessary for each substrate thickness. The radiation loss is discarded in (8) as the proposed method assumes design with negligible leakage. C. Surface Roughness Effect It is important to mention that the calculation of is carried out using the conductivity of bulk metal. However, the metal in the real structure is rough on the inside in order to ensure proper adhesion to the dielectric of the substrate. It has been previously shown that metal roughness leads to enhanced attenuation usually described by a loss enhancement factor [18]

which is the ratio of power absorbed in a rough metal surface to a power absorbed in the smooth metal . exThis ratio is always greater than unity, and, thus, the tracted from (8), without roughness effect considered, in fact sets an upper limit on the dielectric loss tangent, as it is calculated for the lowest possible metal loss. For the quality factor calculations, the following substitution [18] is made in (7):

which is equivalent to

.

III. SAMPLE DESIGN We now study PTFE-based TacLamPLUS substrate whose specified permittivity is 2.1 and loss tangent is 0.0008 at 50 GHz [12]. The thickness of the substrate was 0.1 mm. The top metallized layer is made of 18- m-thick copper with electrical conS/m. The bottom ground plane is made of ductivity S/m. 3-mm-thick 63-37 brass with conductivity A. SIW Cavity Design The design of a practical SIW cavity requires an appropriate feeding mechanism. Most mm-wave circuits either have a waveguide interface to a measuring instrument or are directly probed. We propose characterization samples targeted for probing interface compliance as this approach does not need any special fixture or holding screws for the measurement, nor does it require test fixture de-embedding since the instrument can be calibrated to the probe tips with a standard on-wafer kit. Furthermore, the sample can be placed anywhere on the PCB either as

Fig. 2. Equivalent circuits of a single-port resonator: second Foster’s form. All of the impedances and admittances are normalized to the one of the respective source, i.e., g .

=1

standalone dielectric characterization test circuit or beside an actual circuit as a permittivity calibration unit. B. Launching Mechanism Different approaches for coupling energy to SIW resonators have been proposed. Various microstrip and coplanar waveguide probes presented in [19] are external to the cavity and, hence, introduce uncertainty with respect to the measurement reference plane. In [20], an internal voltage source with via connecting central pad on the top and the bottom ground plane has been suggested as a launcher. Whilst the solution was adequate for measurements with 250- m pitch probes up to -band, at higher frequencies and smaller pad dimensions, this approach is technologically challenged as the probing pad dimensions would be limited by the via diameter. In this paper, we propose the use of small U-shaped aperture for probing, as shown in Fig. 1. The aperture is fed by a standard GSG probe thus removing any uncertainty with respect to the reference plane [21], which arises when a length of transmission line is inserted between the generator and the coupling element, e.g., coaxial connector [21] or a length of microstrip line feeding ring resonator trough coupling gap [5]. The probe acts as a current source feeding the resonator through inductive coupling. The U-shaped slot acts a short current probe [22] and placed close to the magnetic field maximum to ensure effective coupling. The resonator is represented as a series RLC circuit at the equivalent circuit in Fig. 2. This is the second Foster’s form in [21]. As discussed in [21] for the second Foster’s form, the and unloaded resonant frerelationship between loaded quencies reads as (9) is the external quality where is the coupling susceptance, is the unloaded quality factor, is the factor, coupling coefficient, and is the diameter of the resonant circle on the Smith chart. The coupling is found from the detuned rerepresenting the flection coefficient reflection coefficient at a frequency far away from resonance. Correct choice of the Foster’s form is crucial for proper determination of the unloaded frequency, as both positive and negative detuning is possible in (9) [21]. For the dielectric characterization, the incorrect choice of the equivalent circuit can lead to an error in the extracted dielectric parameters. In order to verify the choice of the Foster’s form of the SIW resonator proposed here, a parametric analysis of the coupling

ZELENCHUK et al.: MILLIMETER-WAVE PCB CHARACTERIZATION USING SIW RESONATORS

3303

Fig. 3. Effect of the coupling slot length on the reflection coefficient of the resonator.

circuit was performed using CST Microwave Studio. A res2.35 mm, 2.8 mm, 0.2 mm, onator with 0.34 mm has been simulated at the TE resonance. A coupling aperture with 0.1 mm, 0.07 mm, 0.08 mm, and variable aperture length is placed . The position has been chosen close to the at magnetic field maximum for the selected mode in order to get sufficient coupling. The results of the simulations are presented in Fig. 3. As one , an inductive can observe from the Smith chart, for coupling is present. This type of coupling results in the series resonator (the second form), whereas for the parallel resonator the opposite holds true [21]. One can see that the coupling coefficient grows (i.e., the resonant circle widens at the Smith chart) with . Furthermore, from the absolute value plot , it follows that decreases with increased. Hence, of . All of these factors indicate that the according to (9), SIW circuit of Fig. 1 indeed corresponds to a series resonator with inductive coupling as in Fig. 2, cf. [21, Table I]. , and can be retrieved from the The parameters measurements. In this paper, MATLAB Q0REFL and Q0TRAN programs from [23] have been used for this purpose. The unloaded frequency was extracted from measured parameters with the aid of (9). C. Samples Description The experimental samples were designed in order to establish the method sensitivity to various geometrical features of the cavities. Namely, the effect of via diameter and separation is studied as this can help to assess the effect of manufacturing tolerances and potential scalability of the technique to frequencies higher than -band. Also, the effect of perturbation of the coupling aperture geometry is considered as its shape can be affected by etching tolerances. Three different sets of 13 resonator geometries were prepared for the experiment with all of them having two additional replicas; see Fig. 4. Each of 13 resonators in all sets have 2.25 mm, 2.35 mm mm, cavity dimensions , and 2.8 mm, designed to resonate at the TE mode at a certain frequency within the 60–110-GHz

Fig. 4. Photograph of the test samples. Columns 1, 4, and 7: set 1; columns 2, 5, and 8: set 3; columns 3, 6, and 9: set 2).

TABLE I PARAMETERS OF THE MEASUREMENT SETS

Fig. 5. Microphotograph of probed one-port resonator.

frequency range. The resonators with equal are gathered in the rows of nine samples on the test board; see Fig. 4. The first and second sets were designed for one-port measurements and have different via dimensions and apertures. For all resonators, . The third set was the excitation point is placed at designed for two-port weakly excited resonators measurements,

3304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE II MEASURED RESONANT FREQUENCIES FOR EACH SET OF SAMPLES

Fig. 6. Measured permittivity. (a) Set 1. (b) Set 2. (c) Set 3.

in which case the loaded resonant frequency is expected to be very close to the unloaded one. Most of the geometry parameters for the resonators of the third set are retained from the first set except for two apertures shifted to yield weak coupling; see the inset in Fig. 4. The parameters common for all sets of the apertures are 0.1 mm, 0.07 mm, 0.08 mm, and 0.25 mm. The parameters that differ between the sets are gathered in Table I. Based on the dimensions shown in Table I, the external coupling coefficient is expected to vary. This is a consequence of the fact that the length of the cavity, and hence the resonant frequency, changes, whereas the dimensions of the coupling apertures remain constant. At higher frequencies, the slot becomes larger with respect to the cavity dimensions and stronger coupling occurs, similar to the effect demonstrated in Fig. 3. The first two sets are designed to have coupling coefficient within the 0.1–1 range, which is sufficiently low to minimize the uncertainties of reflection-type resonator measurements [24]. Likewise, the coupling for the two-port measurements is deliberately kept low for straightforward recovery of the unloaded resonant frecurve and to quency and quality factor directly from the reduce measurement uncertainty [25]. Further design constraints stem from the available measurement instrument dimensions (probe pitch of 150 m) as well as cost-efficient PCB etching tolerances (which generally impose

TABLE III COMPARISON OF AVERAGED MEASURED PERMITTIVITY TO THE ONE SPECIFIED BY THE MANUFACTURER

etched slot or track to be wider than 0.07 mm and diameter of the via greater than that of the substrate height with 0.05-mm increments in the drill bit diameter). IV. EXPERIMENTAL RESULTS A. Measurement Setup The structures were measured using a Cascade millimeterwave probe station with 50- GSG probes. The pitch of the probes is 150 m. The probes before each measurement were calibrated using an automated LLRM procedure at the probe station and the calibration error was below 0.1 dB for a frequency band of 2 GHz in the vicinity of the resonance of each sample. This error level is acceptable, as the mentioned MATLAB programs apply curve-fitting algorithms and are capable of retrieving necessary characteristics from noisy data [23], [24]. A microphotograph of the probed samples from the first set is shown in Fig. 5.

ZELENCHUK et al.: MILLIMETER-WAVE PCB CHARACTERIZATION USING SIW RESONATORS

3305

Fig. 7. (a) Measured unloaded quality factor. (b) Coupling coefficient. (c) Resonant frequency detuning due to loading.

B. Resonant Frequency and Permittivity Measurements The measured resonant frequencies of the samples are is gathered in Table II. The natural resonant frequency calculated using (2) using the manufacturers nominal value for [12]. The unloaded permittivity measured at 50 GHz resonant frequency is extracted from the measurement and averaged over sample replicas. The unloaded resonant frequency was extracted with the frequency detuning due to loading as defined in (9) taken into account; the details of the effect will be discussed later in the paper. The relative difference of the two resonant frequencies incorporates both the difference between the declared and measured permittivity as well as the measured unloaded frequency uncertainty due to manufacturing tolerances and the imposes an measurement error. Thus, the characteristic upper limit on uncertainty of the permittivity and is employed as a figure of merit for comparative analysis between different sets of samples. It follows from Table II that resonator sets 1 and 2 exhibit similar uncertainty in the resonant frequency estimation whereas the uncertainty of set 3 is approximately three times higher. These results confirm that the two-port measurement technique results in higher uncertainty than the one-port measurement. Analysis of the measured results further reveals that modest variations in via diameter and spacing as well as aperture shape do not noticeably affect the quality of the extraction of unloaded resonant frequency. The unloaded resonant frequency for each measured sample has been used on the expression for the relative permittivity (8), and the resultant data in all of the diagrams below are plotted against the nominal natural resonant frequency from (2). As expected, due to manufacturing tolerances, there is spread of the measured permittivities, which are shown with error bars in Fig. 6. Since the measured permittivity values do not vary significantly with frequency within the measured range, the values have been averaged in order to obtain a single value for TacLamPLUS permittivity at mm-waves. Table III contains the data obtained. For single-port experimental tests both the average value and the error are very similar. For the two-port measurements, made on set 3, the error is about two to three times higher in comparison with a single port.

TABLE IV COMPARISON OF MEASURED LOSS TANGENT TO THE ONES SPECIFIED BY THE MANUFACTURER

=

Fig. 8. Quality factor for metal loss in smooth and rough surfaces with h 0.7 m, l 3 m. Loss enhancement factor for copper and brass is plotted at the right y-axis.

=

C. Quality Factor The measured unloaded quality factor and coupling coefficients are plotted in Fig. 7. One can see that, for most of the cavity designs, the spread between replica measurements is not large. However, some measurements, e.g., sets 1 and 2 around 95 GHz and set 3 around 87 and 72 GHz, reveal a large spread, which is attributed to measurement error and manufacturing tolerances. The calibration error was generally kept below 0.1 dB, yet for the specified cases it was as high as 0.5 dB. Naturally, this has greater impact on the quality factor than on the resonant frequency. Analysis of the single-port resonator measurements showed , and the experimentally that is within the range is plotted in Fig. 7(c). It can be observed obtained

3306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 9. Measured loss tangent. (a) Set 1. (b) Set 2. (c) Set 3. h

=

0.7 m and l

=

3 m.

that detuning is less than 0.25%. Such detuning, if not taken into account, would result in 0.5% error in permittivity estimation. D. Loss Tangent and Metal Roughness Effect The extracted quality factor was substituted into (8) along , and the loss tangent was calculated. with the calculated This calculation takes into account that two different metals, copper and brass, are used to form the top and bottom of the cavity, and the side wall posts metallization is copper. First, the calculation has been performed for smooth metallization. The extracted data were averaged over frequency and the mean values of all the test sets are close to 0.0011; see Table IV. The results are larger than the specification by 34%–40%. As discussed above, this figure is an upper limit on the loss tangent value, and, to further improve accuracy of the extraction, the surface roughness effect has to be considered. A comprehensive discussion of the loss enhancement factor calculation is carried out in [18]. It is proposed that, for proper characterization, the metal surface profile should be measured, and statistical distribution parameters established. However, this would require destruction of the samples, which is unacceptable in many cases. However, one can use average parameters declared by manufacturers to estimate the effect. For 18- m electrodeposited copper used in TacLamPLUS, the roughness height is given as 0.7 m. The correlation length is not specified, and a typical value of 3 m is taken [18]. Details of the calculations are given in the Appendix. The calculated loss enhancement factor and quality factor calculated for the samples of set 2 with and without surface roughness effect presented in Fig. 8. It is demonstrated that metal loss increases due to the roughness by 10% for both copper and brass surfaces. Larger values of or lower values of lead to even higher metal loss, see the Appendix. The quality factor is reduced for the rough surface, and the grows. reduction increases with the frequency as The effect of surface roughness on the loss tangent is demonstrated for all of the samples in Fig. 9. It results in a reduction of the loss tangent by almost 40%. The updated average values of loss tangent are presented in Table IV alongside those ones calculated for the smooth metallization. The error has been reduced to 8%–14%, which presents a significant improvement over the previous result when compared with the specifications.

Fig. 10. Quality factor associated with radiation loss for resonators of set 1.

E. Leakage Despite number of publications addressing the issue of leakage in the SIW and cavities and proposing clear design rules how to render the effect negligible there is a concern that the effect can be crucial for loss tangent measurement. has Indeed, in order to test our samples for leakage, the been calculated by simulating the resonators in CST Microwave Studio with lossless dielectric and perfect electric conductor and further extracting the unloaded quality factor, which is equal to , as there is no other source of energy dissipation. Comparing the quality factor plotted in Fig. 10 with the values of measured loss tangent in Fig. 9, one may conclude that, for frequencies below 90 GHz, the correction for leakage yields contribution to the loss tangent below 1%. For higher frequencies, this goes up to 4%. The effect can be further diminished by careful design. Bearing in mind the contribution of the uncertainties of the measurements and the effect of metal roughness, one may as well discard the correction for leakage as insignificant, thus avoiding any 3-D electromagnetic simulations in the method itself. V. CONCLUSION Mm-wave dielectric characterization of PCB materials using a new SIW resonator technique has been demonstrated in the paper. A launching mechanism using GSG probing of

ZELENCHUK et al.: MILLIMETER-WAVE PCB CHARACTERIZATION USING SIW RESONATORS

a U-shaped slot is proposed to facilitate measurement and accurate de-embedding at mm-wave frequencies. Special attention has been paid to the accurate extraction of the unloaded resonant frequency for single-port resonators. A comprehensive set of test samples was designed and measured over the 60–110-GHz frequency range. It has been found that moderate variation of via diameter and via separation does not lead to extracted parameter deviation as long as it is properly accounted for in the effective width calculation of the resonator. The method is also robust with respect to the aperture dimension deviations, making the method less sensitive to etching tolerances and, hence, more readily extendable to deployment at higher frequencies. The retrieved substrate permittivity is in good agreement with the known nominal value specified by manufacturers at 50 GHz. It has been shown that the metal roughness effect is crucial for the estimation of the loss tangent. Thus, for the smooth metallization, assumption the loss tangent extracted is by 34%–40% larger than the specified, i.e., 0.0011 to 0.0008. However, once the surface roughness is properly taken into account, the mean value loss tangent has become about 0.0007 or only 8%–14% lower than specified. The leakage loss has been shown to have no significant effect on the calculation of the loss tangent provided special care is exercised at the design stage. Based on these results and the fact that the same geometrical configuration of a cavity can be used for characterization of different substrate thicknesses, the authors propose this method for mm-wave testing of PCB substrates as an alternative to the more restrictive microstrip and stripline resonator methods. APPENDIX It has been found in [18] that, for the case when plane wave is incident on a rough 3-D metal surface, the loss enhancement factor can be calculated as

where is rms roughness height, is skin is spectral density. depth, and Depending on the random profile of the surface, two spectral density functions are proposed in [18]. Here, we discuss the Gaussian distribution with the correlation length as

The integral can be converted to a 1-D one by switching to polar as follows: coordinates with the substitution

3307

The resultant integral is a fast converging one and can be easily calculated numerically. The authors used the quadgk procedure from MATLAB for the simulations presented in the paper. In our case, the ratio between the substrate thickness and the surface roughness height is more than 100, and the formula above is valid. However, if the ratio is less than 40, a more involved expression for the loss enhancement factor in parallel-plate waveguide should be employed [18]. ACKNOWLEDGMENT The authors would like to thank M. Huschka, Taconic ADD, and J. Francey, Optiprint, GmbH, for advice, as well as Dr. N. Buchanan for help with the measurements REFERENCES [1] C. Sturm and W. Wiesbeck, “Waveform design and signal processing aspects for fusion of wireless communications and radar sensing,” Proc. IEEE, vol. 99, no. 7, pp. 1236–1259, Jul. 2011. [2] Y. P. Zhang and D. Liu, “Antenna-on-chip and antenna-in-package solutions to highly integrated millimeter-wave devices for wireless communications,” IEEE Trans. Antennas Propagation, vol. 57, no. 10, pp. 2830–2841, Oct. 2009. [3] S. S. Ahmed, A. Schiessl, and L.-P. Schmidt, “Near field mm-wave imaging with multistatic sparse 2D-arrays,” in Proc. 6th Eur. Radar Conf., 2009, pp. 180–183. [4] W. Hong and K. Wu, “94 GHz substrate integrated monopulse antenna array,” IEEE Trans. Antennas Propagation, vol. 59, no. 1, pp. 1–8, Jan. 2011. [5] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [6] J. Sheen, “Comparisons of microwave dielectric property measurements by transmission/reflection techniques and resonance techniques,” Meas. Sci. Technol., vol. 20, no. 4, Apr. 2009, Art. ID 042001. [7] J. Q. Howell, “A quick accurate method to measure the dielectric constant of microwave integrated-circuit substrates,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 3, pp. 142–144, Mar. 1973. [8] Y. Kantor, “Dielectric constant measurements using printed circuit techniques at microwave frequencies,” in Proc. 9th Mediterranean Electrotechn. Conf., 1998, pp. 101–105. [9] D. Zelenchuk and V. F. Fusco, “Dielectric characterisation of PCB materials using substrate integrated waveguide resonators,” in Proc. Eur. Microw. Conf., 2010, pp. 1583–1586. [10] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [11] M. Bozzi, L. Perregrini, K. Wu, and P. Arcioni, “Current and future research trends in substrate integrated waveguide technology,” Radioengineering, vol. 18, no. 2, pp. 201–209, 2009. [12] TacLamPLUS RF & Microwave Laminate, [Online]. Available: http:// www.taconic-add.com/pdf/taclamplus.pdf [13] M. Bozzi and L. Perregrini, “Modeling of conductor, dielectric, and radiation losses in substrate integrated waveguide by the boundary integral-resonant mode expansion method,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3153–3161, Dec. 2008. [14] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [15] M. Bozzi, M. Pasian, L. Perregrini, and K. Wu, “On the losses in substrate-integrated waveguides and cavities,” Int. J. Microw. Wireless Technol., vol. 1, no. 5, pp. 395–401, Sep. 2009. [16] W. Che, D. Wang, K. Deng, and Y. L. Chow, “Leakage and ohmic losses investigation in substrate-integrated waveguide,” Radio Sci., vol. 42, no. 5, Oct. 2007. [17] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1997. [18] L. Tsang, H. Braunisch, R. Ding, and X. Gu, “Random rough surface effects on wave propagation in interconnects,” IEEE Trans. Adv. Packaging, vol. 33, no. 4, pp. 839–856, Nov. 2010.

3308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[19] Y. Cassivi, L. Perregrini, K. Wu, and G. Conciauro, “Low-cost and high-Q millimeter-wave resonator using substrate integrated waveguide technique,” in Proc. 32nd Eur. Microw. Conf., 2002, pp. 1–4. [20] A. E. Engin, “Extraction of dielectric constant and loss tangent using new rapid plane solver and analytical Debye modeling for printed circuit boards,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 211–219, Jan. 2010. [21] A. J. Canos, J. M. Catala-Civera, F. L. Penaranda-Foix, and E. ReyesDavo, “A novel technique for deembedding the unloaded resonance frequency from measurements of microwave cavities,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3407–3416, Aug. 2006. [22] Y. Cassivi and K. Wu, “Low cost microwave oscillator using substrate integrated waveguide cavity,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 48–50, Feb. 2003. [23] D. Kajfez, Q Factor Measurements Using MATLAB. Norwood, MA: Artech House, 2011, p. 189. [24] D. Kajfez, “Random and systematic uncertainties of reflection-type -factor measurement with network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 512–519, Feb. 2003. [25] D. Kajfez, S. Chebolu, M. R. Abdul-Gaffoor, and A. A. Kishk, “Uncertainty analysis of the transmission-type measurement of Q-factor,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 367–371, Mar. 1999.

Q

Dmitry E. Zelenchuk (S’02–M’05) received the Ph.D. degree in radiophysics from Rostov State University, Rostov, Russia, in 2004. From 2003 to 2005, he was a Lecturer with the Department of Applied Electrodynamics and Computer Modelling, Rostov State University, Russia. Currently, he is a Research Fellow with Queen’s University Belfast, U.K. His research interests include electromagnetic field theory, material characterization, millimeter-wave circuits, antennas, and advanced packaging, propagation in complex environments, and various physical phenomena of plasmonic and nanostructures. He has authored and coauthored more than 60 journal and conference papers and a book chapter and been a session chair at scientific conferences. Dr. Zelenchuk received the medal of the Ministry of Education of the Russian Federation “For the Best Scientific Student Paper” in 2001.

Vincent Fusco (S’82–M’82–SM’96–F’04) reeived the B.S. degree in electrical and electronic engineering (with First Class Honours), Ph.D. degree in microwave electronics, and D.Sc. degree from the Queen’s University of Belfast, Belfast, U.K., in 1979, 1982, and 2000, respectively. Since 1995, he has held a personal chair in High Frequency Electronic Engineering with Queen’s University of Belfast, Belfast, U.K. His research interests include nonlinear microwave circuit design and active and passive antenna techniques. The main focus for this research is in the area of wireless communications. He has pioneered many new concepts in self-tracking antenna technology. He is currently the Research Director of the High Frequency Laboratories at ECIT, Queen’s University of Belfast, and he is Director of the International Centre for Research for System on Chip and Advanced Microwireless Integration, SoCaM. He has authored and coauthored 350 scientific papers in journals and conferences and is the author of two textbooks. He holds several patents and has contributed invited chapters to books in the field of active antenna design and EM field computation. Prof. Fusco is a Fellow of the Royal Academy of Engineering and the Institute of Electrical Engineers. In 1986, he received a British Telecommunications Fellowship, and, in 1997, the NI Engineering Federation Trophy for outstanding industrially relevant research.

George Goussetis (S’99–M’02–SM’12) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1998, the B.Sc. degree in physics (first class) from University College London, London, U.K., in 2002, and the Ph.D. degree from the University of Westminster, London, U.K., in 2002. In 1998, he joined the Space Engineering, Rome, Italy, as an RF Engineer and in 1999 the Wireless Communications Research Group, University of Westminster, Westminster, U.K., as a Research Assistant. Between 2002 and 2006, he was a Senior Research Fellow with Loughborough University, Loughborough, U.K. Between 2006 and 2009, he was a Lecturer with the School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, U.K. He joined the Institute of Electronics Communications and Information Technology, Queen’s University Belfast, Belfast, U.K., in September 2009 as a Reader. He has authored and coauthored over 150 peer-reviewed papers, three book chapters, and two patents. In 2010 he was a Visiting Professor with UPCT, Spain. His research interests include the modelling and design of microwave filters, FSSs and periodic structures, leaky wave antennas, microwave sensing and curing, as well numerical techniques for electromagnetics. Dr. Goussetis has held a research fellowship from the Onassis foundation in 2001, a research fellowship from the U.K. Royal Academy of Engineering between 2006–2011, and a European Marie-Curie experienced researcher fellowship in 2011. He was the corecipient of the 2011 European Space Agency Young Engineer of the Year prize, the 2011 EuCAP Best Student Paper prize, and the 2012 EuCAP Best Antenna Theory Paper prize.

Antonio Mendez received the B.Sc. and the M.S. degrees from the University of Granada, Granada, Spain, in 2006 and 2007, respectively. He is currently working toward the Ph.D. degree in physics at the University of Granada, Granada, Spain. His research deals with numerical methods in the time domain. He studies the electric and magnetic field integral equations with the aim of being applied to the study of transient electromagnetic nature phenomena.

David Linton (SM’01) received the B.Sc. degree in electrical engineering and Ph.D. degree in high-frequenvy interconnect modeling from Queen’s University of Belfast, Belfast, U.K., in 1983 and 1992, respectively. He is presently a Reader with the School of Electronics, Electrical Engineering and Computer Science, Queen’s University of Belfast, Belfast. U.K. He has over ten years of industrial experience working in the design and manufacture of thick-film microcircuits and surface-mount printed circuit board for the telecommunications and automotive industries. He has worked in design, manufacture, quality assurance, R&D, and customer applications engineering. In 1989, he returned to Queens University of Belfast as Lecturer. He has authored and coauthored over 150 journal and conference papers. Dr. Linton is a chartered Fellow of the IET and a Fellow of the Institute of Physics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3309

A Compact 3-D Harmonic Repeater for Passive Wireless Sensing Ibrahim T. Nassar, Student Member, IEEE, Thomas M. Weller, Senior Member, IEEE, and Jeffrey L. Frolik, Senior Member, IEEE Abstract—A high-efficiency 3-D harmonic repeater for narrow band, wireless sensing applications is described herein. This repeater consists of a diode doubler and conjugate-matched 3-D receive and transmit antennas. The antennas are designed on the surface of a cube in part to provide a convenient volume for packaging the sensor electronics. The device operates by receiving a 2.4-GHz signal and re-radiating a 4.8-GHz signal and has been optimized for an RF input power level between 30 and 20 dBm with zero dc power. The design enables the return signal to be readily modulated with very low ( 0.1 V) voltages. The diagonal of the entire repeater measures 0 24 at the fundamental frequency of 2.4 GHz, and its measured conversion gain is 13.3 dB with a 2.5% 3-dB conversion gain bandwidth at 30-dBm input power. Given this performance, the expected communication range using a 2-W source is 50 m in a free space environment. To the best of the authors’ knowledge, the presented harmonic repeater has the best overall performance among previously published designs, in terms of conversion gain, communication range, and occupied electrical volume. A new approach for remote calibration of passive sensors using orthogonal polarization of the interrogation signal is also proposed in this paper. Index Terms—Calibration, conversion gain, diode doubler, dipole antennas, electrically small antennas, 3-D antennas, wireless sensor networks (WSNs).

I. INTRODUCTION N the last decade, environmental, industrial, and military monitoring applications have motivated an enormous amount of research activity related to wireless sensing. Some applications, such as structural health monitoring [1], [2], would benefit from sensing that is deeply embedded in the environment for an extended period of time. For such applications, it is desirable that the sensing device: 1) has a long operating lifetime and 2) be of compact geometry for deployment ease and to ensure that the integrity of the structure being monitored is not compromised. The former constraint necessitates either that the node has sufficient on-board energy resources to sustain an active device for the deployment lifetime, or that the node be passive. For use in civil infrastructure, the former approach is not tenable, thus motivating much recent work on passive wireless sensing systems [3]–[5]. Various means of energy-harvesting have also been investigated [6] but are not viable in

I

Manuscript received June 19, 2012; revised July 07, 2012; accepted July 17, 2012. Date of publication August 27, 2012; date of current version September 27, 2012. This work was supported by the National Science Foundation under Grant ECCS-0925929 and Grant ECCS-0925728. I. T. Nassar and T. M. Weller are with the University of South Florida, Tampa, FL 33620 USA (e-mail: [email protected]; [email protected]). J. L. Frolik is with the University of Vermont, Burlington, VT 05405 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2210440

Fig. 1. Block diagram for passive wireless sensor being interrogated with an RF signal.

many embedding environments due to limited availability of ambient energy. In addition to on-board dc power considerations, a passive device should require very low externally provided power for activation to ensure sufficient wireless transmission range. A well-known approach for providing such power is through radio frequency (RF) interrogation [7], [8] (Fig. 1). Both passive radio frequency identification (RFID) and surface acoustic wave (SAW)-based devices operate on this premise but are constrained to short-range implementations, typically a few feet, due to their requisite activation power [9]–[11]. In summary, an effective design for deeply embedded long-life wireless sensing should be optimized for low RF power operation in addition to requiring zero dc power. An approach that has been shown to use lower RF activation power than RFID or SAW-based methods is one that employs a frequency doubler to return the second harmonic of the RF interrogation signal (i.e., in Fig. 1). Such devices, based on a diode as the nonlinear element, have use in a variety of detection or tracking applications due to their good conversion efficiency at very low RF power (up to a few percent at 30 dBm input power), their zero dc power consumption [12]–[15], as well as their immunity to signal back-scatter and transmitter–receiver bleed-through. The primary challenge with diode-based frequency multiplier designs is obtaining a sufficient match to their large complex impedances using low-loss, compact matching networks. Other approaches that are based on the use of harmonics of the interrogation signal include nonlinear transmission lines (NLTL), intermodulation techniques, and frequency mixers. However, these approaches have shown low conversion efficiency at low RF power level [16]–[18], usually less than 0.1% for an RF input power of 30 dBm. As mentioned above, the issue of compact geometry is an important consideration in this work. The goal here is to fit the sensor node, including the sensor electronics, within a 3-cm-diameter sphere. As the sensor node volume shrinks, antenna efficiency can be the limiting factor of the overall transceiver performance. Planar antennas are commonly used for singleboard wireless devices due to their ease of fabrication and low cost [19]–[21]. However, efficient planar antennas tend to have

0018-9480/$31.00 © 2012 IEEE

3310

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

large footprints that are on the order of . To simultaneously achieve a decrease in antenna size while maintaining acceptable performance, 3-D approaches have been proposed [22]–[24]. For the wireless sensor application space, 3-D designs have additional benefit in making available the interior of the structure for sensor and/or electronics placement. In this paper, we leverage developments in passive GaAs Schottky diode-based multipliers and conjugate-matched 3-D antenna design to develop a novel, compact, high-conversion-gain frequency-doubling reflectenna (FDR). The design builds upon the FDR approach presented in [13] and incorporates 3-D antennas similar to those presented in [22]. In order to emulate the effect of the sensor, a dc network is added to enable modulation of the retransmitted signal. The eventual goal is to demonstrate the FDR for embedded wireless sensing applications ( 1 m in earthen structures) that employ 50 m . To achieve this interrogaremote interrogation was tion range and meet the sensor node size constraint, chosen to be 2.4 GHz. At this frequency, the device diagonal measures . At RF input power level ranges between 30 and 10 dBm, the FDR has an overall conversion gain of 14 dB. In comparison with [13], the presented design has shown more than 13-dB conversion gain improvement. In addition, the design presented herein has omni- (versus uni-) directional interrogation capability. The variation in conversion gain of the presented design at 20-dBm input power over all azimuth incidence angles is 4 dB, such that the relative orientation between the interrogator and sensor node is not a critical factor in overall link performance. We also address a significant practical challenge related to passive wireless sensing, which is that of remotely calibrating the interrogator-node link (i.e., propagation channel) when the node is already deployed. The conditions of the wireless environment may change, affecting the characteristics of the communication channel (e.g., media attenuation) between the interrogator and the transponder. To address this challenge, a new approach for remotely calibrating the harmonic repeater is proposed which is based on varying the interrogator’s transmit/receive signal polarization from horizontal to vertical. This approach requires the use of two nodes that are placed orthogonal to each other and that utilize linearly polarized receive/transmit antennas. By varying the interrogator signal polarization, one node can be used as a reference (no integrated sensor) and one as the sensing device. To the best of the authors’ knowledge, this approach will be the first to harness a passive remote sensor calibration concept. The following sections present the design, testing, and analysis of the FDR. Section II discusses the diode-based frequencydoubler design, and Section III describes the 3-D antenna designs. The results of multiple experimental validations are given in Sections IV and V. Section VI discusses the sensing capability and the integration with practical sensors, and Section VII presents the remote calibration technique. The material contained herein pertains to sensing in a free-space environment without consideration of structural embedding effects. II. FREQUENCY-DOUBLER DESIGN In passive frequency multipliers, Schottky barrier diodes are often utilized [25] due to their strong nonlinear current–voltage

Fig. 2. Block diagram of the schematic used to predict the FDR performance.

characteristics. In this work, a GaAs Schottky diode (HSCH9161) was selected. This diode has low signal loss at the frequency of interest, and it turns on at a very low induced voltage due to its low barrier junction. The main parameter of interest in characterizing a multiplier design is the conversion gain (CG). The CG of a diode doubler is expressed as follows: (1) is the output power of the multiplier at where, in this case, the second harmonic (4.8 GHz) and is the input power at the fundamental frequency (2.4 GHz). Agilent’s Advanced Design System software (ADS 2009u1) was used to predict the multiplier response (Fig. 2). Details about the diode model used in the simulations are given in [13]. The source shown in the schematic represents the receive antenna and the load is the transmit antenna. To deliver and collect maximum power to/from the diode, the antennas were designed to be conjugate-matched with the doubler input/output impedances. Because of the diode nonlinearity, the power transfer conditions are dependent on the loads presented to the doubler at the fundamental and the harmonic frequencies. Thus, to accurately predict the multiplier response, harmonic impedances up to the fourth order were accounted for in the simulation. The number of harmonics was limited due to the minimal performance difference at the fourth harmonic. To ensure that the appropriate impedance is represented at the source for each reflected harmonic, bandpass filters were utilized. The values of the antenna impedances at harmonic frequencies were extracted using Ansoft’s High Frequency Structure Simulator (HFSS) version 11. A dc bias connection was included in the frequency doubler design. The bias is supplied to the diode input through an 18-nH series inductor (Coilcraft 0402) and 8.2-pF shunt capacitor (Johanson 0201). The purpose of including the dc bias connection is only to impart amplitude modulation on the retransmitted signal (as detailed in Section VI). In addition, this connection provides a dc return path on the input side of the diode. For the output side, the dc path is provided by a shunt shorted stub which was added to the 4.8-GHz antenna feeding network (discussed in Section III).

NASSAR et al.: COMPACT 3-D HARMONIC REPEATER FOR PASSIVE WIRELESS SENSING

3311

Fig. 4. Approximate equivalent circuit model of the 2.4-GHz antenna.

Fig. 3. The 2.4-GHz cube antenna.

TABLE I 2.4-GHZ ANTENNA DIMENSIONS IN MILLIMETERS (a)

(b)

Fig. 5. (a) Receive antenna input impedance. (b) Reflection coefficient between the receive antenna and the doubler input at 30-dBm input power and 0-V bias.

0

III. 3-D ANTENNA DESIGN A. Receive 2.4-GHz Antenna Here, the 2.4-GHz (receive) antenna is described (Fig. 3). This antenna is similar to the design presented in [22] which was developed for 50- systems. The substrate is Rogers/RT Duroid 6010 with a nominal relative dielectric constant of 10.2 and a thickness of 50 mils (1.27 mm). The antenna consists of a half-wave dipole conformed onto a cubical surface. The dipole arms are wrapped around the cube sides and are terminated with meandered sections. The meandered sections are oriented as shown to preserve the balanced current distribution on the dipole arms. The dipole is center-fed by a parallel plate balun, rising vertically along the center face. The antenna feeding network also includes a meandered microstrip matching line and a 50- microstrip line. Based on the diode doubler ADS simulation, the 2.4-GHz antenna should present an impedance of at 2.4 GHz for maximum CG at 30-dBm input power. To match the antenna input impedance to this desired impedance, Ansoft HFSS 11 was used to optimize parameters such as: the meander line dimensions, the width and length of the nonmeandered sections, the width of the parallel plate transformer, the length of the 50line, and the width and length of the matching line. The eventual addition of the transmit antenna caused a downward frequency shift in the receive antenna response of 0.1 GHz, which was corrected for in the final designs. Table I shows the 2.4-GHz antenna dimensions. An approximate equivalent circuit model of the antenna is given in Fig. 4; here, is the characteristic impedance and is the approximate antenna input impedance at 2.4 GHz. The characteristic impedances of the parallel plate transformer and the meandered matching line are 37 and 96 , respectively. The simulated 2.4-GHz antenna input impedance and the reflection coefficient between the 2.4-GHz antenna and the doubler input at 30-dBm input power are illustrated in Fig. 5. The input impedance at 2.4 GHz is , and the 10-dB return

Fig. 6. Simulated radiation patterns (in decibels) of the 2.4-GHz antenna.

loss bandwidth is 1%. Fig. 5(b) shows that the reflected power at the fundamental frequency is very low. The reflection coefficient for RF input power 20 dBm is approximately the same. Fig. 6 shows the simulated E- and H-plane radiation patterns at 2.4 GHz. Relative to the coordinate system in Fig. 3, the H-plane is the Y-Z plane and the E-plane is the X-Y plane. The antenna demonstrates an omnidirectional pattern in the H-plane with only 0.8-dB variation. The maximum radiation occurs broadside to the nonmeandered portions of the dipole arms with a peak gain of 0.5 dBi. The E-plane null is not as deep as a regular dipole antenna (only 6.8 dB) due to the incomplete cancellation of the radiated far fields from the nonmeandered sections of the dipole arms. The tilt in the E-plane pattern is due to ground plane interference. B. Transmit 4.8-GHz Antenna The 4.8-GHz (transmit) antenna is located on the side of the cube opposite to the receive antenna (Fig. 7). Here, the dipole arms and the balun are designed on a common cube side.

3312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

(a)

(b)

Fig. 9. (a) The 4.8-GHz antenna input impedance. (b) Reflection coefficient between the transmit antenna and the doubler output at 30-dBm input power and 0-V bias.

0

Fig. 7. The 4.8-GHz transmit antenna.

Fig. 8. Approximate equivalent circuit model of the 4.8-GHz antenna.

TABLE II 4.8-GHZ ANTENNA DIMENSIONS IN MILLIMETERS Fig. 10. Simulated radiation patterns (in decibels) of the 4.8-GHz antenna.

The dipole arms are rotated in a clockwise/counter-clockwise manner to preserve a balanced current distribution on the dipole arms. The antenna feeding network consists of a meandered microstrip matching line and a 50- line. Between the meandered line and the 50- line, a shunt shorted stub is added. For optimal frequency conversion gain at 30-dBm input power, the ADS doubler simulation shows that the 4.8-GHz transmit antenna should present an impedance of at 4.8 GHz; this result was obtained from simulations of the transmit antenna in the presence of the receive antenna. In order to match the 4.8-GHz antenna input impedance to the desired impedance, the width and length of the dipole arms, the quarterwave transformer width, and the meandered matching line width and length were all optimized. Also, the length and width of the shunt stub were subsequently tuned. The shunt stub was added to increase the reactive part of the input impedance and to give a dc return path to the diode doubler. The final approximate equivalent circuit model of this antenna is shown in Fig. 8. Table II shows the 4.8-GHz antenna dimensions. The simulated transmit antenna input impedance and the reflection coefficient between the transmit antenna input and the doubler output at 30 dBm are shown in Fig. 9. The 10-dB return loss bandwidth is 1.4%, and the antenna input impedance at 4.8 GHz is . Fig. 10 shows the simulated radiation patterns at 4.8 GHz. The antenna exhibits an omnidi-

rectional pattern in the H-plane with a variation of 4.5 dB and a peak gain of 3.4 dBi. The variation over the H-plane is due to the diffraction and reflection from the 2.4-GHz antenna substrate and ground plane. For the same reason, the peak gain is higher than a regular half-wave dipole due to the increase in the directivity. IV. MEASURED RESULTS AND COMPARISON The integrated FDR design is illustrated in Fig. 11. In order to validate the remote calibration technique (Section VII), two prototypes, FDR1 and FDR2, were fabricated using a printed circuit board (PCB) milling machine and assembled manually. Silver epoxy was used to attach the diode and lumped components, and copper wire was used to create the via connections to the ground plane. Measurements were performed inside an anechoic chamber where the FDR receives the transmitted signal, doubles the frequency, and reradiates a signal. A vector network analyzer (VNA) was used to send the transmitted signal and a spectrum analyzer (SA) was used for measuring the received signal power level. Path spreading loss was calculated using Friis transmission equation [26]. The measurements were executed over a 1-m distance and the FDR was oriented in the direction where the maximum CG was recorded. The two interrogator antennas (transmit and receive) were placed 1.3 m apart. Additional details about the measurement setup are provided in Section VII.

NASSAR et al.: COMPACT 3-D HARMONIC REPEATER FOR PASSIVE WIRELESS SENSING

3313

Fig. 13. Simulated and measured conversion gain versus input power at f of 2.4 GHz and 0-V bias.

Fig. 11. Complete FDR design. Top left: 3-D view. Top right: top view. Bottom: fabricated 2.4-/4.8-GHz FDR.

Fig. 14. Measured conversion gain (decibels) versus incidence angle (Y–Z plane, Fig. 13) for different received power levels at f of 2.4 GHz and 0-V bias. Fig. 12. Simulated and measured conversion gain versus frequency for different input powers at 0-V bias.

Fig. 12 shows the simulated conversion gain of the diode multiplier alone (excluding the antenna gains) and the measured CG of the entire FDR over frequency for different input powers and zero volt bias. The simulated 3-dB CG bandwidth is 2.5% and 1.7% at input powers of 20 and 30 dBm, respectively. This CG bandwidth dependence on power is due to the power dependence of the diode impedance. The measured maximum CG is recorded at 2.4 GHz for both of the FDR prototypes. The measured CG peak value is 9.45 and 14 dB for FDR1 and 9.25 and 13.3 dB for FDR2 at RF input power levels of 20 and 30 dBm, respectively. The measured 3-dB CG bandwidth for both of the FDRs at 20 dBm is , while it is at 30 dBm. The performance variation between the two FDRs is attributed to fabrication and assembly differences. The CG versus input power at 2.4 GHz was measured for the two FDRs and is compared with the simulated data in Fig. 13. The simulated CG of the entire FDR was calculated by adding 4 dB (the sum of antenna gains) to the simulated CG curve of the multiplier alone. As seen, the measured curves are well matched with the simulated curve and the two FDRs show similar performance. Fig. 14 demonstrates the measured CG performance versus angle of incidence over the E- and H-plane radiation patterns of

the receive and transmit antennas. The measurements were performed for FDR1. Relative to the coordinate system in Fig. 11, the H-plane is the Y–Z plane and the E-plane is the X–Z plane. The measurements were performed at of 2.4 GHz and zero volt bias. The measured variation in CG over the H-plane for received powers of 20 and 30 dBm is 4 and 5 dB, respectively. The CG variation dependence on the received power is expected, since the variation over the FDR receive antenna H-plane (0.8 dB) changes the received power level at the diode input, and the doubler has a nonlinear CG relation with input power (Fig. 13). The measured cross-polarization isolation level, defined here as the ratio between the return signal levels from the FDR for co- and cross-polarized interrogation signals, is 30 dB for both of the FDRs. The measured and simulated FDR parameters at of 2.4 GHz are listed in Table III. Good agreement is shown between the measured and predicted data. Fig. 15 shows a comparison of the CG at different operating power levels, and the electrical size of the design presented in this work and others from the literature. represents the size of the overall tag structure including the feed network, substrate, and ground plane, where , free space wavelength at and radius of the smallest sphere enclosing the maximum dimension of the tag [27]. To make a fairer comparison, the conversion gain of the multiplier alone of some designs (excluding the antenna gains) is included. As seen, the proposed

3314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 15. Comparison of conversion gain and electrical size at f of the presented design and others from the literature.

Fig. 16. Received signal level versus distance at 0-V bias.

TABLE III MEASURED AND SIMULATED FDR PARAMETERS

design shows better conversion gain than the designs presented in [12]–[14] with similar electrical size. This CG improvement is significant because it increases the detection range, which is needed for embedded, remote monitoring applications. The design in this work has lower conversion gain than that presented in [15] at 41-dBm input power level. However, the emphasis here is on FDR received power levels between 30 to 20 dBm in order to maximize the potential communication range which is limited by the receiver sensitivity. Better conversion gain at lower power level can be achieved by reoptimizing the design, as the impedance match is dependent on the received power. As discussed in Section VI, the ability to integrate a sensing capability has also been a focus of this work that is distinct from some of the previously presented harmonic tags. V. INTERROGATION RANGE TEST Outdoor measurements were performed to validate the FDR performance in a long-range free space environment. The return signal was measured as the FDR was moved to vary the distance from the interrogator (Fig. 16). The FDR and the two interrogator antennas were positioned 1.4 m above the ground. The measurement setup used is the same as the one shown in Section VII. The FDR was oriented in the direction where the maximum CG was recorded (interrogated from the top, -axis). The maximum available power from the interrogator transmit antenna was only 32 dBm, which results in a range of 32 m. The accuracy in these measurements was 1 dB. The expected power level was calculated based on the measured CG in the anechoic chamber using a 1 m interrogation distance (Fig. 13). As seen in Fig. 16, the measured and expected return signal strengths are well matched. The power received does not decay exponentially with increasing interrogation distance due to the nonlinear CG behavior of the FDR versus received power. Using the same radar setup shown in Fig. 18 with

Fig. 17. Measured conversion gain versus bias voltage at power and f of 2.4 GHz.

030-dBm input

Fig. 18. Measurement setup used to characterize the FDR performance, perform the range measurement test, and the remote calibration.

a higher gain amplifier 33 dB , a communication range 50 m can be achieved. This range is calculated based on a received signal level of 120 dBm at the interrogator detection stage; when using a spectrum analyzer for signal detection, this power level provides 15-dB headroom given a 10-kHz resolution bandwidth. VI. SENSOR EMULATION In order to facilitate the use of the FDR in a sensing application, the ability to modulate the return signal has been included. Using the dc network that connects to the diode input, a bias voltage can be applied to change the impedance match with the receive antenna causing a change in the CG and allowing for amplitude modulation of the retransmitted signal from the transceiver. Fig. 17 demonstrates the CG behavior versus bias

NASSAR et al.: COMPACT 3-D HARMONIC REPEATER FOR PASSIVE WIRELESS SENSING

voltage at 30-dBm input power and 2.4-GHz incident frequency. As seen, the performance is very sensitive to the applied bias voltage; a 0.1-V bias decreases the transmitted power by 17 dB. This sensitivity for small applied voltage would allow for amplitude modulation of the backscatter signal. As mentioned above, the 3-D approach provides the capability of housing the sensor electronics inside the structure. This requires that the FDR performance is insensitive to the insertion of objects (e.g., sensors) inside the cube. To demonstrate, the tag was tested with metallic and lossy dielectric ( of 10 and loss tangent of 0.1) blocks measuring 2 5 2 mm placed inside on the bottom side of the cube. Experimental results show that the transceiver performance is not degraded with these blocks, which occupy a larger volume than many practical sensors. VII. REMOTE CHANNEL CALIBRATION The ability to calibrate the propagation channel between the interrogator and a remote sensor node, effectively determining the round-trip path loss absent sensor stimulus effects at the node, can be important for practical implementation of this passive sensing technology. Such calibration will generally be needed when the exact distance to the node or the characteristics of the embedding environment are unknown. The remote calibration approach that is presented here includes the use of two orthogonally polarized FDR nodes that are in close proximity and operate as a single unit; one of the nodes has no local stimulus and is referred to as the reference node, while the second node operates as the sensing node and has the local stimulus (dc bias in this demonstration) connected to the diode multiplier. To minimize the coupling between the FDRs and distortion in their radiation patterns, one of the FDRs is placed in the radiation pattern null of the other. Through simulation and experimental testing it was found that a separation distance of 8 mm between the nodes resulted in minimal change in the performance of either FDR relative to the single, isolated node performance. The measurement setup used to perform the remote calibration is shown in Fig. 18. The low-pass filters (LPFs) were used to prevent transmission of the second harmonic of the VNA-generated interrogation signal. The transmit and receive interrogator antennas are linearly polarized, and were rotated to interrogate either FDR1 (sensor node) or FDR2 (reference node). Fig. 19 shows the measured return signal power level from the two FDRs for different bias voltages applied to FDR1. This test was conducted in an anechoic environment to illustrate the ability of the approach to provide a channel calibration. As expected, FDR2 provides a stable reference signal level that changes by less than 1 dB, whereas FDR1’s return varies 20 dB as the bias on FDR1 changes. In practice (i.e., when sensors are deployed in the field), the FDR2 response would be subtracted from the measured FDR1 response to provide a calibrated sensor reading. The presented remote calibration approach is of practical value for different sensing scenarios. When using sensors that provide an absolute measure of a potentially slowly varying stimulus, such as temperature, the calibration process can involve the use of a reference signal that sets a constant baseline level against which the signal from the sensor node is compared. For sensors providing a relative measure of a stimulus

3315

Fig. 19. Measured received power level from the FDRs versus bias voltage at 30-dBm input power and f of 2.4 GHz.

0

that may change relatively fast over time, such as vibration, the constant calibration signal can be used to locate the node and ensure it is physically intact. The dynamic range of this calibration approach is limited by the cross-polarization isolation between the reference and sensing nodes, and magnitude of the sensor-generated dc voltage. As mentioned in Section IV, the measured cross-polarization isolation is 30 dB, and Fig. 17 shows that the CG of the sensor FDR will drop by 30 dB with 0.2-V applied dc bias. Consequently, a sensed stimulus that created more than 0.2-V dc bias would result in a return signal that could not be distinguished from the unintended signal returned from the reference FDR. VIII. CONCLUSION A 3-D integrated harmonic repeater has been developed for the purpose of passive wireless sensing. The proposed design offers a combination of zero dc power consumption, low RF power operation, high conversion gain, modulation capability, and omnidirectional radiation patterns. Furthermore, unlike previously reported designs, the repeater has a miniature form factor that is readily adapted to different packaging requirements. The 3-D approach also offers advantages for embedded applications as it facilitates the deployment of the sensor node into the preferred orientation by simply weighting the bottom of the package. A comparison of the conversion gain versus power with other designs from the literature shows that the proposed FDR will result in an extended communication range for free space sensing applications. A new approach for remote calibration has been also proposed to enable the determination of path loss between the interrogator and the remote node. The presented design has shown the capability for housing practical sensors and amplitude modulation, suggesting that this design approach is a good candidate for narrowband embedded sensor node applications. Modern 3-D printing technology is expected to mitigate challenges related to the fabrication process [28]–[30]. ACKNOWLEDGMENT This work was conducted in partnership with SRI International. The authors would like to thank Rogers Corporation for providing substrate material, to Diamond Engineering Company for providing support for our antenna measurement system, and to Modelithics Inc. for allowing us to use their facilities for hybrid assembly.

3316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

REFERENCES [1] T. Weller, J. Wang, I. Nassar, J. Dewney, R. Davidova, J. Frolik, and V. Sakamuri, “A wireless interrogator—Passive sensor approach for deeply embedded sensing applications,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jul. 2011, pp. 1445–1448. [2] J. Heidemann and R. Govindan, Embedded Sensor Networks. Los Angeles, CA: USC Inf. Comput. Sci. Inst., 2004. [3] A. Bereketli and O. Akan, “Communication coverage in wireless passive sensor networks,” IEEE Commun. Lett, vol. 13, no. 2, pp. 133–135, Feb. 2009. [4] J. Ong, Z. You, J. Mills-Beale, E. Tan, B. Pereles, and K. Ong, “A wireless, passive embedded sensor for real-time monitoring of water content in civil engineering materials,” IEEE Sensors J., vol. 8, no. 12, pp. 2053–2058, Dec. 2008. [5] X. Zhang and F.-Y. Wang, “Key technologies of passive wireless sensor networks based on surface acoustic wave resonators,” in Proc. IEEE Int. Conf. Networking, Sensing Contr., Apr. 2008, pp. 1253–1258. [6] S. Sudevalayam and P. Kulkarni, “Energy harvesting sensor nodes: Survey and implications,” IEEE Commun. Surv. Tutorial, vol. 13, no. 3, pp. 443–461, 2011. [7] S. Spiekermann and S. Evdokimov, “Critical RFID privacy-enhancing technologies,” IEEE Security Privacy, vol. 7, no. 2, pp. 56–62, Mar. 2009. [8] B. Nath, F. Reynolds, and R. Want, “RFID technology and applications,” IEEE Pervasive Computing, vol. 5, no. 1, pp. 22–24, Jan. 2006. [9] J. Hines, “Review of recent passive wireless SAW sensor and sensor-tag activity,” in Proc. 4th Annu. IEEE Fly by Wireless Workshop, Jun. 2011, pp. 1–2. [10] V. Plessky and L. Reindl, “Review on SAW RFID tags,” IEEE Trans. Ultrason. Ferroelect. Freq. Control, vol. 57, no. 3, pp. 654–668, Mar. 2010. [11] C. Hartmann and L. Claiborne, “Fundamental limitations on reading range of passive IC-based RFID and SAW-based RFID,” in Proc. IEEE Int. Conf. RFID, Mar. 2007, pp. 41–48. [12] M. Bouthinon, J. Gavan, and F. Zadworny, “Passive microwave transposer, frequency doubler for detecting the avalanche victims,” in Proc. IEEE Eur. Microw. Conf., Sep. 8–12, 1980, pp. 579–583. [13] S. Presas, T. Weller, S. Silverman, and M. Rakijas, “High efficiency diode doubler with conjugate- matched antennas,” in Proc. IEEE Eur. Microw. Conf., Oct. 2007, pp. 250–253. [14] S. Aguilar and T. Weller, “Tunable harmonic re-radiator for sensing applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1565–1568. [15] D. Psychoudakis, W. Moulder, C.-C. Chen, H. Zhu, and J. Volakis, “A portable low-power harmonic radar system and conformal tag for insect tracking,” IEEE Antennas Wireless Propag. Lett, vol. 7, pp. 444–447, 2008. [16] F. Yu, K. Lyon, and E. Kan, “A novel passive RFID transponder using harmonic generation of nonlinear transmission lines,” IEEE Trans. Microw. Theory Tech, vol. 58, no. 12, pp. 4121–4127, Dec. 2010. [17] V. Viikari and H. Seppa, “RFID MEMS sensor concept based on intermodulation distortion,” IEEE Sensors J., vol. 9, no. 12, pp. 1918–1923, Dec. 2009. [18] V. Viikari, H. Seppa, and D.-W. Kim, “Intermodulation read-out principle for passive wireless sensors,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 4, pp. 1025–1031, Apr. 2011. [19] K. L. Wong, Planar Antennas for Wireless Communications. New York: Wiley, 2003. [20] D. Liao and K. Sarabandi, “Optimization of low-profile antennas for applications in unattended ground sensor networks,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jul. 2006, pp. 783–786. [21] A. Babar, L. Ukkonen, and L. Sydanheimo, “Dual UHF RFID band miniaturized multipurpose planar antenna for compact wireless systems,” in Proc. Int. Antenna Technol., Mar. 2010, pp. 1–4. [22] I. Nassar and T. Weller, “Development of novel 3-D cube antennas for compact wireless sensor nodes,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 1059–1065, Feb. 2012.

[23] S. R. Best, “Low Q electrically small linear and elliptical polarized spherical dipole antennas,” IEEE Trans. Antennas Propag., vol. 53, no. 3, pp. 1047–1053, Mar. 2005. [24] C. M. Kruesi, R. J. Vyas, and M. M. Tentzeris, “Design and development of a novel 3-D cubic antenna for wireless sensor networks (WSNs) and RFID applications,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 3293–3299, Oct. 2009. [25] M. Faber, J. Chramiec, and M. Adamski, Microwave and MillimeterWave Diode Frequency Multipliers. Norwood, MA: Artech House, 1995. [26] D. Pozar, Microwave Engineering, Third ed. Hoboken, NJ: Wiley, 2005, ch. 13, pp. 98–106. [27] H. A. Wheeler, “The radiansphere around a small antenna,” Proc. IRE, vol. 47, no. 8, pp. 1325–1331, Aug. 1959. [28] X. Chen, K. Church, and H. Yang, “High speed non-contact printing for solar cell front side metallization,” in Proc. IEEE Photovoltaic Specialists Conf., Jun. 2010, pp. 001343–001347. [29] J. Czyzewski, P. Burzynski, K. Gawel, and J. Meisner, “Rapid prototyping of electrically conductive components using 3-D printing technology,” J. Mater. Process. Tech., vol. 209, no. 12–13, pp. 5281–5285, Jul. 2009. [30] I. Nassar and T. Weller, “An electrically-small, 3-D cube antenna fabricated with additive manufacturing,” in Proc. IEEE Radio and Wireless Symp, submitted for publication.

Ibrahim T. Nassar (S’09) received the B.S. degree from Jordan University of Science and Technology, Irbid, Jordan, in 2008, and the M.S. degree from University of South Florida, Tampa, in 2010, both in electrical engineering. In 2009, he joined the WAMI Lab, University of South Florida, Tampa, as a Graduate Research Assistant. His research is focused on design and development of low-cost electrically small antennas for wireless sensor applications, nondispersive phase shifters based on metamaterial techniques, and radiating shape-shifting surfaces for reconfigurable phased antenna array systems.

Thomas M. Weller (S’92–M’95–SM’98) received the B.S., M.S., and Ph.D. degrees from The University of Michigan, Ann Arbor, in 1988, 1991, and 1995, respectively, all in electrical engineering. He joined the University of South Florida, Tampa, in 1995, where he is currently a Professor with the Electrical Engineering Department. He cofounded Modelithics, Inc. in 2001. He holds 18 U.S. patents and has authored and coauthored over 200 professional journal and conference publications. Dr. Weller was a recipient of the Outstanding Young Engineer Award from the IEEE Microwave Theory and Techniques Society in 2005, the University of South Florida President’s Award for Faculty Excellence in 2003, IBM Faculty Partnership Awards in 2000/2001, a National Science Foundation CAREER Award in 1999, and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Prize in 1996.

Jeffrey L. Frolik (S’85–M’95–SM’11) received the B.S.E.E. degree from the University of South Alabama, Mobile, in 1986, the M.S.E.E. degree from the University of Southern California, Los Angeles, in 1988, and the Ph.D. degree in electrical engineering systems from The University of Michigan, Ann Arbor, in 1995. His doctoral research was in the areas of inverse problems and fast algorithms. From 1986 to 1991, he was with Hughes Aircraft Company, El Segundo, CA. He was an independent consultant from 1995 to 1998, and from 1998 to 2002 he was an Assistant Professor of electrical engineering with Tennessee Technological University. Since 2002, he has been with the School of Engineering, University of Vermont, Burlington, where he is currently an Associate Professor. His ongoing research interests are in the areas of sensor networks, wireless communication, and engineering education.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

3317

A Single Envelope Modulator-Based Envelope-Tracking Structure for Multiple-Input and Multiple-Output Wireless Transmitters Chao Yu, Student Member, IEEE, and Anding Zhu, Member, IEEE

Abstract—A single envelope modulator-based envelope tracking (ET) power amplifier (PA) structure with a related digital predistortion (DPD) technique for multiple-input and multiple-output (MIMO) wireless transmitters is presented in this paper. By generating a common tracking envelope, only one envelope modulator is employed for controlling supply voltage of the RF PAs in all branches in the system, which dramatically reduces the system implementation cost. Due to the structure change, additional distortion is introduced, and it is difficult to directly compensate by using the conventional DPD because the tracking envelope is no longer the same as the RF envelope, and thus the MIMO ET PA becomes a two-input and one-output system. To resolve this problem, in this paper we propose a novel DPD technique in which the PA input and output data are reconstructed into multiple data subsets according to variations of the tracking envelope. It converts the 2-to-1 mapping into multiple 1-to-1 ones, where the conventional DPD can be employed again. Experimental results demonstrated that the distortion, including static nonlinearities, memory effects, and additional distortion caused by the structure change can be effectively compensated by using the proposed DPD technique. Compared to the conventional ET, the overall efficiency of the system is only slightly decreased, but the system cost is much lower because only one envelope modulator is required in the whole system. Index Terms—Digital predistortion (DPD), envelope tracking (ET), multiple-input and multiple-output (MIMO), power amplifier (PA), Volterra series.

I. INTRODUCTION

D

UE TO the demands for large capacity and high performance, multiple-input and multiple-output (MIMO) technique plays an important role in modern wireless communication systems, such as IEEE 802.11n wireless local area network (LAN), Worldwide Interoperability for Microwave Access (WiMAX), and 3GPP long-term evolution (LTE) [1]. Orthogonal frequency division multiplexing (OFDM) is a popular modulation scheme, in which high-speed information data are divided into multiple lower-speed signals that are transmitted simultaneously on a large number of subcarriers that are orthogonal to each other. The OFDM combined with MIMO techManuscript received June 10, 2012; accepted June 15, 2012. Date of publication August 03, 2012; date of current version September 27, 2012. This work was supported by the Science Foundation Ireland under the Principal Investigator Award scheme. The authors are with the School of Electrical, Electronic and Communications Engineering, University College Dublin, Dublin 4, Ireland (e-mail: chao. [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2208653

nology (MIMO-OFDM) is an attractive solution for future mobile communications due to its ability to support high data rates, large capacity, and robustness to multipath fading. One of the main drawbacks of OFDM is that the transmit signal exhibits a high peak-to-average power ratio (PAPR), which often leads to very low efficiency of the RF power amplifier (PA) [2]. To resolve this problem, the envelope tracking (ET) technique has been proposed to enable the RF PA to be operated continuously in the compression regime over a wide range of power levels by superimposing a control signal at the drain/collector of the RF amplifier according to the envelope variation of the transmit signal [3]. ET can significantly improve power efficiency of the system, especially for signals with a high PAPR. It is therefore desirable to employ the ET technique in the MIMO-OFDM system. However, the envelope modulator is often difficult to design and it may add to the system cost. If ET is used in each branch of the RF chain in MIMO, the system cost may be further increased in some circumstances. To reduce cost, a MIMO ET structure employing one envelope modulator to control all RF branches of the MIMO transmitter can be used. It is achieved by inserting an envelope processing block in the envelope path that takes the envelope signals from all branches and generates a common envelope to be used as the common tracking signal for PAs in all RF branches. Since only one tracking envelope, i.e., a common supply voltage, is used, additional distortion will be introduced to the system due to mis-synchronization between the supply voltage and the envelope of the RF transmit signal in each branch. This distortion is expected to be compensated by using digital predistortion (DPD). Over the past decade, many DPD models have been developed, but most of them are only suitable for a single input and single output system, such as memory polynomials [4], [5], augmented Wiener model [6], Hammerstein and Wiener models [7], and various truncated Volterra series [8]–[11]. In the MIMO ET system, because one common tracking signal is used to control all branches, the tracking signal is no longer the same as the envelope of the RF signal in each individual branch. Thus, the PA becomes a two-input and one-output system, where the inverse function cannot be easily found, so that the conventional DPD can no longer be employed directly. In [12], a two-input and two-output model was proposed, but it can only be used for compensating distortion induced by crosstalks among the MIMO branches. In [13], a dual-input DPD model was proposed for linearizing PAs with dynamic load modulation, and in [14] and

0018-9480/$31.00 © 2012 IEEE

3318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[15], a similar approach was used for linearizing PAs with slow ET. These models do not perform well in linearizing PAs in the MIMO ET system because these single-function-based models have limited capacity in capturing the large dynamic variations of PA characteristics under the high-power MIMO conditions. These models also suffered from noninvertibility and high complexity problems. A lookup-table-based memoryless predistortion was developed in [16] for compensating static nonlinearity and distortion induced by the bandwidth reduction of the ET signals in the ET system, but memory effects were not addressed. In this paper, we propose a new predistortion methodology, in which we convert the 2-to-1 mapping into multiple 1-to-1 ones by dividing the transmit data stream into different data subsets, based on an assumption that the characteristics of the PA do not change with the supply voltage within a very small range. It allows the conventional single input and single output DPD models to be employed again. In order to compensate memory effects, neighboring samples are kept with the corresponding inputs together to build the inverse function for the DPD. Experimental results show that the proposed DPD technique not only effectively removes the distortion induced by the modification of the tracking signal, but also can compensate for standard nonlinearities in the ET system, such as memory effects induced by self-heating, trapping, and matching networks. In addition, to ensure high-efficiency and high-linearity operation of the envelope modulator, effective signal-smoothing and waveform-shaping techniques are also proposed in this paper. Although more complex signal processing is required in the new system, the overall cost is greatly reduced because only one envelope modulator is employed in the whole MIMO system. This paper is organized as follows. In Section II, a MIMO ET structure is introduced in comparison with the conventional ET. The envelope processing module for this ET structure is given in Section III. Section IV presents the new DPD technique, and the experimental results are given in Section V, with a conclusion in Section VI. II. MIMO ET STRUCTURE A. Conventional ET Structure In an ET system, the drain (or collector) of the RF transistor in the RF PA is dynamically controlled by the envelope modulator whose output is changing in proportion to the envelope magnitude of the RF input. This tracking process allows the RF PA to be continuously operated at near saturation region for a large range of signal levels, and therefore, high power efficiency can be obtained [3]. Although the output of the ET PA can be kept linear with the input over a wide range, there are inherent nonlinearities in the ET system [17]–[19]. DPD is thus normally employed to compensate for the nonlinearity in ET. Fig. 1 shows a conventional ET system structure, which consists of a signal source, a DPD unit, an envelope modulator, and an RF PA. A signal source generation module is used to generate digital baseband signals while the DPD creates the correction for the nonlinearity of the system. A high-efficiency linear amplifier, called an envelope modulator, converts the RF envelope into a tracking waveform to supply the drain/collector voltage of the PA. Since the overall system can be treated as one box,

Fig. 1. Conventional ET structure.

Fig. 2. Conventional ET structure for MIMO applications.

the DPD unit is normally placed before the signal is split, and conventional DPD models can be employed [11]. The ET system shown in Fig. 1 can simultaneously provide high efficiency and high linearity. However, such systems cannot be directly employed in a MIMO transmitter. Since multiple RF chains, usually in a set of two or four, are employed in parallel in a MIMO system, multiple ET modules and DPD blocks must be used to control each RF PA and compensate for their nonlinearities, as shown in Fig. 2, where a 4 4 configuration of a MIMO system is taken as an example. This multiple-ET configuration significantly increases the cost of the transmitter. B. MIMO ET Structure To reduce cost, one envelope modulator can be used to control all branches in the MIMO system, as shown in Fig. 3. In order to generate a common tracking envelope for all branches, one additional module, called envelope processing, is added to the structure. This block takes the envelope signals from all branches and generates a common envelope to be used as the common tracking signal for PAs in all RF branches. The modification, from generating its own envelope in each branch alone to employing a common envelope for all branches, will introduce additional distortion that is expected to be compensated by the DPD module. Since the common envelope is shared, DPD modules for each branch, previously placed prior to the envelope generation module, now needs to be placed after the signal is split and in parallel with the envelope path. Compared to the conventional ET, more signal-processing operations must be conducted in the new structure, as we will introduce in the following sections. These algorithms can be

YU AND ZHU: SINGLE ENVELOPE MODULATOR-BASED ET STRUCTURE

3319

Fig. 3. Single-modulator-based MIMO ET structure.

implemented in digital circuits, which are generally cheap, and thus they do not add much extra cost. However, removing multiple analog envelope modulators may appreciably reduce the overall system cost. III. COMMON TRACKING SIGNAL GENERATION In the MIMO ET structure, an additional signal-processing operation must be conducted to generate the common ET signal from the multiple envelopes of the RF branches to control the multiple RF PAs since only one envelope modulator is employed in the whole system. Meanwhile, to ensure high efficiency and high linearity, certain requirements must be satisfied in generating the common control signal because each RF PA is still operated in the ET mode. In this section, we propose to generate the common tracking signal in three steps, as described below. Step 1: Max Operation: In the ET operation, to avoid signal clipping, the drain supply voltage must be greater than the envelope magnitude of the RF signal to be transmitted, namely,

Fig. 4. Common envelope generation using maximum operation.

Fig. 5. Power spectral density of the envelope signal after maximum operation.

(1) where is the drain voltage and is the envelope voltage of the RF input signal. To meet this requirement in all branches, one of the easiest ways of generating the common tracking signal is to conduct a maximum operation in the discrete time domain, i.e., (2) where is the normalized common envelope and is the envelope of the th branch in the MIMO configuration. Let us take a 5-MHz LTE signal in a 2 2 MIMO configuration as an example. As shown in Fig. 4, the common envelope is generated by taking the magnitude of two envelopes, whichever is higher at the sampling point. Step 2: Smoothing Operation: Due to the max operation, the discontinuities in the common envelope will appear, as shown in Fig. 4. This will deteriorate the spectrum of the envelope since the high-frequency components will significantly increase, as shown in Fig. 5. In a real system, the envelope modulator often has a limited operation bandwidth. If excited by a signal with a wider bandwidth, significant distortion will be introduced into

the system. In order to amplify the envelope signal as linear as possible and simultaneously keep high efficiency, the common envelope after the max operation must be optimized. In the literature, several bandwidth reduction techniques have been proposed [16], [20]. The techniques proposed in [16] are involving frequency-domain filtering and time-domain restoration, which is time consuming. The approach in [20] is not suitable for wideband signals. In this paper, we propose a modified moving average method, which is fully operated in the discrete time domain and can be easily embedded in a digital circuit. It can be conducted in two steps. Firstly, we apply the general moving average to the common envelope in the discrete time domain. The general moving average method can effectively limit the bandwidth of the envelope signal, but the envelope cannot meet the requirement of (1) because some of the amplitudes of the new signal are below the original values, as shown in Fig. 6, which will cause signal clipping in the ET operation. To avoid this situation, in the second step, we restore the amplitudes that are below the existing values to the original ones to meet the requirement of (1). The operation is outlined as follows:

3320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 8. Performance of general moving average using different number of data points.

Fig. 6. Smoothing operation for the common envelope.

Fig. 9. Overall smoothing operation.

Fig. 7. Power spectral density of the envelope with smoothing operation of three points.

1) moving average, (3)

Fig. 10. Envelope after smoothing operation in the time domain.

2) amplitude restoration, if then else

(4)

is the normalized envelope signal after smoothing where operation. After this operation, not only the discontinuities in the envelope are removed and thus the bandwidth of the signal is effectively reduced, but also the modified envelope meets the requirement of (1), as shown in Figs. 6 and 7. Furthermore, steeper attenuation of the envelope spectrum can be obtained by increasing the number of moving average points in the time domain, which is shown in Fig. 8. Wide-bandwidth optimization of the common envelope can also be realized by cascading several modified moving average modules with different numbers of moving average points, as shown in Fig. 9. For instance, after processed by three cascaded (11, seven, and three points) modified moving average modules, the time-domain waveform is effectively smoothed and the bandwidth of the signal is significantly reduced, shown in Figs. 10 and 11, respectively. Step 3: Wave Shaping: Since the envelope modulator has a certain limit on the range of output voltages, the envelope signal needs to be mapped into the voltage range that the modulator can supply by using a suitable wave shaping function before entering the modulator. In this paper, we employ the following

Fig. 11. Power spectral density of the envelope after smoothing operation.

shaping function to map the envelope amplitude to the supply voltage: (5) where is the maximum shaped drain voltage, is the minimum shaped drain voltage, and and are constant factors. In this study, we choose and . is then normalized, (6) After these three above steps, a common envelope is generated and is to be shared among all branches of the MIMO transmitter.

YU AND ZHU: SINGLE ENVELOPE MODULATOR-BASED ET STRUCTURE

3321

Fig. 12. Simplified ET structure.

IV. DPD Due to the modification of the tracking envelope, additional distortion may be introduced. To correct these nonlinearities, DPD must be employed. Since the tracking signal is no longer the same as the envelope of the RF signal, the conventional DPD is no longer applicable. In this section, we introduce a new DPD technique to linearize the MIMO ET system as described below.

Fig. 13. Data separation procedure.

A. 2-to-1 Mapping Problem In the conventional ET, the envelope signal is generated directly from the RF input. The whole ET system can be treated as one box with a one-to-one mapping from input to output; the DPD thus can be placed before the signal split, as shown in Fig. 1. However, this is not the case that occurs in the MIMO ET. In the new structure, the ET signal is generated from multiple branches and then used for controlling supply voltages of all branches. In each individual branch, the tracking envelope is not identical as that generated from its RF signal any more, which means that for the same amplitude of the input , the supply voltage could be different, or vice versa, as shown in Fig. 12, where does not necessarily equal to the envelope of . In a real system, since the gain of the PA normally varies with the supply voltage, it leads that the mapping from the input to the output can be different under different supply voltages, . The output of the PA, , now depends on not only the input , but also the tracking envelope signal, . In other words, the PA now becomes a 2-to-1 mapping system. The conventional 1-to-1 mapping is no longer applicable, and thus the conventional single input and single output DPD models cannot be directly employed in the new system any more. B. Data Separation Procedure In order to resolve this problem, in this paper we propose to convert the 2-to-1 mapping into multiple 1-to-1 ones by reorganizing the input and output data into different subgroups according to their corresponding common envelope values. For example, as shown in Fig. 13, are selected into the same group because their tracking envelope voltages are the same, i.e., they all are equal to . The corresponding output samples can also be selected. Following this procedure, specific input and output data sets can be obtained, as shown in Fig. 14, if then (7) to

A single-input and single-output transfer function from can then be constructed and an inverse function can be

Fig. 14. Data set of input and output after data separation.

found because the characteristics of the PA are the same under the same tracking envelope. However, this idea cannot be directly implemented in a real world because an infinite number of transfer functions will be needed if every common envelope value is used. Fortunately, in practice, although the characteristic of the PA often changes with its supply voltage, the variation is very small if the voltage fluctuation is in a small range. This means that the same function can be used to represent the PA behavior within a small change of the supply voltage. In other words, we can select the data samples according to a range of values of rather than a single value. To proceed, we define a set of thresholds (8) where is the th threshold and olds. We also set and olds, the common ET signal intervals

is the total number of thresh. By using these threshcan be divided into (9)

The input and output data can then be separated into data subsets according to these intervals If then

(10)

is the th interval of envelope signal, is the th where data subset of input signal, and is the th data subset of output signal. In a wideband system, memory effects appear significantly, which lead that the output of the PA depends on not only the instantaneous input, but also the past of the input. To compensate for memory effects, the previous samples of the input must be built into the nonlinear function of the DPD. The data selection process above only considers the instantaneous relationships between the input and output and cannot be directly used to build the transfer function of a memory DPD because the memory samples may fall in a different subset of the data. To resolve

3322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 15. Data separation procedure for memory DPD.

Fig. 16. DPD structure for the first branch in a MIMO transmitter.

this problem, we propose to keep a few neighboring points as memory samples when forming the data subsets. For example, in Fig. 15, is kept and treated as the first memory point of . The same procedure will be applied to other sampling points. The data separation process (10) is modified as follows: if then (11) where represents memory length and normally has a small value, such as . The final divided data subset can be represented by (12) where

is the th data subset.

Fig. 17. Test bench setup.

where is the th data subset of complex Volterra kernel. is an odd number representing the order of the nonlinearity, while represents the memory length. Since the output is linear with respect to the coefficients, a least squares (LS) algorithm can be employed to extract the DPD coefficients. In a matrix form, the LS-based model extraction operation [22] can be represented as (14)

C. DPD Model Selection and System Structure In the original MIMO ET system, the output of the PA depends on not only its RF input, but also its tracking envelope. After data separation, the 2-to-1 mapping is now converted to multiple 1-to-1 ones. For instance, for each subset of input and output data, which are gathered according to the corresponding tracking signal values, the output now depends on the input only. In this case, conventional DPD models can be employed again. In this study, the low-pass equivalent format of the first-order dynamic deviation reduction-based (DDR) Volterra series [21] is chosen as the DPD function for each data subset. Indirect learning can be utilized for the model parameter extraction, where the output of the PA is used as the input while the input of the PA is as the expected output. For example, for the th data subset

where and are the input and output matrix formed from (13) for data samples. is the coefficients matrix and is the number of coefficients. represents the Hermitian transpose and represents matrix inverse. According to (12), there are sets of DPD parameters to be extracted. Once the coefficients are extracted, the new MIMO ET system with DPD can be constructed, as illustrated in Fig. 16. The common tracking envelope is generated from the envelope signals of multiple branches and then used as the input to the common envelope modulator. In each branch, the DPD function is represented by

(15)

(13)

where and are the original input and the predistorted output, respectively. is the coefficient, whose value is selected from the coefficient subsets controlled by the corresponding value of the tracking envelope . For instance,

YU AND ZHU: SINGLE ENVELOPE MODULATOR-BASED ET STRUCTURE

3323

TABLE I DPD PERFORMANCE OF PROPOSED MODEL AND DUAL-INPUT MODEL

if coefficients.

is chosen as the present set of DPD

D. System Complexity Analysis Compared to conventional single input and single output DPDs, more digital signal processing (DSP) is required in the proposed approach, but this DSP is mainly conducted in the model extraction process. In the implementation of the DPD unit itself, there is only one equation required, which is the same as the conventional DPDs. The only difference is that, instead of using the same coefficients for all the input samples, we select the coefficients for each input sample in the RF chain according to its corresponding instantaneous value of the tracking envelope. It requires small memory logic to store the pre-extracted multiple sets of coefficients and a control circuit for coefficients selection. This implementation is straightforward, and it is very simple and with low cost. Compared to the dual-input model [13], the complexity of the proposed approach is actually much lower. Since the tracking signal is no longer the same as the envelope of the RF input, complex nonlinearities occur in the MIMO-ET system. It is very difficult to describe these nonlinearities by using a single function. If the dual-input model is employed, a large number (typically 200 or 300) of coefficients are required. It dramatically increases the model extraction complexity and system implementation cost. For instance, if 2000 samples are used for model extraction with 300 coefficients, 387 600 000 multiplication operations are required in solving the LS matrix [22]. In our approach, the effects of the supply voltage are separated from the distortion caused by the normal nonlinearity of the PA. For each given supply voltage, the PA is operated similar to a normal class-AB mode. This PA behavior can be accurately described by using a very simple function, e.g., a first-order truncated DDR-Volterra model with a small number (typically less than 30) of coefficients. Therefore, even if we need to extract multiple sets of coefficients, the system complexity is still very low compared to the dual-input model. For example, for the same 2000 samples, only 119 491 300 multiplication operations [22] are needed in our approach in the model extraction with 17 coefficients, even if we repeat 100 times to extract 100 sets of coefficients. Furthermore, the multiple model extraction process can be conducted repeatedly by using the same LS blocks because all the models have identical structures. In the real implementation, we only need to implement one set of LS operation units and reuse them in the signal process, which significantly reduces implementation cost. V. EXPERIMENTAL RESULTS The MIMO ET structure with the proposed DPD algorithm was verified by experimental measurements. The test

Fig. 18. Measured power spectral density with and without DPD.

bench setup is shown in Fig. 17, which includes a PC with MATLAB software, a baseband and an RF board, a high-power GaN PA, and an envelope modulator. The main PA was operated at 2.14 GHz and was biased at class-AB mode with V. The drain voltage was controlled by the envelope modulator. The tracking voltage was varied from 25 to 60 V. Standard 5- and 20-MHz LTE signals with 6.5-dB PAPR in 2 2 and 4 4 MIMO configurations were used for the tests. The average output power of the PA was 45 dBm. A. Proposed Approach versus State-of-the-Art In order to compare the performance with the state-of-theart, we implemented several relevant approaches and models published in the literature [13]–[15] on our test bench. The best performance we could obtain among these models was achieved by the dual-input model [13], as presented here. The dual-input model was proposed for linearizing PAs with varactor-based dynamic load modulation, and it was only tested with a low power PA excited with a 5-MHz signal. In this test, a high-power PA with ET was employed, and a 5-MHz LTE signal with 6.5-dB PAPR in 2 2 MIMO configuration was used. The exactly same system configuration was applied for both the approach in [13] and the proposed approach. The common tracking envelope was generated from two branches of the MIMO transmit chain, and then used for controlling the supply voltage of the PA at one branch. With the proposed approach, the input and output samples were re-grouped into 100 subsets and a first-order truncated DDR-Volterra model was employed with the nonlinear order and memory length , and 17 coefficients in total. With the dual-input model, in order to find the optimum coefficients, we conducted an exhausted search by sweeping the parameters in [13, eq. (10)]. The best performance was achieved by selecting

3324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE II PERFORMANCE OF MIMO ET VERSUS CONVENTIONAL ET STRUCTURES

Fig. 19. AM–AM characteristics: (a) conventional ET and (b) MIMO ET. AM–PM characteristics: (c) conventional ET and (d) MIMO ET.

, and 300 coefficients in total. The normalized root mean square error (NRMSE) and adjacent channel leakage ratio (ACLR) are given in Table I, and the frequency spectra are plotted in Fig. 18, where we can see that the proposed approach is at least 5 dB better in ACLR than the dual-input model for this particular test. For signals with wider bandwidths, the performance of the dual-input model was much worse. As discussed in Section IV-D, in terms of system implementation, the dual-input model is much more complex than the proposed approach because a very large number of coefficients are involved. B. Conventional ET Versus MIMO ET In the ET, the power-efficiency improvement is achieved by dynamically varying the drain supply voltage of the RF PA according to the envelope variations of the input signal. In principle, the best power efficiency is obtained when the best tracking accuracy is operated. In the MIMO ET, the tracking voltage is no longer accurately following the RF envelope due to the common tracking operation. The first question to ask is: how much efficiency degradation will be suffered in the new system. To answer this question, we conducted three tests

Fig. 20. Measured power spectral density in conventional ET and MIMO ET.

by operating the same PA with a 20-MHz LTE signal in three different modes, which were: 1) in the conventional ET mode, where the tracking signal was directly generated from its RF input; 2) in the 2 2 MIMO mode, where the tracking envelope was generated from two inputs; and 3) in the 4 4 MIMO mode, where the tracking envelope was generated from four

YU AND ZHU: SINGLE ENVELOPE MODULATOR-BASED ET STRUCTURE

3325

Fig. 21. AM–AM and AM–PM characteristics.

Fig. 22. Measured power spectral density of the output signal.

inputs. The results are listed in Table II, where we can see that, for almost the same output power, the efficiency degradation is very small, e.g., less that 3%, from one branch to four. The results seem surprising, but they are actually true and reasonable, especially for wideband signals. That is because the overall efficiency of the ET is affected by the efficiencies of

both the RF PA and the modulator. In fact, accurate tracking could achieve the best efficiency of the RF PA. However, accurate tracking may require the modulator to handle a very wideband envelope signal. Due to physical limits of the existing device technologies, the efficiency of the modulator may decrease quickly when the bandwidth of the excitation signal increases because switching loss is significantly increased in the modulator. Therefore, the overall efficiency is often compromised by signal bandwidth and tracking accuracy. In the MIMO ET, the efficiency of the RF PA is slightly reduced, but the efficiency of the modulator is still maintained or even increased by employing a properly designed ET signal. The overall efficiency of the system thus does not decrease significantly. However, the linearity of the system will deteriorate, as shown in the AM/AM and AM/PM plots in Fig. 19. In the conventional ET, the system can be kept fairly linear although some inherent nonlinearity exists. However, in the MIMO ET mode, significant distortion is introduced due to modification of the tracking signal. It is because the gain of the PA often varies with its supply voltage, distortion will occur if the tracking signal is not synchronized with the RF envelope. This phenomenon also can be observed from the frequency-domain spectra plots in Fig. 20, where we can see that the out-of-band distortion is much higher in the MIMO system, and it becomes worse when more branches are employed. The values of the NRMSE and ACLR are also presented in Table II.

3326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

TABLE III SYSTEM PERFORMANCE WITH AND WITHOUT DPD IN 2

2 MIMO CONFIGURATION

TABLE IV SYSTEM PERFORMANCE WITH AND WITHOUT DPD IN 4

4 MIMO CONFIGURATION

C. DPD Performance In order to evaluate linearization performance, two types of DPD were employed, which were: 1) memoryless DPD, where the memory length was set to zero and 2) memory DPD, where the memory length was set to 1. A 20-MHz LTE signal is used as the test signal with 2 2 MIMO and 4 4 MIMO configurations. Fig. 21 shows AM/AM and AM/PM characteristics of the PA in one of the branches in the 2 2 MIMO configuration. Before DPD, we can see that significant distortion occurs. With the memoryless DPD, the majority distortion is removed, leaving only small residuals. While with the memory DPD, the nonlinearity is almost completely compensated, including the additional distortion and inherent nonlinearity in the ET. Fig. 22 shows the measured power spectral density of the output signal, where we can see that the out-of-band distortion is dramatically reduced with the memoryless DPD and a further improvement can be made with the memory DPD. Similar performance was achieved in the other branch in the 2 2 MIMO configuration. Table III gives a summary of the system performance for both branches in the 2 2 MIMO configuration. For branch 1, the NRMSE is improved from 14.4% to 3.22% with a memoryless DPD and further improved to 2.17% with a memory DPD. The ACLRs are as low as 43 and 49 dBc at 20- and 40-MHz offsets with a memoryless DPD, and 50 and 51 dBc with a memory DPD, respectively. The efficiency is dropped by 2% due to the DPD operation. For branch 2, the ACLRs are also as low as 43 and 49 dBc at 20- and 40-MHz offsets with a memoryless DPD, and 50 and 52 dBc with a memory DPD, respectively. The efficiency is dropped by 3% due to the DPD operation. The measured performance in the 4 4 MIMO configuration is summarized in Table IV. Due to the common envelope

being generated by envelope signals from four branches, the ACLRs performance before DPD is worse than that in the 2 2 MIMO configuration, e.g., further 2-dB deterioration, from 26 to 24 dBc at 20-MHz offset. After memory DPD linearization, the ACLRs performance is improved by 24 dB, reaching 48 and 50 dBc at 20- and 40-MHz offset for all branches. Compared to the one in 2 2 MIMO configuration, the efficiency for each branch is dropped by 3%, from 40% to 37%. The AM/AM, AM/PM, and spectra performance are very similar to those shown in Figs. 21 and 22. To avoid duplication, we do not include them here. VI. CONCLUSION In this paper, an ET structure for MIMO applications has been presented, where only one envelope modulator is employed, which significantly reduces the cost of the system. It is achieved by inserting an envelope processing block in the envelope path that takes the envelope signals from all branches and generates a common envelope to be used as the common tracking signal for PAs in all RF branches. Since only one common supply voltage is used, the tracking envelope is no longer synchronized with the RF envelope, and thus additional distortion is introduced to the system due to the gain variations of the RF PA in each branch. To compensate this distortion, we proposed a new DPD technique, where the 2-to-1 mapping is converted into multiple 1-to-1 ones, and thus conventional DPDs can be employed in each data subset. Experimental results have demonstrated that the nonlinear distortion in the system can be effectively compensated by the proposed DPD. Although the power efficiency is slightly dropped due to the structure change, the cost of the overall system is dramatically reduced since only one envelope

YU AND ZHU: SINGLE ENVELOPE MODULATOR-BASED ET STRUCTURE

modulator is employed. As price pressure becomes more demanding in the commercial market, the proposed solution will be very attractive in the future MIMO transmitter development. ACKNOWLEDGMENT The authors would like to thank G. Wimpenny, Nujira Ltd., Cambridge, U.K., for proposing the MIMO ET structure described in Section II. REFERENCES [1] M. Rumney, “LTE and the evolution to 4G wireless: Design and measurement challenges,” Agilent Technol., Padstow, Cornwall, U.K., 2009. [2] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [3] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popović, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [4] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [5] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [6] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Pre-compensation for the dynamic nonlinearity of wideband wireless transmitters using augmented Wiener predistorters,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, vol. 5, pp. 4–7. [7] V. J. Mathews and G. L. Sicuranza, Polynomial Signal Processing. New York: Wiley, 2000. [8] G. Montoro, P. L. Gilabert, E. Bertran, A. Cesari, and D. D. Silveira, “A new digital predictive predistorter for behavioral power amplifier linearization,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 448–450, Jun. 2007. [9] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [10] A. Zhu and T. J. Brazil, “An adaptive Volterra predistorter for the linearization of RF high power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2002, pp. 461–464. [11] A. Zhu, P. J. Draxler, H. Chin, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Digital predistortion for envelope-tracking power amplifiers using decomposed piecewise Volterra series,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2237–2247, Oct. 2008. [12] S. A. Bassam, W. Chen, M. Helaoui, F. M. Ghannouchi, and Z. Feng, “Linearization of concurrent dual-band power amplifier based on 2D-DPD technique,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 12, pp. 685–687, Dec. 2011. [13] H. Cao, H. M. Nemati, A. S. Tehrani, T. Eriksson, and C. Fager, “Digital predistortion for high efficiency power amplifier architectures using a dual-input modeling approach,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 2, pp. 361–369, Feb. 2012. [14] P. L. Gilabert and G. Montoro, “Look-up table implementation of a slow envelope dependent digital predistorter for envelope tracking power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 2, pp. 97–99, Feb. 2012.

3327

[15] G. Montoro, P. L. Gilabert, J. Berenguer, and E. Bertran, “Digital predistortion of envelope tracking amplifiers driven by slew-rate limited envelopes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [16] J. Jeong, D. F. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Wideband envelope tracking power amplifiers with reduced bandwidth power supply waveforms and adaptive digital predistortion techniques,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3307–3314, Dec. 2009. [17] D. F. Kimball, J. Jeong, C. Hsia, P. Draxler, S. Lanfranco, W. Nagy, K. Linthicum, L. E. Larson, and P. M. Asbeck, “High-efficiency envelopetracking W-CDMA base-station amplifier using GaN HFETs,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3848–3856, Nov. 2006. [18] F. Wang, A. H. Yang, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Design of wide-bandwidth envelope-tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [19] F. Wang, D. F. Kimball, D. Y. Lie, P. M. Asbeck, and L. E. Larson, “A monolithic high-efficiency 2.4-GHz 20-dBm SiGe BiCMOS envelopetracking OFDM power amplifier,” IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1271–1281, Jun. 2007. [20] A. Cesari, A. Cid-Pastor, C. Alonso, and J. M. Dilhac, “A DSP structure authorizing reduced-bandwidth DC/DC converters for dynamic supply of RF power amplifiers in wideband applications,” in 32nd Annu. Ind. Electron. Conf., Nov. 2006, pp. 3361–3366. [21] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based Volterra series,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1524–1534, Jul. 2008. [22] L. Guan and A. Zhu, “Optimized low-complexity implementation of least squares based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 3, pp. 594–603, Mar. 2012. Chao Yu (S’09) received the B.E. and M.E. degrees from the School of Information Science and Engineering, Southeast University, Nanjing, China, in 2007 and 2010, respectively, and is currently working toward the Ph.D. degree at University College Dublin (UCD), Dublin, Ireland. His research interests include antenna design, behavioral modeling, and DPD for RF PAs.

Anding Zhu (S’00–M’04) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Lecturer with the School of Electrical, Electronic and Communications Engineering, UCD. His research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on Volterra-series-based behavioral modeling and linearization for RF PAs. He is also interested in wireless and RF system design, DSP, and nonlinear system identification algorithms.

3328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Performance Enhancement of an OFDM Ultra-Wideband Transmission-Over-Fiber Link Using a Linearized Mixed-Polarization Single-Drive X-Cut Mach–Zehnder Modulator Bouchaib Hraimel, Xiupu Zhang, Senior Member, IEEE, Taijun Liu, Tiefeng Xu, Qiuhua Nie, and Dongya Shen

Abstract—We investigate by theory and experiment the performance improvement of an orthogonal frequency division multiplexing (OFDM) ultra-wideband (UWB) transmitted in a radio-over-fiber (RoF) system using a linearized mixed polarization single-drive X-cut Mach–Zehnder modulator (sd-xMZM). The third-order nonlinearity is suppressed due to the polarization dependent electrooptic coefficients of an X-cut MZM. It is found that the improvement of more than 4 dB in 1-dB compression RF power is predicted in theory and verified experimentally. In addition, the adjacent channel power ratio and third-order intermodulation distortion to carrier power ratio of an OFDM UWB signal transmitted by an RoF link using mixed polarization sd-xMZM are expressed in closed forms. Finally, it is verified that the bias voltage can be optimized to improve the error vector magnitude by up to 9 dB for an OFDM UWB signal transmission-over-fiber link using mixed polarization sd-xMZM. Index Terms—Mach–Zehnder modulator (MZM), optical fiber communications, radio-over-fiber (RoF), RF photonics, wireless communications.

I. INTRODUCTION

R

ADIO-OVER-FIBER (RoF) is a promising and cost-effective solution for increasing the capacity, coverage, and reach distance of future wireless broadband signals, including microwave and millimeter-wave band wireless signals. External modulators, such as LiNbO Mach–Zehnder modulator (MZM), have been preferred to be used in broadband RoF systems because of their broad operational bandwidth. However, due to analog transmission of RoF, the MZMs become the major source of nonlinearity that degrades the transmission performance of RoF systems. Several techniques

Manuscript received February 15, 2012; accepted June 27, 2012. Date of publication August 07, 2012; date of current version September 27, 2012. B. Hraimel and X. Zhang are with the Advanced Photonic Systems Laboratory, Department of Electrical and Computer Engineering, Concordia University, Montreal, QC, Canada H3G1M8 (e-mail: [email protected]; [email protected]). T. Liu, T. Xu, and Q. Nie are with the College of Information Science and Engineering, Ningbo University, Ningbo, Zhejiang 315211, China (e-mail: [email protected]; [email protected]; [email protected]). D. Shen is with the School of Information Technology and Engineering, Yunnan University, Kunming, Yunnan 650091, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2012.2209443

have been investigated for enhancing the linearity of the MZM. A scheme using a dual-parallel Mach–Zehnder modulator (dp-MZM) [1] was reported to eliminate the second-order components near the optical carrier to decrease the third-order intermodulation distortion (3IMD). Another technique to remove the third-order nonlinearity is to use a mixed polarization dual-drive Mach–Zehnder modulator (dd-MZM) [2] and a single-drive X-cut Mach–Zehnder modulator (sd-xMZM) [3]. In [3], only one linear polarizer (LP) was used in front of the modulator, and the dc biasing must be precisely maintained at quadrature in order to suppress the 3IMD. By placing a second LP after the modulator [2], the RF carrier can be maximized and the mixed polarization can be applied to any bias voltage. We have already comprehensively studied mixed-polarization Z-cut MZMs for RoF systems [2]. For digital optical communications, there is no difference between Z-cut and X-cut MZMs, except chirp and phase-shift voltage. However, for analog optical transmission, nonlinear distortion induced by Z-cut and X-cut MZMs is different [4]. It was verified that X-cut MZMs induces less nonlinear distortion [4]. This suggests that use of Z-cut and X-cut MZMs will lead to different performance of RoF systems. Moreover, compared to dd-MZM and dp-MZM, the sd-xMZM is considered low cost and has been used to simultaneously generate baseband and RF signals [5], and to transmit downstream multiband signals and upstream data in a bidirectional RoF system [6]. The linearity of the MZM can be specified by several figures-of-merit. In [1]–[3], spectral distortion has been specified by traditional intermodulation distortion resulting from a twotone sinusoidal signal with the tones separated slightly in frequency. However, the two-RF-tone test does not distinguish between gain compression and phase deviation. Therefore, amplitude-to-amplitude (AM/AM) and amplitude-to-phase (AM/PM) distortion is considered a preferred figure-of-merit. In addition, when the MZM is driven by a digitally modulated RF carrier, it is generally not possible to draw a simple and accurate relationship between the MZM’s 3IMD performance for a two-RF-tone test to its spectral distortion. To this end, the adjacent channel power ratio (ACPR) is a preferred figure-of-merit. In this paper, we first analyze theoretically an RoF system using the mixed polarization sd-xMZM driven by a single RF sinusoidal tone. The optimum polarization angles for the third-order nonlinearity suppression are then given versus the

0018-9480/$31.00 © 2012 IEEE

HRAIMEL et al.: PERFORMANCE ENHANCEMENT OF OFDM UWB TRANSMISSION-OVER-FIBER LINK

3329

Fig. 1. Schematic of mixed polarization sd-xMZM. Polarization controller: PC. Linear polarizer: LP.

bias voltage. AM/AM and AM/PM conversion are expressed for both conventional (i.e., using TE mode only, TE) and mixed polarization sd-xMZM. Afterwards, the sd-xMZM is driven by an orthogonal frequency-division multiplexing ultra-wideband (OFDM UWB) signal and the ACPR and power ratio of the IMD to RF carrier (IMD/C) are expressed in closed forms for an sd-xMZM in TE and mixed polarization modes. Finally, experiments are carried out for AM/AM and AM/PM characteristics using a single RF tone. Measured RF power at 1-dB compression is given for the RoF systems to verify our theory. The performance improvement, in terms of error vector magnitude (EVM), of an OFDM UWB signal transmitted over fiber is also demonstrated experimentally using the mixed polarization sd-xMZM. II. THEORETICAL ANALYSIS OF MIXED POLARIZATION sd-xMZM In this section, we will first derive an analytical expression of the RF carrier output to obtain the condition for the suppression of third-order nonlinear distortion. AM/AM and AM/PM conversion will then be expressed using a single RF tone. Finally, ACPR and IMD/C will be given in closed forms using statistical analysis of the OFDM UWB signal. For the calculations, we use the same parameters as for the experimental analysis in Section III unless otherwise specified. A. Suppression of Third-Order Nonlinearity Here, we consider a single RF tone at angular frequency with RF voltage driving the sd-xMZM. A descriptive schematic of the mixed polarization sd-xMZM is depicted in Fig. 1. The polarization-dependent sd-xMZM has different transfer functions and in the - and -axis, respectively, as shown in Fig. 2. Two pairs of a polarization controller and linear polarizer (PC-LP) are used to adjust the linearly polarized light input and output to the sd-xMZM to an angle and , respectively. The transfer function of an sd-xMZM is given by [7]

Fig. 2. Measured (marks) and fitted (lines) characteristic of the sd-xMZM in: (a) TE and (b) TM polarization. TABLE I FITTED VALUES FROM MEASURED sd-xMZM CHARACTERISTICS IN TE AND TM POLARIZATION

the two arms of the sd-xMZM. The extracted parameters by curve fitting the measured TE and TM characteristics to (1) are given in Table I. Here we give a brief description of the principle of mixed polarization [2]. The first PC-LP will split the input optical signal to the sd-xMZM into two orthogonally polarized states ). Since TE and TM modes (the splitting ratio is are different, the TE and TM modes will be and modulated at different modulation index and thus carrying different amounts of 3IMD. The second PC-LP will combine the exiting TE and TM modes from the sd-xMZM (the combining ratio is ). The two angles and are adjusted to maximize the RF carrier and suppress third-order nonlinearity at the output of the second PC-LP for a broader range of bias voltages. The mixed polarization sd-xMZM transfer function can be expressed as

(2) In Appendix A, we present the theoretical expression of the photo-current after photo-detection. It can be seen from (A4) and (A5) that the third-order nonlinearities of the sd-xMZM response can be removed by canceling the 3IMD components with terms proportional to the modulation index cubed

(1) where stands for TE or TM polarization, is the insertion loss, and is the scaling factor used to account for unbalanced splitting/combining ratio between both arms of the sd-xMZM. is the half-wave switching voltage of the sd-xMZM, and is the intrinsic bias difference due to different lengths of

(3)

3330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

(7)

Fig. 3. Theoretical: (a) small-signal optimum polarization angles and (b) mixed polarization induced optical loss versus bias voltage of the sd-xMZM without (dc only) and with RF modulation (RF tone). An RF modulation index of 11.9% is used.

where . is the applied bias voltage to the sd-xMZM, for LiNbO , and . We may see that (3) does have many solutions,

where is the RF modulation index of the sd-xMZM when driven by the RF tone. Fig. 3(b) shows the optical loss due to the mixed polarization versus the bias voltage without (dc only) and with RF modulation (RF tone). An RF modulation index of is used. Note that optical losses are almost the same for dc and single RF tone, except near minimum bias transmission where the received optical power decreases with RF modulation. These optical losses can be compensated for by increasing the optical power using an optical amplifier. B. AM/AM and AM/PM Conversion Characteristics The amplitude and phase nonlinear distortion cannot be adequately characterized by a two-RF-tone test, and accurate modeling of nonlinearity can be derived from the AM/AM and AM/PM conversion characteristics of the sd-xMZM. By using single RF tone at angular frequency , the photocurrent at angular frequency is derived in Appendix A (8a) where is the gain of the RF amplifier, is the RF loss of the link, is the responsivity of the photodetector, is the input optical power to the sd-xMZM, and

(4) if and only if

(5) so it would be ideal to choose a solution that maximizes the RF carriers and at the same time suppresses 3IMD. This yields (6)

(8b)

For a typical sd-xMZM, as the one used in our experiment, condition (5) is always satisfied. By using (4) and (6), the polarization angles and are calculated as a function of the bias voltage , as shown in Fig. 3(a). Note from (3) that the suppression of third-order nonlinearity is not dependent on the individual modulation voltage, but depends only on the bias voltage and polarization angles. It is noteworthy that similar to any linearization technique, the mixed polarization induces some optical losses, which can be expressed by (see Appendix A)

It is noted from (8a) and (8b) that the phase of the photodetected current is independent of modulation index and has a constant phase difference 180 compared to the input RF voltage, i.e., there is no AM/PM conversion because the sd-xMZM is designed to be chirp free. The AM/AM gain compression can be expressed by (see Appendix A) (9) where and tone.

is the receiver load, is the RF modulation index of the sd-xMZM, and are the input voltage and power of the RF

HRAIMEL et al.: PERFORMANCE ENHANCEMENT OF OFDM UWB TRANSMISSION-OVER-FIBER LINK

3331

by IMD/C. The out-of-band interference or spectrum regrowth corrupts any adjacent coexisting channel and is described by the ACPR, which is typically defined as the ratio of the power leakage in a bandwidth of the adjacent bands to the power in the bandwidth within the main signal. The IMD/C and ACPR has been recently expressed in closed forms for a mixed polarization using a polarization dependent electro-absorption modulator [8] driven by an OFDM UWB signal. Here, closed-form expressions of IMD/C and ACPR are derived in Appendix B for the mixed polarization sd-xMZM, respectively, by Fig. 4. Theoretical: (a) compression versus bias voltage for TE and mixed polarization sd-xMZM and (b) mixed polarization induced RF loss versus RF input power at bias voltage of 1.65 V.

(10a) and

Fig. 4(a) compares the compression versus bias voltage for TE and mixed polarization sd-xMZM. It is shown that the for TE polarization sd-xMZM is 13.84 dBm and independent of the bias voltage. However, the mixed polarization sd-xMZM can lead to minimum and maximum of 22.54 and 28.82 dBm at bias voltage of 1.7 and 1.1 V, respectively. This suggests that is improved by more than 8.7 dB using the mixed polarization sd-xMZM. Moreover, compared to TE polarization, the mixed polarization technique induces RF losses, which, as an example, is shown in Fig. 4(b) at a bias voltage of 1.65 V. These RF losses are 22.85 dB at low RF power, and decrease as the RF input power increases. C. Power Ratio of Intermodulation Distortion to Carrier and Adjacent Power Ratio of OFDM UWB Signal In more complex modulation schemes used for broadband signal, such as OFDM UWB, the two-tone test model cannot be used to predict the in-band and out-of-band distortion effect. The OFDM UWB signal can be considered as a complex random signal with many OFDM subcarriers. When the UWB signal is applied to the nonlinear sd-xZM, the output RF signal is distorted and its spectrum spreads over a large bandwidth causing both in-band IMD and out-of-band interference. The in-band IMD degrades the signal itself and can be characterized

(10b) and (11) shown at the bottom of this page, where , and , , and represents the mean power of the UWB input signal with a load of 1 . For TE and mixed polarization sd-xMZM, the polarization angles in (11) can be set to , and , respectively. The UWB input (modulation) power is defined as for a load of . The modulation index for the UWB signal is then defined by . The calculated ACPR and IMD/C versus modulation index of the UWB signal are shown in Fig. 5(a) and (b). The results show that the ACPR is well below the specified value of 20 dBc [9] if the UWB modulation index is less than 26.3 and 52.5% for the TE and mixed polarization sd-xMZM, respectively. At the UWB modulation index of 11.9%, the ACPR is 33.9 and 65 dBc for the TE and mixed polarization sd-xMZM, respectively. By using the mixed polarization sd-xMZM, the ACPR is decreased by more than dB compared to the TE polarization sd-xMZM. The IMD is also suppressed below the carrier by more than 30.8 and 62 dBc dBc for the TE and mixed polarization sd-xMZM, respectively, as shown in Fig. 5(b). By using

(11)

3332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 5. Calculated: (a) ACPR and (b) IMD/C versus modulation index of the UWB signal for using TE and mixed polarization sd-xMZM. The bias voltage is 1.65 V.

Fig. 7. Experimental setup for AM/AM and AM/PM conversion characteristic evaluation of sd-xMZM using TE and mixed-polarization. Low-noise amplifier: (LNA), polarization controller: PC, linear polarizer: LP.

TABLE II PHYSICAL EXPERIMENTAL PARAMETERS

Fig. 6. Calculated received UWB power, IMD/C, and ACPR versus bias voltage for: (a) TE and (b) mixed polarization sd-xMZM. The modulation index is 11.9%.

the mixed polarization, the IMD/C can be reduced by more than 31 dB compared to the TE polarization sd-xMZM. We also calculate the power of the received UWB signal, the ACPR, and IMD/C versus the bias voltage of the sd-xMZM, for TE and mixed polarization at modulation index of 11.9%, as shown in Fig. 6(a) and (b), respectively. The results show that the ACPR and IMD/C do not depend on the bias voltage for TE polarization sd-xMZM. The ACPR is 34 dBc and less than 61 dBc for TE-only and mixed polarization sd-xMZM, respectively. For the considered modulation indices, the predicted ACPR is then well below the specified value of 20 dBc [9] over the whole range of bias voltage 2.5 8 V. For TE and mixed polarization, the IMD is suppressed below the carrier by 31 dBc and more than 58 dBc, respectively, over the whole range of bias voltage 2.5 8 V. For TE polarization, the maximum received UWB power is observed at bias voltages of 1.65 and 6.8 V, which corresponds to quadrature bias points of negative and positive slope, respectively, as shown in Fig. 2(a). However, the maximum UWB power is achieved around 5 V for mixed polarization. For both TE and mixed polarization sd-xMZM, the received UWB signal fades at bias voltage of 0.9 and 4.2 V, which are the maximum and minimum transmission bias points of the TE polarization sd-xMZM. III. EXPERIMENTAL RESULTS AND ANALYSIS In this section, the AM/AM and AM/PM conversion characteristics are first measured using a single RF tone to evaluate the linearization performance of the mixed polarization sd-xMZM. We then evaluate the performance improvement of

OFDM UWB transmission-over-fiber using the mixed polarization sd-xMZM. A. AM/AM and AM/PM Conversion Characteristics The experimental setup used for AM/AM and AM/PM conversion characterization is shown in Fig. 7. We set the bias voltage of the sd-xMZM to 1.65 V and the RF of the output source of the network analyzer to 3.96 GHz. The generated RF tone is amplified by a low-noise amplifier (LNA) of 26-dB gain (noise figure of 2.6 dB and 1-dB compression input power of 1 dBm) and drive the sd-xMZM. The photodetected signal is amplified by another LNA of 26-dB gain. We sweep the RF power of the output source of the network analyzer from 30 to 6 dBm to operate the LNA in the linear regime. The RF input power to the sd-x MZM is then varied from 6 to 18 dBm. The maximum input power to the sd-xMZM is 18 dBm because of the limited resources of high-power linear amplifiers and the absolute rating of input power to the sd-xMZM. A tunable laser source delivers a linearly polarized light with optical power of 5.85 dBm at a wavelength of 1550.604 nm. One polarization controller (PC) is used to manually adjust the polarization angle of the input lightwave to the sd-xMZM. The other PC with a nonpigtail LP is used to manually adjust the polarization angle of the output lightwave from the sd-xMZM. Prior to photo-detection, a variable optical attenuator (OVA) is eventually used in TE polarization to introduce the same amount of optical loss induced by mixed polarization and maintain the same received optical power. The received optical power is monitored using an optical taper of 10/90 coupling coefficient. The photodetected signal is amplified by another LNA amplifier with a gain of 26 dB. Other RF link loss from LP, PCs, and optical and RF connectors is 2 dB. Table II shows the physical parameters for the setup shown in Fig. 7. We measure the AM–AM and AM–PM conversion characteristic of the sd-xMZM in TE and mixed polarization and the

HRAIMEL et al.: PERFORMANCE ENHANCEMENT OF OFDM UWB TRANSMISSION-OVER-FIBER LINK

Fig. 8. Measured (marks) and calculated (lines) AM/AM and AM/PM conversion characteristics for: (a) TE and (b) mixed polarization sd-xMZM. The bias voltage is 1.65 V (quadrature point).

Fig. 9. Measured: (a) input power for TE polarization and (b) gain compression at 18-dBm input RF power for mixed polarization versus bias voltage of sd-xMZM.

results are shown in Fig. 8 with the calculated gain compression using (9). For TE polarization sd-xMZM, the 1-dB gain compression occurs at an input RF power of 13.85 dBm. At this power level, the gain compression is less than 0.1 dB when using the mixed polarization sd-xMZM. Moreover, the phase is changed by only 0.7 for both TE and mixed polarization sd-xMZM. This is because the sd-xMZM is designed to be chirp free using a single electrode with a push–pull configuration. At input power of 18 dBm, the gain is compressed by 2.8 dB in TE polarization, while it is only compressed by 0.2 dB when using mixed polarization sd-xMZM. The phase is changed by 1.13 and 1 in TE and mixed polarization sd-xMZM, respectively. Here again, the measurements are in good agreement with predicted results, as shown in Fig. 8. The slight AM/PM conversion is mainly due to the LNA, RF connectors and nonideal RF response of the sd-xMZM. To further show the performance improvement using the mixed polarization linearization, we measure the gain compression at different bias voltages. Fig. 9(a) shows the compression versus bias voltage for the TE polarization, a very good match with our predicted results of 13.84 dBm in Section II, except for the bias at 4.5 V where a 1-dB magnitude expansion is observed at 15-dBm input RF power during measurement. This behavior may be due to the strong clipping effect that occurs near minimum biasing transmission. Fig. 9(b) depicts the measured gain compression versus bias voltage at 18-dBm input RF power of the mixed polarization sd-xMZM. The gain compression is less than 0.5 dB for bias of up to 4 V and 1 dB at a bias of 4.2, 4.5, and 5 V. This suggests that more than 4-dB improvement in compression is achieved by using the mixed polarization sd-xMZM. The difference between the measured and predicted results for the

3333

Fig. 10. Experimental setup using OFDM UWB signal for the performance evaluation of the TE and mixed-polarization sd-xMZM . Low noise amplifier: LNA, RF variable attenuator: RVA, polarization controller: PC, linear polarizer: LP, optical variable attenuator: OVA.

Fig. 11. Measured EVM of the received OFDM UWB signal versus RF modulation index for TE and mixed polarization sd-xMZM at back-to-back transmission. The bias voltage is 1.65 V.

mixed polarization are mainly due to imprecise manual tuning to set the polarization angles to their optimum values, and nonideal frequency response of the LNA and sd-xMZM. B. OFDM UWB Signal Test We use an OFDM UWB signal to evaluate the linearity improvement for using the mixed polarization sd-xMZM, as shown in Fig. 10. An arbitrary waveform generator with 9.6-GHz effective RF bandwidth is used to generate an OFDM UWB signal compliant with the second WiMedia [9] sub-band allocated at center frequency of 3.96 GHz. The OFDM UWB signal, shown in inset (i), consists of 128 subcarriers occupying a bandwidth of 528 MHz and using an advanced dual carrier modulation (DCM) technique with a bit rate of 480 Mb/s [9]. A similar setup has been used in [8], except here we use an OFDM UWB with the DCM for higher bit rate. Here again, the OVA is used in TE polarization to introduce the same amount of optical loss induced by mixed polarization, and keep the same received optical power at each bias voltage. We measure the EVM of the received OFDM UWB signal using a high-speed real-time oscilloscope. First, we set the bias voltage of the sd-xMZM to quadrature bias of 1.65 V and vary the UWB input modulation index by changing the UWB input power to the sd-xMZM. Using an LNA with a variable RF attenuator, the UWB input power is varied from 19.8 to 8.8 dBm to change the UWB modulation index from 0.4% to 11.9%. The EVM is measured versus the UWB modulation index for both TE and mixed polarization at bias voltage of 1.65 V, as shown in Fig. 11. At a low modulation index of less than 6%, the system performance is limited

3334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

Fig. 12. Measured optical spectrum of the transmitted OFDM UWB signal for TE and mixed polarization sd-xMZM. The modulation index is 11.9% and the bias voltage is 1.65 V.

Fig. 14. Measured EVM of the received OFDM UWB signal versus bias voltage for TE and mixed polarization sd-xMZM. The modulation index is 11.9%.

Fig. 13. Measured RF spectrum and waveform of the received OFDM UWB signal for: (i) and (iii) TE and for (ii) and (iv) mixed polarization sd-xMZM. The modulation index is 11.9% and the bias voltage is 1.65 V.

Fig. 15. Measured RF spectrum and waveform of the received OFDM UWB signal for: (i) and (iii) TE and for (ii) and (iv) mixed polarization sd-xMZM. The modulation index is 11.9% and the bias voltage is 5.2 V.

by signal-to-noise ratio rather than nonlinearity and the EVM cannot be improved by using mixed polarization. However, at a high modulation index of greater than 6%, the nonlinearity becomes dominant and the EVM can be improved by using the mixed polarization. Using TE polarization sd-xMZM, the received OFDM UWB signal is compliant with the required EVM of 17 dB [9] when the modulation index is ranged between 0.8 and 11.9%. The best EVM of 26.2 and 25.7 dB for TE and mixed polarization, respectively, is achieved at modulation index of 4 . The 0.5-dB degradation in EVM is due to optical subcarrier loss in the mixed polarization linearization. However, by using the mixed polarization sd-xMZM, the EVM is improved by 5.7 dB at 11.9% modulation index. At this modulation index, the total monitored optical power is 13.96 dBm, which is 10% of the received optical power and then the received optical power at the photo-detector is 4.42 dBm, which is 90% of the received optical power. Note that due to the mixed polarization, there is still 6.7-dB optical loss in the optical subcarrier, as shown in Fig. 12, which will introduce similar loss in the received UWB signal. The measured RF power of the received OFDM UWB signal is 24.76 and 17.86 dBm in the mixed and TE polarization, respectively, which means there is 6.9-dB RF loss introduced by the mixed polarization. However, compared to TE polarization, Fig. 13 shows that, when the mixed polarization is used, the spectral regrowth is reduced [see inset (ii)], the clipping is avoided [see inset (iv)], and the EVM is improved (see the constellation of inset (ii) in Fig. 11). The noise floor of the measurement system is around 114 dBm/Hz. This proves that the mixed polarization sd-xMZM has led to lower IMD and higher dynamic range than TE polarization. Next, in order to investigate the linearity improvement of the mixed polarization sd-xMZM versus the bias voltage, the modulation index of the sd-xMZM is set to 11.9% and the bias voltage is swept from 0 to 8 V. For TE polarization, an optical attenuator is used to set the received optical power to the same level as for the mixed polarization at each bias voltage. For TE and mixed

polarization sd-xMZM, the EVM of the received OFDM UWB signal is measured versus bias voltage, as shown in Fig. 14. It is seen that when the sd-xMZM is biased at minimum 4V transmission, the performance using the TE polarization is the worst because, at minimum transmission, the optical carrier is strongly suppressed and the received UWB signal fades [see Fig. 6(a)], and then the signal-to-noise ratio is very small. For bias voltage in the range of 0 3.5 and 4.5 8 V, the EVM in the TE polarization is slightly lower than the required 17 dB. This is because the IMD/C is constant and independent of the bias voltage and the received UWB signal fades at minimum and maximum transmission [see Fig. 6(a)] as predicted in Section II. However, when using the mixed polarization, the EVM is improved by more than 3 dB over a wide bias voltage range of 0 7.2 V, and more than 8.7-dB improvement is achieved at bias voltage of 5.2 V with a minimum EVM of 25.84 dB. This is due to suppression of third-order nonlinearity and the high received UWB power around 5 V using the mixed polarization sd-xMZM [see Fig. 6(b)], as predicted in Section II. This is clear from the improved constellation (inset (ii) in Fig. 14), the absence of waveform clipping, and the ACPR reduction in the received UWB signal, as shown from inset (ii) and (iv) in Fig. 15, respectively. This proves again that the mixed-polarization sd-xMZM leads to lower IMD and higher dynamic range than TE polarization. The measured ACPR is actually higher than the calculated in Section II. This is mainly due to the finite rejection of the filter used in the AWG that generates the OFDM UWB signal (see inset (i) in Fig. 10). The finite filter rejection effect will add an extra out-of-band component to the intermodulation power. The impact of fiber chromatic dispersion on the multiband OFDM UWB signal with optical single-sideband modulation using dd-MZM has been investigated in [10]. Note that the two double sidebands are in-phase for using an X-cut MZM, but out of phase using a Z-cut MZM. Due to the double-sideband optical modulation in the sd-xMZM, the transmission-over-fiber

HRAIMEL et al.: PERFORMANCE ENHANCEMENT OF OFDM UWB TRANSMISSION-OVER-FIBER LINK

Fig. 16. Measured EVM of the received OFDM UWB signal versus RF modulation index for TE and mixed polarization sd-xMZM after 20 km of fiber transmission. The bias voltage is 5.2 V.

will suffer mainly from the well-known chromatic dispersion induced power fading. Here, we evaluate the performance of the OFDM UWB signal transmission over 20 km of fiber using mixed polarization sd-xMZM. We choose the optimum bias voltage 5.2 V at which the EVM has the lowest value. We insert 20 km of a single-mode fiber after the mixed polarization sd-xMZM and replace the OVA by an erbium-doped fiber amplifier (EDFA) to compensate for both fiber losses and optical losses due to the mixed polarization. An optical filter is also used to reduce the amplified spontaneous emission noise. The received optical power at the photodetector is maintained to 0 dBm during measurement. The UWB input power is varied from 21.4 to 13.7 dBm to vary the UWB modulation index from 0.4 to 21%, and the EVM is measured for both TE and mixed polarization, as shown in Fig. 16. Here again, the results show similar trends to those of Fig. 11. Using a TE polarization sd-xMZM, the received OFDM UWB signal is compliant with the required EVM of 17 dB [9] when the modulation index is ranged between 0.5 and 21%. We can see that the dynamic range has been increased compared to Fig. 11. This is due to the increase of the received optical power that increases the signal-to-noise ratio, and thus improves the EVM of the OFDM UWB signal [10]. The best EVM of 27.5 and 29.1 dB for TE and mixed polarization, respectively, is achieved at a modulation index of 4 and 10.3%. At modulation index of 21%, the mixed polarization sd-xMZM improves the EVM by 9.2 dB compared to TE polarization. IV. CONCLUSION The performance of the mixed polarization single-drive X-cut MZM in the suppression of intermodulation distortion has been investigated theoretically and experimentally. The suppression of third-order nonlinearity using the mixed polarization sd-xMZM is independent of modulation voltage and depends only on the bias voltage. Moreover, more than 4-dB improvement of is predicted over a wide range of bias voltage by the theory for using the mixed polarization sd-xMZM. The ACPR and intermodulation distortion to carrier power ratio has been expressed in closed form for an OFDM UWB signal-over-fiber system, predicting a great improvement of the performance when using the mixed polarization sd-xMZM. We have experimentally verified the improvement for using a mixed polarization sd-xMZM over a conventional one. The

3335

compression is improved by more than 4 dB and is in good agreement with the theory. Moreover, the OFDM UWB signal is tested and the EVM is improved by more than 3 dB over a wide range of bias voltage and a maximum of 8.7-dB improvement is achieved with a minimum EVM of 25.84 dB at an optimum bias voltage for back-to-back RoF systems. After 20 km of fiber transmission, an EVM of 29.1 dB is achieved at an optimum modulation index of 10.2 (correspondingly optimum modulation index is at 4 and EVM is 27.5 dB for TE). Even at a high modulation index of 21%, the EVM is improved by more than 9.2 dB by using the mixed polarization sd-xMZM. APPENDIX A Here, we derive condition (3) for 3IMD suppression, the induced optical losses (7), the photo-current (8a) and (8b), and the gain compression (9) using mixed polarization sd-xMZM. We considered an RF sinusoidal tone applied to the sd-xMZM. The applied voltage to the sd-xMZM can be represented by , where , , and are the angular frequency, voltage magnitude, and phase of the RF tone, respectively, and is the bias voltage. The electric field exiting from the mixed polarized sd-xMZM is given by

(A1) and are the optical power and frequency of where optical input signal to the sd-xMZM, and and are the transfer functions of the sd-xMZM in TE and TM polarization, respectively, and given by (1). Applying the Jacobi–Auger expansion to the photodetected current , where is the responsivity of the photodetector, is the gain of the RF amplifier, is the RF loss of the link, we can express the dc and RF current at , respectively by (A2a) (A2b) and (A3a) and (A3b), shown at the bottom of the following page, where is the RF modulation index of the sd-xMZM, and all other parameters are defined in Section II-A and given in Table I. Applying the Bessel series expansion to (A3b), the photocurrent of the carrier (at ) can be approximated by

(A4)

3336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

where

is the 50- input impedance. The AM/AM gain comwhere pression can then be expressed by

(A9) This is (9). APPENDIX B Here, we will express closed forms of the ACPR and IMD/C of an OFDM UWB signal. We consider a broadband signal driving the sd-xMZM, such as OFDM UWB, expressed as (B1) (A5) and , with . The third-order for nonlinearity can be suppressed when , which is (3). The total average received optical power at the photodetector is

where , , and are the carrier frequency, amplitude, and phase modulation of the OFDM UWB signal, respectively. is the complex envelope of the baseband signal . The received signal at the carrier frequency can be expressed by using (A2b)

(A6) is given by (A3a). The induced optical losses can where then be deduced from (A6) as the ratio of the received optical power using a mixed polarization sd-xMZM to that using TE polarization . This will give (7). The RF output power at is expressed by (A7)

(B2) and (B3), shown at the top of the following page, which is the nonlinear distortion function. The complex envelope of the received UWB signal at carrier frequency can be expressed as and its power spectrum density can be given by [11] as

where is the receiver load. The RF input power to the sd-xMZM is expressed by (A8)

where

is the power spectrum density of the ,

signal,

(A3a)

(A3b)

HRAIMEL et al.: PERFORMANCE ENHANCEMENT OF OFDM UWB TRANSMISSION-OVER-FIBER LINK

3337

(B3)

(B5)

, and the coefficients and , can be expressed using [11]

and

(B7b) (B4) where represents the mean power of the UWB input signal with a load of , and is the Laguerre function expressed by . Applying [12, eq. (7.421.4)] to (B4) with , can be expressed in closed form as (B5), shown at the top of this page, where Assume the input OFDM UWB signal to have a rectangular spectrum shape given by (B6) otherwise. The IMD/C and ACPR can then be expressed as

(B7a)

For 50by by

input impedance, the UWB input power is defined , and thus the modulation index is defined . REFERENCES

[1] C. Lim, A. Nirmalathas, K. L. Lee, D. Novak, and R. Waterhouse, “Intermodulation distortion improvement for fiber-radio applications incorporating OSSB plus C modulation in an optical integrated-access environment,” J. Lightw. Technol., vol. 25, no. 6, pp. 1602–1612, Jun. 2007. [2] B. Masella, B. Hraimel, and X. Zhang, “Enhanced spurious-free dynamic range using mixed polarization in optical single sideband Mach–Zehnder modulator,” J. Lightw. Technol., vol. 27, no. 15, pp. 3034–3041, Aug. 2009. [3] L. M. Johnson and H. V. Roussell, “Reduction of intermodulation distortion in interferometric optical modulators,” Opt. Lett., vol. 13, pp. 928–930, Oct. 1988. [4] G. Zhu, B. Bortnik, W. Liu, H. Fetterman, R. Forber, and W. Wang, “Distortion comparison of single-sideband coherent analog optical links employing X-cut and Z-cut Mach–Zehnder modulators,” IEEE Photon. Technol. Lett., vol. 20, no. 18, pp. 1548–1550, Sep. 2008. [5] C.-T. Lin, W.-R. Peng, P.-C. Peng, J. Chen, C.-F. Peng, B.-S. Chiou, and S. Chi, “Simultaneous generation of baseband and radio signals using only one single-electrode Mach–Zehnder modulator with enhanced linearity,” IEEE Photon. Technol. Lett., vol. 18, no. 23, pp. 2481–2483, Dec. 2006. [6] L. Zhang, X. Hu, P. Cao, T. Wang, and Y. Su, “A bidirectional radio over fiber system with multiband-signal generation using one single drive MZM,” Opt. Exp., vol. 19, no. 6, pp. 5196–201, Mar. 2011.

3338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 10, OCTOBER 2012

[7] D. Fonseca, A. V. T. Cartaxo, and P. Monteiro, “Modelling and experimental validation of an x-cut four phase modulators structure,” Proc. Inst. Elect. Eng.—Optoelectron., vol. 153, no. 4, pp. 145–151, Aug. 2006. [8] B. Hraimel and X. Zhang, “Performance improvement of radio-over fiber links using mixed-polarization electro-absorption modulators,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 12, pp. 3239–3248, Dec. 2011. [9] “Multi-band OFDM physical layer proposal for IEEE 802.15, task group 3a,” IEEE, Piscataway, NJ, 2003. [Online]. Available: http://www.ieee802.org/15/pub/2003/Jul03/03268r2P802-15_TG3aMulti-band-CFP-Document.pdf [10] M. N. Sakib, B. Hraimel, X. Zhang, M. Mohamed, W. Jiang, K. Wu, and D. Shen, “Impact of optical transmission on multiband OFDM ultra-wideband wireless system with fiber distribution,” J. Lightw. Technol., vol. 27, no. 18, pp. 4112–4123, Sep. 2009. [11] P. Banelli and S. Cacopardi, “Theoretical analysis and performance of OFDM signals in nonlinear AWGN channels,” IEEE Trans. Commun., vol. 48, no. 3, pp. 430–441, Mar. 2000. [12] I. S. Gradshteyn and I. M. Ryzhik, Table of Integrals, Series, and Products. New York: Academic, 1994. Bouchaib Hraimel, photograph and biography not available at time of publication.

Xiupu Zhang (M’00–SM’07), photograph and biography not available at time of publication.

Taijun Liu, photograph and biography not available at time of publication.

Tiefeng Xu, photograph and biography not available at time of publication.

Qiuhua Nie, photograph and biography not available at time of publication.

Dongya Shen, photograph and biography not available at time of publication.

Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057

Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •

Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.

Digital Object Identifier 10.1109/TMTT.2012.2220479

Digital Object Identifier 10.1109/TMTT.2012.2220480

Digital Object Identifier 10.1109/TMTT.2012.2220481

Digital Object Identifier 10.1109/TMTT.2012.2220476

Digital Object Identifier 10.1109/TMTT.2012.2220831

Digital Object Identifier 10.1109/TMTT.2012.2220474

EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2011

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2012.2220478

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar A. Kucharski

C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma T.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath