IEEE MTT-V055-I10 (2007-10) [55, 10 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04339606......Page 1
020 - 04339608......Page 3
030 - [email protected] 4
040 - [email protected] 13
050 - [email protected] 22
060 - [email protected] 31
070 - [email protected] 41
080 - [email protected] 50
090 - [email protected] 60
100 - [email protected] 66
110 - [email protected] 72
120 - [email protected] 82
130 - [email protected] 93
140 - [email protected] 104
150 - [email protected] 110
160 - [email protected] 122
170 - [email protected] 131
180 - [email protected] 142
190 - [email protected] 154
200 - [email protected] 164
210 - [email protected] 175
220 - [email protected] 183
230 - [email protected] 190
240 - [email protected] 198
250 - [email protected] 207
260 - [email protected] 215
270 - [email protected] 223
280 - [email protected] 231
290 - [email protected] 238
300 - [email protected] 247
310 - [email protected] 255
320 - [email protected] 264
330 - [email protected] 269
340 - [email protected] 277
350 - [email protected] 279
360 - 04339614......Page 281
370 - 04339619......Page 282
380 - 04339620......Page 283
390 - 04339621......Page 284
400 - 04339609......Page 285

Citation preview

OCTOBER 2007

VOLUME 55

NUMBER 10

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions .. .. ........ ......... ......... ........ ......... ......... ..... A. Raffo, A. Santarelli, P. A. Traverso, G. Vannini, and F. Filicori Active Circuits, Semiconductor Devices, and Integrated Circuits A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications .. .......... ......... . J. Jeon and W. B. Kuhn A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13- m CMOS ..... ......... ......... ... Q. Li and Y. P. Zhang Complete Stability Analysis of Multifunction MMIC Circuits ... . C. Barquinero, A. Suárez, A. Herrera, and J. L. García Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier .... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ C. Park, D. H. Lee, J. Han, and S. Hong Power Supply Rejection for RF Amplifiers: Theory and Measurements . ........ ......... ... J. T. Stauth and S. R. Sanders An Integrated Wideband Power Amplifier for Cognitive Radio .. ......... ........ Y.-J. E. Chen, L.-Y. Yang, and W.-C. Yeh Microwave Parametric Frequency Dividers With Conversion Gain ....... ... Z. Heshmati, I. C. Hunter, and R. D. Pollard Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers ..... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ..... S. E. Gunnarsson, D. Kuylenstierna, and H. Zirath Millimeter-Wave and Terahertz Technologies 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications ... ......... ........ ......... ......... ........ ......... ......... ........ ......... ..... J.-H. Tsai and T.-W. Huang A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology ........ ..... .... ....... J. W. Kooi, A. Kovács, M. C. Sumner, G. Chattopadhyay, R. Ceria, D. Miller, B. Bumble, H. G. LeDuc, J. A. Stern, and T. G. Phillips Ferroelectric and Ferrite Components Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ....... V. S. Vountesmery and Y. V. Vountesmery

1997 2006 2015 2024 2034 2043 2053 2059 2065

2075 2086

2097

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Field Analysis and Guided Waves Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ... M. Wiktor and M. Mrozowski Analysis of Circular Cavity With Cylindrical Objects ... ......... ......... ........ ......... ......... ... R. Lech and J. Mazur

2103 2115

CAD Algorithms and Numerical Techniques A Calibration Approach for the Segmentation and Analysis of Microwave Circuits ..... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ...... M. Farina, A. Morini, and T. Rozzi Moments-Based Computation of Intermodulation Distortion of RF Circuits .... ......... ...... D. Tannir and R. Khazaka Modeling the Nonlinear Response of Multitones With Uncorrelated Phase ..... ......... ....... F. P. Hart and M. B. Steer

2124 2135 2147

Filters and Multiplexers Synthesis of Resonator Filters With Arbitrary Topology Using Hybrid Method ......... ... M. Uhm, S. Nam, and J. Kim Low-Cost Dielectric-Resonator Filters With Improved Spurious Performance .. ......... .... R. Zhang and R. R. Mansour Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances .... ......... ....... S. Sun and L. Zhu Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators ......... X. Y. Zhang and Q. Xue Design of Stepped-Impedance Combline Bandpass Filters With Symmetric Insertion-Loss Response and Wide Stopband Range .. ......... ......... ........ ......... ......... ........ ......... Y.-M. Chen, S.-F. Chang, C.-C. Chang, and T.-J. Hung Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure ... ......... ........ ......... ......... ...... M.-S. Zhang, Y.-S. Li, C. Jia, and L.-P. Li General Design of Multiway Multisection Power Dividers by Interconnecting Two-Way Dividers ..... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... J. Zhou, K. A. Morris, and M. J. Lancaster Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications ..... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... M. E. Bialkowski, A. M. Abbosh, and N. Seman Instrumentation and Measurement Techniques Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality . ......... ......... ........ .......... ......... ........ ......... ......... V. V. Varadan and R. Ro The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty ..... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... P. S. Blockley, J. B. Scott, D. Gunyan, and A. E. Parker Effect of a Local Ground and Probe Radiation on the Microwave Characterization of Integrated Inductors .... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ..... B. Rejaei, A. Akhnoukh, M. Spirito, and L. Hayden Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry ..... ......... ........ ......... . ......... ........ ......... .. P. Siqueira, R. Ahmed, J. W. Wirth, and A. Bachmann Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator ... ......... .. .. ........ ......... ......... ........ ......... .... S. Cheng, A. Ferrari, M. Johnson, A. Rydberg, V. Ziemann, and E. Öjefors MCMs and Acoustic Wave Components Planar Bandpass Filters for Ultra-Wideband Applications ....... ......... ........ ......... ......... ........ .... A. M. Abbosh

2157 2168 2176 2183 2191

2200 2208 2216

2224 2231 2240 2248 2257 2262

LETTERS

Comments on “A Fully Electronic System for Time Magnification of Ultra-Wideband Signals” ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... J. A. Conway, G. C. Valley, and J. T. Chou Authors’ Reply ... ......... ........ ......... ......... ........ ......... ......... ....... J. D. Schwartz, J. Azaña, and D. V. Plant

2270 2271

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

2273

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $20.00 per year for electronic media only or $40.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. S. KENNEY, President L. BOGLIONI D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. MODELSKI, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

K. G. GARD, Secretary A. MORTAZAWI B. PERLMAN V. J. NAIR A. ROSEN

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. VARIAN (2006) K. C. GUPTA (2005) R. J. TREW (2004)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

DANIEL DE ZUTTER ZOYA POPOVIC YOSHIO NIKAWA Universiteit Gent Kokushikan Univ. Univ. of Colorado, Boulder Belgium Japan USA email: [email protected] email: [email protected] email: [email protected] KENJI ITOH JOSÉ PEDRO SANJAY RAMAN Mitsubishi Electronics Univ. of Aveiro Virginia Polytech. Inst. and State Univ. Japan Portugal USA email: [email protected] email: jcp.mtted.av.it.pt email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEAH H. JAMIESON, President and CEO LEWIS TERMAN, President-Elect PEDRO RAY, Vice President, Regional Activities CELIA L. DESMOND, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association DAVID GREEN, Treasurer PETER STAECKER, Vice President, Technical Activities MICHAEL R. LIGHTNER, Past President JOHN MEREDITH, President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $85.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2007 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada.

Digital Object Identifier 10.1109/TMTT.2007.909214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

1997

Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions Antonio Raffo, Member, IEEE, Alberto Santarelli, Member, IEEE, Pier Andrea Traverso, Member, IEEE, Giorgio Vannini, Member, IEEE, and Fabio Filicori

Abstract—Empirical electron device models based on lumped equivalent circuits are usually identified through nonlinear optimization procedures, which are based on the best fitting between the extrinsic model behavior and measurements carried out under multibias static and small-signal excitations. In this paper, a new error function is proposed for equivalent circuit model parameter optimization. Although still being defined through standard static and small-signal measurement data, the new error function can be configured so as to obtain models tailored to specific large-signal applications. Experimental results, which confirm the validity of the proposed identification approach, are provided for a GaAs microwave pseudomorphic HEMT model aimed at the design of highly linear power amplifiers. Index Terms—Field-effect transistors (FETs), millimeter-wave measurements, nonlinear circuits, nonlinear distortion, semiconductor device modeling.

I. INTRODUCTION HE ISSUE of identifying a consistent device model parameter set through numerical optimization procedures represents a basic step of most microwave and millimeter-wave modeling approaches. In fact, many papers [1]–[16] have been focused over the last three decades on the problem of defining suitable procedures aimed at the fitting accuracy improvement of nonlinear electron device models. In this context, a very common choice consists of defining suitable optimization error functions based on the deviations between measured and predicted static I/V characteristics and biasand frequency-dependent scattering parameters at the extrinsic device ports. This is mainly justified by the wide availability of relatively easy-to-use standard instrumentation capable of accurate dc and small-signal measurements. The appropriate choice of multibias multifrequency differential parameters to be fitted may have a strong impact on the

T

Manuscript received January 9, 2007; revised May 8, 2007. This work was supported in part by the Italian Ministry of University and Research. This work was supported by the Information Society Technologies Programme of the European Union under Contract IST-1-507893-NOE, performed under the context of the Top Amplifier Research Groups in a European Team (TARGET) network. A. Raffo and G. Vannini are with the Department of Engineering, University of Ferrara, 44100 Ferrara, Italy (e-mail: [email protected]; [email protected]). A. Santarelli, P. A. Traverso, and F. Filicori are with the Department of Electronics, University of Bologna, 40136 Bologna, Italy (e-mail: asantarelli@deis. unibo.it; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.905483

model parameters identified and, hence, on the consequent prediction accuracy. Intuitively, when the model is foreseen to be used in a particular operation mode, the identification could be carried out by only requiring the best fit over a restricted region in the space of the controlling variables. For instance, when considering a device model to be used for the design of a class-A power amplifier (PA), it is reasonable to expect that the model accuracy at bias conditions in the proximity of the chosen loadline will have a major impact in the achievement of a successful design. In this case, model improvements could be sought for by considering only, or weighting mostly, the small-signal model errors in the appropriate bias region during parameter optimization. Alternatively, the fitting to ad-hoc large-signal measurements could be imposed during the model identification phase at the expense of both carrying out large-signal measurements and of adopting somehow numerical inefficient parameter extraction procedures, where “slow” harmonic balance simulations (or, equivalently, nonlinear time-domain simulations) are included in the optimization loop [13]–[16]. Another issue that strongly influences model parameter extraction is the way of combining the different small-signal maelements of the scattering matrix elements (e.g., the four trix) into the error function adopted for the optimization. Unfortunately, when searching through literature, quite a few indications are found about the most appropriate weighting strategies [17]. In this paper, a new error function is proposed for model parameter optimization. Besides still involving the best fit of conventional multibias, multifrequency small-signal measurements, it allows for a model parameter optimization mainly oriented to a specific large-signal device operation. This is achieved by a suitable combination of the differential matrix elements and the choice of appropriate bias conditions. The proposed error function is based on a well-known largesignal device current predictive expression, derived through a modified Volterra-series approach [18], [19]. The ability of this function to be used as a metric for model accuracy evaluation under a large-signal regime has been previously presented in [20]. In this paper, the advantages of model parameters identification based on the proposed error function are instead investigated and experimentally verified. This paper is organized as follows. In Section II, well-conditioning issues in model parameter identification procedures are dealt with through a simple experiment. In particular, it is shown that more reliable indications of model accuracy are achiev-

0018-9480/$25.00 © 2007 IEEE

1998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE I EEHEMT1 PARAMETER VALUES OF MODELS “A,” “B,” AND “C”

able by adopting error functions defined at the intrinsic, instead of extrinsic, device ports. The short-memory feature of voltage-controlled intrinsic devices is outlined here and the relationship between the short memory duration and the well conditioning of the model parameter is investigated. The conclusions drawn here are coherent with classical approaches to nonlinear circuit analysis and design [21]. In Section III, the new optimization error function is provided, and the simplifying assumptions, which are needed for its straightforward numerical evaluation, are discussed. In Section IV, equivalent circuit parameter identification of a AlGaAs/GaAs pseudomorphic HEMT (pHEMT) for monolithic microwave integrated circuit (MMIC) design is carried out with special emphasis on its exploitation in highly linear PA design. Experimental results are provided for the validation of the proposed approach. In particular, suitable comparisons quantify the achievable model accuracy improvement by using the proposed error function for parameter optimization. Conclusions to this study are presented in Section V.

II. SHORT MEMORY OF INTRINSIC DEVICES The relevance of parasitic elements deembedding when dealing with nonlinear dynamic electron device modeling is well recognized by the research community [1]–[6]. In fact, it is widely known that the multibias differential parameter fitting and the nonlinear prediction capabilities of commonly adopted circuit equivalent topologies (e.g., Materka, Curtice models, etc.) is much improved when measurements are suitably deembedded from parasitic elements. The reason for this is related to the feature of intrinsic devices of presenting short-memory effects under voltage-controlled excitations [18], [19]. This is an important characteristic, which makes the modeling of the intrinsic device feasible (and reasonably accurate) with typical equivalent circuits presenting quasistatic or moderately nonquasi-static behavior [22]. Thus, whatever the topology of the extrinsic parasitic network, an important requirement for subsequent successful identification of the intrinsic device model is the short duration of its memory effects.

Fig. 1. pHEMT modeling schematic showing the extrinsic parasitic network considered in the examples.

Although parasitic element deembedding is considered an essential step in model identification, prediction accuracy tests are normally carried out at the extrinsic ports. This is obviously justified by the physical accessibility of the extrinsic ports and by the uncertainty associated with parasitic element extraction. However, especially when considering the multibias differential parameter fitting capabilities of equivalent circuits, quite different models may erroneously appear as almost equivalent when compared at the extrinsic ports under small-signal and/or purely static operation. In order to exemplify the above considerations, a simple test is presented here. Three different models of a 0.25- m GaAs pHEMT, based on the well-known EEHEMT1 intrinsic topology [23], are extracted in the framework of a commercial computer-aided design (CAD) environment [24], where both the model and custom optimization functions may be easily implemented. In particular, the classic EEHEMT1 model is first identified (model “C”) according to the standard CAD extraction program indications [23] (see parameter values in Table I). To this end, the parasitic network topology shown in Fig. 1 is assumed. Two additional models are then identified by arbitrarily changing the extrinsic parasitic network. For instance, only series resistive parasitic elements are considered in the case of model “A,” while nonoptimal values are assigned to parasitic inductors and capacitors in the case of model “B.” and are assumed in model “B” Namely, equal to half the optimal values of model “C.” The parasitic elements of the three models are fully reported in Table II.

RAFFO et al.: ELECTRON DEVICE MODEL PARAMETER IDENTIFICATION

1999

TABLE II EXTRINSIC PARASITIC ELEMENTS ADOPTED FOR DIFFERENT pHEMT MODELS (SEE TEXT)

TABLE IV EXTRINSIC S -PARAMETER ERROR COEFFICIENTS FOR THREE DIFFERENT pHEMT MODELS (SEE TEXT)

TABLE III INTRINSIC Y -PARAMETER ERROR COEFFICIENTS FOR THREE DIFFERENT pHEMT MODELS (SEE TEXT)

Intrinsic elements of models “A” and “B” are then identified according to standard procedures after measurements deembedding from the corresponding parasitic networks. The intrinsic parameters of the three extracted models are shown in Table I. The identification of the parameters affecting the static device behavior is carried out, for all the three models extracted, through the standard fitting of dc intrinsic drain current characteristics, while the remaining parameters, influencing the device dynamics (outlined by bold characters in Table I), are identified on the basis of a multibias multifrequency fitting of intrinsic differential parameters. We consider an error function , defined , as the sum of four -parameter-based coefficients such as

(1) and are the number of bias and frewhere are the intrinsic admittance quency points, respectively, parameters obtained from measurements after parasitic elare the corresponding ements deembedding, and model simulations. The values of the four error coeffi, adopting (in the voltage range cients V V V V) and (in the frequency range GHz GHz), are shown in Table III for the three models extracted. The EEHEMT1 intrinsic model is based on a drain current source, which is controlled by the delayed gate–source voltage and by the drain–source voltage . Thus, the model parameter is considered here as an explicit indicator of the typical intrinsic device “memory time.” The values obtained for the three models considered are also shown in Table III. As can be seen, the best model fitting figures have been obtained with a very short memory time of the intrinsic device. The three models are also compared here at the extrinsic ports, by means of a commonly adopted metric, based on -parameter fitting. In particular, error coefficients ,

Fig. 2. IMD versus output power (single carrier level) of three different pHEMT models. Circles (meas.), diamonds (“A”), crosses (“B”), squares (“C”). Bias: V = 0:55 V, V = 6:5 V; Freq = 37 GHz; Z = 30:7 j 0:88 ; Z = 49:5 j 9:6 .

0 3

0

0 3

formally similar to those summed in (1). but based on scattering instead of admittance parameters, are evaluated here. Corresponding values are shown in Table IV. Although the error coefficients give clear indication of the very poor accuracy of model “A” (based on purely resistive parasitic elements), the same is not so evident when models “B” and “C” are compared. These two models seem to provide quite similar quality figures when compared at extrinsic ports. However, both intrinsic -parameter-based figures (see Table III) and large-signal validation tests, such as intermodulation distortion (IMD) prediction shown in Fig. 2, make it clear that model “C” is quite a better model than “B.” III. ERROR FUNCTION DEFINITION The discussion and results provided in Section II suggest that model validation/comparison tests carried out in the admittance domain at the intrinsic device ports are extremely meaningful. On this basis, we propose here an electron device parameter optimization error function, defined on static and dynamic admittance parameters at the intrinsic device ports, which can be used either as an alternative or in addition to (1), during the model identification phase. A. Finite Memory Modeling Approach The voltage-controlled description of the intrinsic device presented in [18] and [19] is briefly recalled in the following. In particular, the device current/voltage relationship of an electronic device may be generically expressed in the time domain as1 (2) 1For the sake of notation simplicity, a single-port electron device is taken into account. The following mathematical discussion, however, when extended to vector expressions, is still valid in the multiport case.

2000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

where is a nonlinear functional, and are the represents the current and voltage at the device port, while practically finite memory duration of the electron device. In (2), the instantaneous current is not only dependent on the applied at the actual time instant , but also on past voltage voltage with ranging from 0 to . Without any loss values of generality, (2) is replaced by (3) where the dynamic voltage deviations have been and considering introduced (by summing and subtracting a modified functional instead of ). It has been shown [18], [19] that under the hypothesis of a short duration of nonlinear memory effects, (3) can be linearized with respect to the dynamic voltage deviations leading to

(4) where is the static characteristic of the intrinsic device, while the nonlinear convolution integral takes into account the nonlinear purely dynamic phenomena. By expressing the convolution integral in the frequency domain, (4) can be replaced with the following frequency-domainoriented relationship:

(i.e., a device where charges are algebraic functions of the instantaneous port voltages). When nonquasi-static effects are present, (6) still provides a good accuracy as far as being the fundamental frequency of the periodic voltage at the device port [22]. On the basis of the above considerations, large-signal current expression (6) has been used in [20] for the definition of a new small-signal-based metric able to preliminarily verify the accuracy of a generic model under large-signal operation without actually performing an experimental large-signal validation test. Here, (6) will be exploited for the definition of an error function to be used in the optimization of model parameters. To this end, a preliminary identification of the dc model parameters is supposed to be carried out by means of standard procedures based on the fitting of measured static device characteristics. This usually leads to extremely good model accuracy of the static device behavior so that negligible errors under dc operation will be assumed in the following. Instead, we now address the problem of identifying all the model parameters affecting the device dynamics. Let us now consider a two-port electron device operating in a generic dynamic nonlinear regime. During the optimization of equivalent circuit model parameters, the dynamic admittance corresponding to (7) may be directly elements evaluated through simulations and a dynamic admittance deviation matrix can be defined as follows: (8)

(5) or, equivalently, by considering discrete spectrum signals with (6)

and represent a generic bias where voltage condition and the angular frequency, respectively, while is the dynamic admittance element , corresponding to (7) and evaluated from measurements. In a completely generic nonlinear regime, we describe the discrete-spectrum voltages at the intrinsic device ports by the Fourier representation

By means of linearization of (6) with respect to the instantaaround a generic bias condition , it can neous operation represents a purely dynamic be found that the term admittance expressed as (7) where is the static conductance, while sical bias-dependent small-signal admittance.

is the clas-

and (9) . Thus, where on the basis of (6), the rms error on the device currents can be expressed as

B. New Error Function Equations (6) and (7) represent a very general description for the behavior of the intrinsic device, and it has been demonstrated [22] that quasi-static model approaches based on a charge-controlled description represent a special case of (6). In this respect, the following is pointed out. • Equations (6) and (7) represent an explicit current/voltage relationship providing a direct link between the large-signal electron device performance and conventional measurements under static and small-signal dynamic excitations. • The large-signal performance predicted by (6) and (7) is rigorously correct for a purely quasi-static intrinsic device

(10) and

(11)

RAFFO et al.: ELECTRON DEVICE MODEL PARAMETER IDENTIFICATION

2001

where the signal period has been divided into discrete time intervals for practical numerical evaluation and is the vector of the instantaneous intrinsic voltages. If the samples of the instantaneous voltage waveforms and the complex voltage phasors were known for a particular nonlinear device operation, (10) and (11) could be exploited for the definition of an error function such as (12) Fig. 3. Static drain current characteristics at the intrinsic pHEMT ports ( 0:85 V < V < 0:25 V; step 0.1 V): measurements (crosses) versus model “D” predictions (continuous lines).

0

where

(13) and

(14) Unfortunately, evaluation of (12) is not directly feasible due to the lack of the a priori knowledge of the instantaneous voltage regime at the intrinsic device ports. However, once the dc model parameters have been identified through standard static curve-fitting procedures, a rough first-order estimation of the voltage waveforms may yet be obtained. In particular, this can be accomplished by means of a preliminary (i.e., carried out before entering the actual model parameter optimization loop) harmonic balance simulation, where the purely dc model thus far obtained is associated with the device. The identified voltage waveforms will be obviously quite different from those in the final large-signal device application since the device behaves in dynamic operation very differently from the purely static case, both due to the high-frequency nonlinear dynamics and the low-frequency dispersive phenomena (like self-heating and charge trapping in III–V field-effect transistors (FETs) [26]–[30]). Nevertheless, this approximated identification of represents the instantaneous voltage waveforms a sufficiently good estimation to the end of error function evaluation and model parameter identification, as will be shown in Section IV. Error function (12) is extremely flexible since it can be “adapted” to many foreseen device applications. In fact, very different large-signal operating conditions may be forced with the preliminary harmonic balance simulation through proper settings of the bias voltages and the load impedance. Accordingly, very different combinations of admittance parameters will be obtained in (10)–(12), leading in turn to model parameter identifications tailored to a particular nonlinear regime. In this context, the choice of the intrinsic loading impedance as purely resistive is likely the most appropriate, at least when no

0

indications are available about more realistic loads. A resistive loadline will also correspond to a reduced set of bias conditions involved in the multibias error function definition (no reactive effects present in the loadline). IV. EXPERIMENTAL VALIDATION Although the proposed parameter identification approach could be effectively exploited in applications where transistors are operated at appreciable gain compression, this is not the best example in order to highlight its major advantages. In fact, advanced equivalent circuit models, such as, e.g., EEHEMT1, quite often ensure more than acceptable predictions of the saturated device behavior when extracted according to standard identification procedures based on global fitting of the I/V and -parameter characteristics (e.g., [5] and [6]). Instead, prediction capabilities of such types of models tend to be scarce and often largely inadequate for reliable circuit design procedures when mild nonlinear operation is involved. Such a context will thus be considered in the following. A. Model Parameter Identification for Highly Linear PAs The proposed parameter identification approach is here applied to a pHEMT model for applications in highly linear PAs for high-capacity digital radio links. In order to see how the proposed error function (12) allows to improve the model accuracy under large-signal operating conditions, a further model (“D”) was identified for the same 0.25- m GaAs pHEMT used for the experimental tests discussed in Section II. In particular, the new model was identified -band, class-A PA. by considering the design of a The same (intrinsic and extrinsic) circuit topology of model “C” was adopted even for the “D” one in such a way to ensure coherent comparisons. Moreover, the same parasitic elements identified for model “C” (see Table II) were deembedded from measurements and the same model parameters affecting the dc device behavior were adopted also for model “D.” Fig. 3 shows the comparison between modeled and measured static characteristics. Moreover, in order to quantify the dc model accuracy, [25]: we adopted the following error coefficient (15)

2002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE V ERROR FUNCTION BEFORE AND AFTER OPTIMIZATION

Fig. 4. Measured static drain current characteristics at the intrinsic pHEMT ports ( 1:5 V < V < 0 V, step 0.05 V). Filled dots correspond to the selected loadline (see text).

0

where is the number of bias conditions considered, while and are the measured and simulated static drain cur% error justifies the sucrents. The extremely low cessive model optimization only on the basis of the dynamic error terms (10) and (11). The identification of the parameters of model “D” affecting the purely dynamic device behavior was then carried out. To this end, goal function (12) was adopted instead of (1) for the corresponding gradient-based parameter optimization. As discussed in Section III, the a priori knowledge of the gate–source gate–drain voltage waveforms at the intrinsic device ports in the device final operation mode is not available. However, by adopting the purely dc device model thus far extracted and a simplified yet significant loadline, an approximate indication of the required voltage waveforms can be obtained. To this end, and considering the particular application chosen, the intrinsic loadline was selected as purely resistive and with a quiescent point corresponding to mA, V. Finally, a gate–source voltage amplitude as large as 0.3 V was assumed, leading to a corresponding drain–source amplitude of approximately 2 V. These values were estimated as wide enough to generate the nearly maximum distortion levels allowed in the particular application. Evaluation of error function (12) may be carried out in this case in an extremely simple way. In fact, the preliminary harmonic balance simulation can, as a first approximation, even be avoided here. When the device is modeled by means of a purely dc model, the input port is ideally equivalent to an open circuit. Thus, independently of the signal source impedance chosen, the at the intrinsic input port coininstantaneous waveform cides with the applied sinusoidal excitation.2 In addition, since all the circuit elements are purely resistive, must be out of phase opposition with respect to the input voltage. Moreover, can still due to the extremely low-distortion involved, be considered as sinusoidal. Thus, both voltages at the device ports are known in advance and evaluation of (12) can be even made in closed form. The selected loadline is shown in Fig. 4 superimposed to the dc characteristics of the intrinsic device. 2This

is not strictly true due to the presence of the parasitic resistance R . However, to the end of evaluating the approximated waveforms of the intrinsic voltages v (t); v (t), the sinusoidal excitation may be thought as applied straight to the intrinsic input port of the device.

Fig. 5. Trend of the optimization total error function versus the number of iterations.

The slight deviation from linear behavior observed is conse. quent to the idealized assumption of zero distortion on The filled dots, shown in Fig. 4, correspond to the voltage samples considered for the numerical evaluation of error functions (10)–(12). It is worth noticing that, by adopting a constant time step for voltage sampling, a corresponding nonuniform voltage step is obtained according to the actual periodic large-signal regime. The resulting effect is that a higher number of bias conditions is automatically taken into account by (10)–(12) in those regions of the dynamic voltages loadline, where the device operates the most part of the time period. Obviously, this will make those regions automatically more weighted than the others. Since an approximate estimation of the voltage waveforms is now available, the optimization of the intrinsic model parameters affecting the pHEMT purely dynamic behavior (the same outlined by bold characters in Table I) may be carried out. To this aim, the corresponding model-“C” parameter values were assumed as an initial guess for the optimization variables. The error functions (10)–(12) before and after optimization, corresponding to model “C” and “D,” respectively, are reported in Table V. Moreover, the total error function versus the iteration number is shown in Fig. 5. The dramatic reduction after a few iterations gives clear indication of a well-conditioned optimization goal. No more than 1 min of optimization time was required to achieve the final solution. The optimized model “D” parameter set is reported in Table VI. B. Large-Signal Model Comparison and Validation In order to evaluate the large-signal prediction accuracy of the model extracted by means of the proposed error function, thirdorder IMD under two-tone excitation (10-MHz tones displacement) was measured at 37 GHz with different combinations of

RAFFO et al.: ELECTRON DEVICE MODEL PARAMETER IDENTIFICATION

2003

TABLE VI EEHEMT1 PARAMETER VALUES OF MODEL “D”

Fig. 7. Third-order IMD versus output power (single carrier level) for the 0.25-m GaAs pHEMT at 37 GHz (bias: V = 0:55 V, V = 5:5 V (top), V = 0:55 V, V = 7:5 V (bottom), load: Z = 30:8 j 0:8 , j 6:0 ). Measurements (circles) are compared source Z = 44:2

to predictions based on different models based on the EEHEMT1 intrinsic topology, namely, “D” (triangles), “C” (squares), and “E” (crosses).

0

Fig. 6. Third-order IMD versus output power (single carrier level) for the 0.25-m GaAs pHEMT at 37 GHz (bias: V = 0:55 V, V = 6:5 V, load: Z = 30:7 j 0:9 (top) Z = 14:4 + j 9:7 (bottom), j 9:6 ). Measurements (circles) are compared source Z = 49:5

to predictions based on different models based on the EEHEMT1 intrinsic topology, namely, “D” (triangles), “C” (squares), and “E” (crosses).

0 3 0 3

0

3

bias conditions and source/load impedances. Large-signal predictions based on the models “C” and “D” are compared to measurements in Figs. 6 and 7. As expected, the improvement in the IMD prediction capability is thoroughly important. In addition, different tests were carried out in order to validate the robustness of the proposed error function. In particular, we now reconsider model “B,” already presented in Section II. Model “B” was identified with standard procedures according

0

0 3

0 3

to the (sub-optimal) choice of the extrinsic parasitic elements shown in Table II. By proceeding similarly as in Section III, the parameters affecting the dynamic behavior of model “B” were further optimized by using the proposed error function (10)–(12), leading to a new model, namely, model “E.” The corresponding prediction results are also shown in Figs. 6 and 7. As can be seen by inspection of these figures, the positive effects of the optimization are still important in comparison to model “C.” The latter, even if identified on the basis of the best choice of extrinsic parasitic elements, was extracted by using the standard error function (1) on a rectangular grid of voltages. This shows that meaningful model parameter identification, i.e., providing good large-signal model accuracy, is achievable through (10)–(12) even by considering quite different extrinsic parasitic elements, provided that the duration of memory effects of the intrinsic device under voltage excitation are sufficiently short. As previously observed, one of the important features of the proposed error function (10)–(12) for model parameter optimization consists of the appropriate way of combining the elements of the small-signal parameters matrix. In order to show how this can be relevant in obtaining meaningful parameter extractions, we identified a last model, namely model “F.” This is coincident with model “C,” where the parameters affecting the dynamic behavior were optimized on the basis of error function (1). However, in this case, (1) was evaluated in the same bias voltages corresponding to the loadline shown in Fig. 4, instead of using a rectangular grid of voltages. Intermodulation prediction accuracy of model “F” is compared in Figs. 8 and 9 with model “C” under different bias and loading conditions. It is clear

2004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

V. CONCLUSION In this paper, a new error function for parameter identification of equivalent-circuit empirical device models was presented. Although the error function is based on standard dc and small-signal parameter data, it can be specifically exploited in order to tailor the device model to specific large-signal applications. Conventional model identification approaches were compared to the proposed procedure providing the chance of discussing important aspects related to device modeling. Different equivalent circuit models, based on the well-known EEHEMT1 topology, were extracted for a 0.25- m GaAs pHEMT aimed at MMIC highly linear PA design. Experimental validation of the proposed approach was provided through meaningful comparisons of third-order IMD of PAs adopting different bias and loading conditions. REFERENCES

Fig. 8. Third-order IMD versus output power (single carrier level) for the = 0:55 V, V = 6:5 V, 0.25-m GaAs pHEMT at 37 GHz (bias: V load: Z = 30:7 j 0:88 (top) Z = 14:4 + j 9:7 (bottom), j 9:6 ). Measurements (circles) are compared source Z = 49:5

to predictions based on different models based on the EEHEMT1 intrinsic topology, namely, “C” (squares) and “F” (crosses).

0

0 3 0 3

3

Fig. 9. Third-order IMD versus output power (single carrier level) for the 0.25-m GaAs pHEMT at 37 GHz (bias: V = 0:55 V, V = 5:5 V (top), V = 0:55 V, V = 7:5 V (bottom), load: Z = 30:8 j 0:8 , source Z = 44:2

j 6 ). Measurements (circles) are compared to predictions based on different models based on the EEHEMT1 intrinsic topology, namely, “C” (squares) and “F” (crosses).

0

0

0 3

0 3

that, on the whole, model “F” provides a similar or even worse accuracy than model “C.”

[1] A. Materka and T. Kacprzak, “Computer calculation of large-signal GaAs FET amplifier characteristics,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 2, pp. 129–135, Feb. 1985. [2] W. R. Curtice, “A MESFET model for use in the design of GaAs integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 5, pp. 448–455, May 1980. [3] H. Statz, P. Newman, I. Smith, R. Pucel, and H. Haus, “GaAs FET device and circuits simulation in SPICE,” IEEE Trans. Electron Devices, vol. ED-34, no. 2, pp. 160–169, Feb. 1987. [4] D. E. Root et al., “Technology independent large-signal non quasistatic FET models by direct construction from automatically characterized device data,” Proc. 21st Eur. Microw. Conf., pp. 927–932, Sep. 1991. [5] I. Angelov, L. Bengtsson, and M. Garcia, “Extensions of the Chalmers nonlinear HEMT and MESFET model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1664–1674, Oct. 1996. [6] V. I. Cojocaru and T. Brazil, “A scalable general-purpose model for microwave FET’s including DC/AC dispersion effects,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2248–2255, Dec. 1997. [7] H. A. Willing, C. Rauscher, and P. De Santis, “A technique for predicting large-signal performance of a GaAs MESFET,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 12, pp. 1017–1023, Dec. 1978. [8] J. Staudinger, M. Golio, C. Woodin, and M. C. de Baca, “Considerations for improving the accuracy of large-signal GaAs MESFET models to predict power amplifier circuit performance,” IEEE J. SolidState Circuits, vol. 29, no. 3, pp. 366–373, Mar. 1994. [9] M. Miller, M. Golio, B. Beckwith, E. Arnold, D. Halchin, S. Ageno, and S. Dorn, “Choosing an optimum large signal model for GaAs MESFETs and HEMTs,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, pp. 1275–1282. [10] H. Fukui, “Determination of the basic device parameters of a GaAs MESFET,” Bell Syst. Tech. J., vol. 58, no. 3, pp. 771–795, 1979. [11] G. Dambrine, A. Coppy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [12] K. Remley, J. Jargon, D. Schreurs, D. DeGroot, and K. C. Gupta, “Repeat measurements and metrics for nonlinear model development,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, 2002, pp. 2169–2172. [13] J. W. Bandler, Q.-J. Zhang, S. Ye, and S. H. Chen, “Efficient largesignal FET parameter extraction using harmonics,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 2099–2108, Dec. 1989. [14] B. R. Epstein, S. M. Perlow, D. L. Rhodes, J. L. Schepps, M. M. Ettenberg, and R. Barton, “Large-signal MESFET characterization using harmonic balance,” in IEEE MTT-S Int. Microw. Symp. Dig., 1988, vol. 2, pp. 1045–1048. [15] A. Werthorf, F. Van Raay, and G. Kompa, “Direct nonlinear FET parameter extraction using large-signal waveform measurements,” IEEE Microw. Guided Wave Lett., vol. 3, no. 5, pp. 130–132, May 1993. [16] B. Toner and V. F. Fusco, “Direct nonlinear FET parameter extraction using large-signal waveform measurements,” Proc. Inst. Elect. Eng. —Microw., Antennas, Propag., vol. 150, pp. 451–458, Dec. 2003.

RAFFO et al.: ELECTRON DEVICE MODEL PARAMETER IDENTIFICATION

[17] J. M. Golio, Microwave MESFET & HEMTs. Norwood, MA: Artech House, 1991, pp. 240–273. [18] F. Filicori, G. Vannini, and V. Monaco, “A nonlinear integral model of electron devices for HB circuit analysis,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1456–1465, Jul. 1992. [19] D. Mirri, G. Iuculano, F. Filicori, G. Pasini, G. Vannini, and G. Pellegrini, “A modified Volterra series approach for nonlinear dynamic system modeling,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 8, pp. 1118–1128, Aug. 2002. [20] A. Raffo, A. Santarelli, P. A. Traverso, G. Vannini, and F. Filicori, “A small-signal parameter-based metric for nonlinear models of electron devices,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1101–1104. [21] S. A. Maas, Nonlinear Microwave and RF Circuits, 2nd ed. Norwood, MA: Artech House, 2003. [22] F. Filicori, A. Santarelli, P. A. Traverso, A. Raffo, G. Vannini, and M. Pagani, “Non-linear RF device modelling in the presence of lowfrequency dispersive phenomena,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 81–94, Jan. 2006. [23] Nonlinear Devices, Agilent ADS. Agilent Technol., Palo Alto, CA, 2003. [24] “Agilent IC-CAP Reference Manual” Agilent Technol., Palo Alto, CA. [Online]. Available: http://www.agilent.com/find/eesof-knowledgecenter [25] M. Pirazzini, G. Fernández, A. Alabadelah, G. Vannini, M. Barciela, E. Sánchez, and D. Schreurs, “A preliminary study of different metrics for the validation of device and behavioral models,” in IEEE 65th Automat. RF Tech. Group Microw. Meas. Conf. Dig., Long Beach, CA, Jun. 2005, [CD ROM]. [26] J. A. Reynoso-Hernandez, L. Escotte, R. Plana, and J. Graffeuil, “Deep level characterisation in GaAs FETs by means of the frequency dispersion of the output impedance,” Electron. Lett., vol. 31, no. 8, pp. 677–678, Apr. 1995. [27] D. R. Webster, K. van der Zanden, G. R. Ataei, M. T. Hutabarat, D. Schreurs, and D. G. Haigh, “Large signal frequency dispersion effects in indium phosphide HEMTs,” IEEE Adv. Semicond. Devices Colloq., pp. 8/1–8/10, Jan. 1999, Ref. 1999/025. [28] J. M. Golio, M. G. Miller, G. N. Maracas, and D. A. Johnson, “Frequency-dependent electrical characteristics of GaAs MESFET’s,” IEEE Trans. Electron Devices, vol. 37, no. 5, pp. 1217–1227, May 1990. [29] J. Rodriguez-Tellez, T. Fernandez, A. Mediavilla, and A. Tazon, “Characterization of thermal and frequency-dispersion effects in GaAs MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1352–1355, Jul. 2001. [30] A. Raffo, A. Santarelli, P. A. Traverso, M. Pagani, F. Palomba, F. Scappaviva, G. Vannini, and F. Filicori, “Accurate pHEMT nonlinear modeling in the presence of low-frequency dispersive effects,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3449–3459, Nov. 2005.

Antonio Raffo (S’04–M’07) was born in Taranto, Italy, in 1976. He received the M.S. degree (with honors) in electronic engineering and Ph.D. degree in information engineering from the University of Ferrara, Ferrara, Italy, in 2002 and 2005, respectively. Since 2002, he has been with the Electronic Department, University of Ferrara, where he is currently a Contract Professor of electronic instrumentation and measurement. His research activity is mainly oriented to nonlinear electron device characterization and modeling and circuit-design techniques for nonlinear microwave and millimeter-wave applications. Dr. Raffo is a member of the Italian Association on Electrical and Electronic Measurements. He was the recipient of the Student Paper Prize presented at the 2005 European Gallium Arsenide and other Compound Semiconductors Application Symposium.

2005

Alberto Santarelli (M’97) received the Laurea degree in electronic engineering and Ph.D. degree in electronics and computer science from the University of Bologna, Bologna, Italy in 1991 and 1996, respectively. From 1996 to 2001, he was a Research Assistant with the Research Center for Computer Science and Communication Systems, Italian National Research Council (IEIIT-CNR), Bologna, Italy. In 2001, he joined the Department of Electronics, Computer Science and Systems (DEIS), University of Bologna, where he is currently an Associate Professor. During his academic career, he has been a Lecturer of applied electronics, industrial electronics, and electronics for communications. His main research interests are electron device nonlinear modeling and circuit design for nonlinear microwave applications. Prof. Santarelli is a member of the Federazione Italiana di Elettrotecnica, Elettronica, Automazione, Informatica e Telecomunicazioni (AEIT). Pier Andrea Traverso (M’03) was born in Modena, Italy, in 1969. He received the M.S. degree in electronic engineering and Ph.D. degree in electronic and computer science engineering from the University of Bologna, Bologna, Italy, in 1996 and 2000, respectively. He is currently a Research Associate with the Department of Electronics, Computer Science and Systems, University of Bologna. His main research activity is in the areas of nonlinear dynamic system characterization and modeling, microwave and millimeter-wave device characterization and modeling, and sampling instrumentation. Dr. Traverso is a member of the Italian Association of Electrical and Electronic Measurements. Giorgio Vannini (S’87–M’92) received the Laurea degree in electronic engineering and Ph.D. degree in electronic and computer science engineering, from the University of Bologna, Bologna, Italy, in 1986 and 1992, respectively. In 1992, he joined the Department of Electronics, University of Bologna, as a Research Associate. Since 1998, he has been an Associate Professor with the Faculty of Engineering, Department of Engineering, University of Ferrara, Ferrara, Italy where he is currently a Full Professor of electronics. During his academic career, he has been a Teacher of applied electronics, electronics for communications, and industrial electronics. His research activity is mainly devoted to electron device modeling, computer-aided design techniques for MMICs, and nonlinear circuit analysis and design. Prof. Vannini is a member of the Technical Program Committee of the European Microwave Week Conference. He was the recipient of the Best Paper Prize presented at the 25th European Microwave Conference. Fabio Filicori received the Dr. Ing. degree in electronic engineering from the University of Bologna, Bologna, Italy, in 1974. In 1974, he joined the Department of Electronics, Computer Science and Systems, University of Bologna, initially as a Research Associate, and later became an Associate Professor of applied electronics. In 1990, he became Full Professor of applied electronics with the University of Perugia. In 1991, he joined the Faculty of Engineering of the University of Ferrara, where he was Full Professor responsible for the “Electronic Engineering” degree course. He is currently a Full Professor of electronics with the Faculty of Engineering, University of Bologna, where he is also responsible for the “Electronic, Computer Science and Communications Engineering” Ph.D. course. During his academic career, he has held courses on computer-aided circuit design, electron devices and circuits, and power electronics. His main research activities are in the areas of computer-aided design techniques for nonlinear microwave circuits, electron device nonlinear modeling, and electronic measurements. Dr. Filicori was a member of the Editorial Board for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is a member of the Technical Program Committee of the European Microwave Week Conference.

2006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications Jeongmin Jeon, Student Member, IEEE, and William B. Kuhn, Senior Member, IEEE

Abstract—A power amplifier (PA) is designed for a surface-toorbit proximity link microtransceiver on Mars exploration rovers, aerobots, and small networked landers and works in conjunction with a 0.2-dB loss transmit/receive switch to allow nearly the full 1 W to reach the antenna. The fully integrated UHF CMOS PA with more than 30-dBm output is reported for the first time. A differential pMOS structure with floating-bias cascode transistors and 1 : 3-turn ratio output transformer are chosen to overcome low breakdown voltage ( bk ) of CMOS and chip area consumption issues at UHF frequencies. The high-Q on-chip transformer on a sapphire substrate enables the differential PA to drive a single-ended antenna effectively at 400 MHz. The PA in a standard package delivers 30-dBm output with 27% power-added efficiency. No performance degradation was observed in continuous-wave operation and the design has been tested to 136% of its nominal 3.3-V supply without failure. Index Terms—CMOS, power amplifiers (PAs), transceiver, transformer, transmit/receive (TR) switch.

I. INTRODUCTION N JANUARY 2004, photographic panoramas from another world were transmitted to Earth by the Mars Exploration Rovers, Spirit and Opportunity, captivating the public’s attention. In the following year, more than 90 Gbits of photographs and data were relayed through the UHF surface-to-orbiter proximity links [1], offering evidence of past liquid water environments in which life could have developed. Despite their great scientific functionality and achievements, the rover’s large size ( 5 m ) and mass ( 185 Kg) allowed only one rover per launch, limiting surface exploration of the planet to only two sites [2]. If a number of much smaller scout vehicles could be designed, the number of successful missions at given revenue would increase dramatically and many more sites could be explored. The Mars microtransceiver project, under which this study was carried out, targets significant reductions in size, mass, and power consumption of the UHF communications radio system as an enabling technology for such future missions [3]. Although reduction of a radio communication circuit’s size/mass comes directly from high integration, the associated power amplifier (PA) is implemented traditionally in a compound semiconductor process and requires additional off-chip components. A fully integrated CMOS PA would let a miniature

I

Manuscript received December 13, 2006; revised May 29, 2007 and June 26, 2007. This work was supported by the Jet Propulsion Laboratory under the Mars Technology Program and by the California Institute of Technology under contract with the National Aeronautics and Space Administration. The authors are with Department of Electrical and Computer Engineering, Kansas State University, Manhattan, KS 66506-5204 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.905481

Fig. 1. Microtransceiver layout floor plan. From [4].

transceiver unit be built with two analog chips, one digital chip, and a few off-chip parts, as illustrated in Fig. 1 [3], [4]. Moreover, an integrated UHF-band PA opens the possibility for a single-chip microtransceiver implemented entirely in the space-qualified silicon-on-sapphire (SOS) CMOS process selected for this study. Efforts to build a watt-level CMOS PA can be found in numerous papers. 1-W output has been successfully demonstrated in the 1.9-GHz cellular frequency band in [5]. However, due to the lossy silicon substrate, bond-wire inductances and an off-chip microstrip-line balun were utilized. A similar level of performance was also achieved in a single-ended structure at 1.8 GHz with comparable use of off-chip components [6]. Yoo and Hwang have shown that a common-gate switching technique can avoid the CMOS’s low voltage breakdown problem in the 900-MHz 0.9-W PA [7] and a very high-efficiency fully differential PA was reported by Mertens and Steyaert [8]. However, both have to depend on off-chip circuit components or be forced to interface a differential antenna nearby in the absence of a high-quality inductor/balun. A fully integrated 2.4-GHz 2.2-W CMOS PA was reported by Aoki et al. where a distributed active transformer (DAT) combines outputs of circularly laid-out differential pairs [9]. The DAT technique fits well for the 2.4-GHz application, but the Mars transceiver’s much lower operating frequency (400 MHz at TX, 435 MHz at RX) makes it impossible to fit such a PA on a 3 3 mm die. This paper describes challenges in CMOS integration of watt-level PAs at UHF in Section II and their solution and improvements by new design techniques such as using high p-channel devices and a 1 : 3 ratio on-chip transformer in Section III. The companion TR switch function is addressed in Section IV. Measurements are then summarized in Section V followed by conclusions in Section VI.

0018-9480/$25.00 © 2007 IEEE

JEON AND KUHN: FULLY INTEGRATED UHF CMOS PA FOR SPACECRAFT APPLICATIONS

2007

III. PMOS CASCODED DIFFERENTIAL CLASS-E PA DESIGN Designing RF PAs involves close attention to efficiency, signal swings, device breakdown, and resonant circuit . A. Selection of PA Class for Good Efficiency

Fig. 2. Spiral inductor in SOS. (a) Test structure of a 450 (b) Q plot.

2 450 m

inductor.

II. FULLY INTEGRATED CMOS PA: CHALLENGES A fully integrated watt-level CMOS RF PA has been a formidable task due to barriers given by CMOS processes. In order to deliver higher output power, the net size of the transistors must increase proportionally. Since CMOS does not have as much gain as GaAs, very wide gates are needed and associated large gate capacitance becomes hard to match. Moreover, when multiple transistor cells are used, parasitic inductance and resistance in gate and source distribution networks drop capacitive input , effectively increasing input conductance. Similar problems are found when bond-wire parasitics are considered, such that many designs have moved toward differential structures. For watt-level output at a 50- load, voltage swing should . GaAs and LDMOS can handle much be larger than 10 higher drain–source voltage than CMOS and multiwatt PAs have been reported without extra efforts to reduce the drain voltage swing in these processes [10]–[12]. However, CMOS transistors will be broken by the stress in the same circuit. Therefore, cascoding, output transformation to increase output voltage, and/or combinations of multiple outputs are required. Stability issues must also be considered. Since PAs create a variety of input/output feedback paths through magnetic coupling, and transistor parasitic capacitance and signal levels are very large, careful layout and modeling are essential before fabrication. Finally, heat dissipation in the PA is hard to simulate in advance due to the concentration of heat at the transistor channel regions. If we assume a fully integrated PA has 50% drain efficiency (DE) and 1-W output, which is not very easy in CMOS, the PA must dissipate 1 W as heat, spreading it away from the transistor drains as efficiently as possible since temperature increase in the devices results in carrier mobility reduction and degrades overall performance. Whereas innovative design strategies are needed to address many of the aforementioned challenges, some of them can be relieved by using an RF-aware CMOS process. In this project, SOS is used due to its potential for high-quality inductors [13]. As shown in Fig. 2, the maximum of a 3.25-turn inductor is close to 20 at -band and can exceed 10 at UHF, which is approximately twice as high as that of the inductor on a silicon substrate with similar dimensions [13], [14]. In addition, its radiation hardness is well suited in the Mars application [15].

In the absence of complex linearization systems, linearity is often sought using a class-AB mode design. RF CMOS class-AB PAs have reported around 30% power-added efficiency (PAE) with satisfactory linearity for their application objectives [16]–[18]. Yet, there are additional nonlinear mode PAs like class-D, class-E, class-F, and so on, which may be applicable for certain modulation types—notably Gaussian minimum shift keying (GMSK). In these modes, the transistors act as either switches or saturated current sources and the output power is not proportional to the input power—instead it is a function of power-supply voltage. By giving up linear input/output relations, these PAs can convert all the dc power into RF output power theoretically and they are suitable for systems with constant amplitude modulation such as GMSK and unfiltered binary phase-shift keying (BPSK), quadrature phase-shift keying (QPSK), and residual-carrier BPSK used in spacecraft systems. Space systems based on the Proximity-1 Space Link Protocol [19] have relaxed linearity requirements. Thus, a nonlinear mode PA is the focus of this paper. Although PAs using nonlinear modes can acquire a theoretical 100% efficiency, practical limitations keep the efficiency well below perfection. For example, the class-D mode has rarely been implemented in RF CMOS because its finite switch transition time and parasitic capacitance results in high drain voltage and current product [20]. The multiharmonic tuned class-F mode is not practical since the additional on-chip harmonic resonators involve more loss rather than increasing the efficiency. However, the class-E mode was invented originally for no switch transition loss and needs only one resonator at its output network. Moreover, a differentially driven class-E with a finite RF choke inductor has extra advantages such as less sensitivity to bond-wire parasitics, good even-order harmonic rejection, and low resistive loss across the RF choke inductor [9], [11]. In this paper, we choose the cascoded differential class-E mode for high efficiency and reproducibility. A watt-level output PA is realized without violating breakdown voltage limit through the use of pMOS devices with high , cascode differential switching, and an on-chip 1 : 3 ratio transformer, as shown in Fig. 3. B. Signal Swing and Breakdown Issues tends to As a CMOS process scales, its drain–source scale. For example, at 0.35 m, transistors may break down at around 4 V, while at 0.18 m, devices would fail at only 2 V. Fortunately, in the selected SOS process, good high-frequency performance exceeding that of 0.35- m bulk CMOS is achieved at 0.5 m [15]. Moreover, measurements indicate is well above 4 V in the targeted that the pMOS transistor of the SOS process, as shown in Fig. 4. Although the high pMOS device is traded with lower transconductance and higher switch resistance, the DE of the PA can still improve with higher power supply. In an ideal class-E PA, maximum drain voltage

2008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 5. Half circuit schematics: (a) at fundamental and odd harmonics and (b) at even harmonics.

where

is load resistance (4)

Fig. 3. Cascoded differential class-E PA schematics. Inductance and coupling coefficient are extracted from an electromagnetic simulator k : and k k : ). (k

=

= 0 73

=

Efficiency of the class-E PA with switch on-resistance loss [21] is found as function of and by substituting with (4) as follows:

= 0 75

(5)

Fig. 4. pMOS cascode transistors V test. (a) Schematics. V : V : V; V : V; and W/L = : . (b) V plot.

0 05 = 0 3

= 33

= 20000 0 5

=

I

V

+

versus

is 3.56 . At the maximum voltage, if the MOSFET is much less than , source–drain voltage of threshold levels off at and the common-source transistor source–drain voltage of the common-gate transistor rises up to 2.56 , which must be less than in the cascoded class-E PA [7] (1) Hence, the required breakdown voltage is (2) When is set for the process limit output power is [21]

,

(3)

allows high , the efficiency reduction by Since the high the high of the p-channel device is compensated by the high . For example, an nMOS class-E PA with and V has 67% for 1-W output. When pMOS has and V, the pMOS class-E PA has of 83% despite its larger . The pMOS devices, therefore, form the core of the PA design in this application and the added voltage swing afforded by them is leveraged to achieve the desired 1-W output on a single 3.3-V supply. The schematic of the implemented pMOS cascoded differential class-E PA is shown in Fig. 3. The differential structure provides a near virtual ground to the common-source stage, mitigating gain reduction by bond-wire inductive degeneration. The virtual ground at the output keeps the RF currents in the primary coil from entering the GND bond wire, improving immunity of the design to bond-wire inductance. The pMOS differential pairs are cascoded to allow high voltage swing at the primary and the common-gate transistors are self-biased by a resistor voltage divider without extra bond-wire connection to ground. Large R1 and R2 have little effect on the load circuit at the fundamental and odd harmonics and a virtual ground develops at the common-gate, as shown in Fig. 5(a). At the even harmonics, the primary currents both flow to the center-tap, and no voltage or current is developed in the secondary coil and a virtual open circuit is achieved between M3 and M4. Hence, the drain of M1 sees very high impedance as the dominant load toward the transformer leaving effectively, as shown in Fig. 5(b). Thus, the self-bias enables cascoded switching without altering the differential class-E performance.

JEON AND KUHN: FULLY INTEGRATED UHF CMOS PA FOR SPACECRAFT APPLICATIONS

Measurements of the pMOS cascode test structure in Fig. 4 show that the pMOS cascode transistors break down at V when is fixed at 3.3 V. Since is approximately 3 V with low and negligible overdrive V), the of pMOS is 9 V. voltage ( not to exceed 5 V for reliability since However, we limit the voltage swing is also limited by oxide breakdown voltage, which is approximately 6–7 V for 100-Å-thick oxide [22]. It should be noted that the pMOS used here is not specially manufactured to achieve the high breakdown performance. The performance shown in Fig. 4 is that of standard device in the 0.5- m FC (mixed-signal/RF process with thick tip metal layer and metal–insulator–metal (MIM) capacitor options) peregrine process. C. Transformer Design In this design, the output transformer converts 50- load and tunes output impedance resistance into differential 10 for the class-E mode, requiring a non-1 : 1-turn ratio. On-chip transformers have low coupling between primary and secondary coils and, therefore, the resistance transform ratio does not follow turn ratios quadratically [14]. In order to predict the transformer behavior accurately, the Agilent Momentum electromagnetic simulator was used. Considering power loss and imperfect coupling, 1 : 3-turn ratio is chosen for 1-W output. and coupling The transformer (L5–L8) has in simulation. The transformer efficiency coefficient , calculated by (6) [23], is 79%

2009

Although class-E topology avoids capacitive loss by doing zero voltage switching (ZVS), the common-source output is not shaped for the ZVS and the drain capacitance of the common-source must be charged and discharged every cycle without contributing output power (8) (9) (10) where is capacitance at the drain, is effective is the gate–drain capacitance by Miller’s effects, and and . Equation common-source switch voltage by (8) is rewritten as function of and substituting for by (3), yielding

(11) where (12) The current turn-off time loss is approximated as [24] (13)

(6) For comparison, a of 5 for a transformer on the bulk CMOS process, which is not an underestimated value at 400 MHz [14], results in 59% efficiency by the same equation (6). Two transformers are used for the input balun (L1–L4) and they are wound in opposite directions to minimize the effects of magnetic coupling to the output transformer. Otherwise the coupling would degrade performance and could cause instability. These transformers are smaller in size with resulting lower and efficiency, but are less critical in the overall amplifier efficiency result. D. Power Loss and Efficiency of PA Core The power loss of the PA core is composed of switch loss , capacitive loss , and current turn-off time loss . The total power loss can be estimated by computing each power loss contributor under ideal conditions and summing them [24]. By rearranging (5), the power loss in the half circuit of Fig. 5 at 50% duty cycle operation is due to (7) where resistance.

and

is single-ended load

is turn-off time in radians. The Finally, the efficiency of the PA core is approximated by (14) Since the PA is not tuned for infinite number of harmonics due to low output resonant circuit , the nominal efficiency is assumed to be that of a second and third harmonics tuned class-E PA (80%) [25]. Consequently, the total efficiency is (15) By substituting the parameters for this design where pF, and , the and power losses are , resulting in %. Hence, is approximately 44%. IV. TR SWITCH FUNCTION It has been suggested that a traditional GaAs TR switch can be replaced by an integrated resonant TR switch [26], although experimental results were only provided for the low-noise amplifier (LNA) input protection side of the required SPDT switch. Reference [26] shows that the resonant TR switch can protect an LNA in the 3-V process from up to 5-W PA output. Reference [27] illustrated that the resonant TR switch concept can be integrated into the PA also at up to the 100-mW level, and a fully integrated transceiver RF front-end is implemented. With proper

2010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 7. NF degradation due to the PA output resistance. NF NF from NF .

is the degraded

Fig. 6. Resonant TR switch at the LNA and the PA output transformation by transmission line.

design, the LNA’s input resistance is raised to 1 k during the transmit operation and absorbs only 0.2 dB of the PA’s output power, yielding excellent overall efficiency. Reference [27] also shows that high resistance output from the PA at the receive mode limits degradation of the receiver noise figure (NF) to a negligible value for the 100-mW PA case. In the current 1-W PA design covered in this paper, these techniques are employed to provide a full TR function, as shown in Fig. 6. In the transmit mode, the TX/RXb control signal shorts the LNA input to ground and L1–C1 parallel tank presents high impedance toward the antenna. Assuming a 50- antenna and , most of the power from the PA is radiated through the antenna with little loss. The loss by the switch can be derived as

Fig. 8. Die microphotograph of the PA with bond wires.

(16) When the LNA is implemented to provide a 1-k reflective tercan be held less than mination during transmit, the 5% (0.2-dB loss) [27]. At the receive mode, all the transistors in the PA are shut down and output impedance at the PA chip is inductive due to the secondary output coil. If the LNA sees very high impedance toward the PA output through suitable resonating capacitance or transmission line angle rotations, signal-to-noise ratio at the input is affected minimally. Although the PA output is not resonant at the 435-MHz receive frequency, a short length of transmission line can be added to bring the impedance to a high value. Thus, is not significantly degraded. For the NF of the LNA instance, when an LNA with 3-dB NF is combined with a PA, which presents 400- resistance toward the LNA, the resultant NF is 3.5 dB, as shown in Fig. 7. The NF calculation is detailed in the Appendix, and the additional issue of noise generation in the PA during the receive mode is covered in Section V. V. IMPLEMENTATION AND MEASUREMENTS The PA is fabricated in a 0.5- m Peregrine SOS and the die size is 3.2 3.2 mm . A 52-pin standard package and FR4 printed circuit board (PCB) are used to build a test board. The die microphotograph and the test board photograph are shown

Fig. 9. Test board. Bypass capacitors near the package and one tuning capacitor at the output are used.

in Figs. 8 and 9, respectively. All the metal layers carrying large rms current are drawn obeying electromigration rules. The PA has strong immunity to bond-wire parasitics, as previously explained, allowing it to be placed in the package instead of chip-on-board. Hence, a gold-plated PCB is not necessary for the work. Fourteen bond wires to power supply and ten bond wires to ground are connected around the package and are spaced in order to decrease source resistance and mutual inductance among the bond wires. A 4.7-pF surface mount capacitor is added in series at the output to adjust output network, but this can easily be moved into the chip in the next version. Fig. 10 shows the PA’s output power and PAE with input power variation. It is originally designed for 20-dBm input coming from a separate microtransceiver chip [3], [27]. When

JEON AND KUHN: FULLY INTEGRATED UHF CMOS PA FOR SPACECRAFT APPLICATIONS

Fig. 10.

P

and PAE with P

Fig. 11.

P

and PAE with fixed 20-dBm P

2011

variation.

and power-supply variation. Fig. 12. Drain voltage waveforms of: (a) common source pair and (b) common gate pair with 3.3-V power supply.

the PA is driven by the transceiver, 29 dBm and 29% PAE are measured at 3.3-V dc. Its DE is 33%. The difference from the calculated efficiency predicted by (15) is ascribed to imperfect tuning, modeling inaccuracy, and transformer asymmetry. 30-dBm output is achieved with 24-dBm input with 27% PAE. Output power is also measured over power-supply variation. As shown in Fig. 11, the output power monotonically increases V. Since the input gate bias was up to 31 dBm at not adjustable for the best efficiency during this measurement, the highest PAE is found at 3.3 V where the PA is optimized. While increasing the power-supply voltage, time-domain waveforms at drains of cascoded pairs are probed in order stress. A 1 : 100 needle probe was used and to observe the probed signal was passed through a 6-dB resistive power splitter to trigger a 20-GHz oscilloscope (HP 83480A). Fig. 12 shows that is 5 V for the common-source pair and at the primary coil is approximately 7.5 V at V. V are also shown in Fig. 13. While Waveforms at the self-biased cascoded pairs generate 31-dBm output, the stress is 6 V with 4.5-V power supply. The maximum waveforms do not match optimum class-E’s because the output to reduce transformer loss, which parallel tank has low makes harmonic tuning imperfect. The difference between the simulation and measurements are believed to be from inaccu. No performance setback was rate modeling of parasitic observed in continuous operation of the amplifier at 4.5 V. Frequency response is shown in Fig. 14. At the fixed 20-dBm input power, the PA has very wide bandwidth, approximately at the output net200 MHz, due to low resonance circuit work. The output impedance is measured. When transmission line delay is added into vector network analyzer (HP 8753E) calibration, the output impedance is approximately 360 (Fig. 15).

Fig. 13. Drain voltage waveforms of: (a) common source pair and (b) common gate pair with 4.5-V power supply.

From (A.9), this is equivalent to 0.6-dB degradation in the NF. Finally, off-state noise contribution of the PA is also measured.

2012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 14. Frequency response with fixed 20-dBm P . Fig. 17. Noise model of the TR switch. Added noise S the ideal LNA.

Fig. 15. Output impedance measured at receive mode with plane extension.

0125-ps reference

is separated from

many depend on off-chip components such as bond wires and transmission lines, while others are not easily scaled to lower frequencies. In order to achieve high repeatability and pursue a single chip radio, the off-chip components cannot be used. This study has demonstrated a fully integrated UHF CMOS PA pMOS transistors are casin a CMOS SOS process. High coded instead of nMOS to stand high drain voltage. In addition, a 1 : 3-turn ratio transformer/balun on the SOS process converts a single-ended load resistance to a lower differential resistance with high efficiency. Using both techniques, watt-level output is delivered without multiple PAs’ output combining, off-chip output matching network, and a balun. The PA in a standard package delivers 29 dBm with 29% PAE at 20-dBm input and 3.3-V power supply. Over 30-dBm output with lower PAE is also measured either with higher input power or higher power supply. It can also be combined with the resonant TR switch without significant NF degradation at the receive mode. To the authors’ knowledge, the PA is the first fully integrated UHF CMOS 1-W PA in the standard package. APPENDIX NF CALCULATION

Fig. 16. Output noise measured with a 40-dB gain LNA before the spectrum analyzer. Turning on power supply does not increase the noise floor at RX mode. Thus, PA power on and off plots overlap.

The PA’s output is amplified by a 40-dB LNA (HP 8447A) before it is connected to a spectrum analyzer (Agilent E4402B). As shown in Fig. 16, no noise floor increase is observed when the power supply is turned on. A spectrum with a 15-dB excess noise ratio (ENR) source input is also displayed to validate that the test setup is sufficiently sensitive to detect any noise increase that would affect the 3-dB system NF of the microtransceiver.

The NF of an LNA before a PA is attached can be calculated directly from Fig. 17 excluding the dotted box. The results are

(A.1)

(A.2)

VI. CONCLUSION A fully integrated watt-level CMOS PA has been a tough hurdle to overcome due to the process’ digital-oriented characteristics. Although some successful PAs have been reported,

(A3)

JEON AND KUHN: FULLY INTEGRATED UHF CMOS PA FOR SPACECRAFT APPLICATIONS

2013

(A.4)

(A.5)

(A.6)

is source resistance and also equivalent to in (16). The . LNA is composed of a noiseless LNA and added noise and its input resistance is . The LNA has voltage gain at The noise model of the PA’s output is a parallel resistance the LNA input. A new noise factor with a PA can found as shown in (A.4)–(A.6) at the top of this page, where (A.7) (A.8) Using (A.3) and under assumption that

,

(A.9)

Fig. 7 depicts the NF degradation due to the PA. For a fixed , is nearly a sum of and a constant in the plot. the is comparable to , the is much worse than When , but as becomes much bigger than , the degradation becomes unnoticeable. The microtransceiver’ LNA has 3.4-dB NF [27] and the PA’s output resistance is 360 . Hence, is expected to be 4.0 dB. If , from (A.9), which is true with the high output transformer, can be further simplified to

(A.10)

REFERENCES [1] C. Wilklow, “The care and feeding of the Mars exploration rover (MER) ground data system (GDS),” in Ground Syst. Architectures Workshop, Mar. 2005, pp. 1–3. [Online]. Available: http://sunset.usc. edu/gsaw/gsaw2005/s2/wilklow.pdf, Available: [2] NASA Goddard Space Flight Center, Greenbelt, MD, National Space Center Data Center Master Catalog 2005. [Online]. Available: http:// nssdc.gsfc.nasa.gov [3] W. B. Kuhn, N. Lay, and E. Grigorian, “A UHF proximity micro-transceiver for Mars exploration,” in IEEE Aerosp. Conf., Mar. 2006, pp. 4–11. [4] W. B. Kuhn, J. Jeon, and K. Wong, “A low-power, radiation-tolerant, RFIC micro-transceiver chipset for space applications,” in NASA VLSI Des. Symp., Jun. 2007, pp. 5–6. [5] K. Tsai and P. R. Gray, “A 1.9-GHz, 1-W CMOS class-E power amplifier for wireless communications,” IEEE J. Solid-State Circuits, vol. 34, no. 7, pp. 962–969, Jul. 1999. [6] C. Fallesen and P. Asbeck, “A 1 W CMOS power amplifier for GSM1800 with 55% PAE,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 2, pp. 911–914. [7] C. Yoo and Q. Hwang, “A common-gate switched 0.9-W class-E power amplifier with 41% PAE in 0.25-m CMOS,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 823–830, May 2001. [8] K. L. R. Mertens and M. S. J. Steyaert, “A 700-MHz 1-W fully differential CMOS class-E power amplifier,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 137–141, Feb. 2002. [9] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [10] T. Sowlati, D. Rozenblit, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [11] D. K. Choi and S. I. Long, “Finite DC feed inductor in class E power amplifier—A simplified approach,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 1643–1646. [12] A. V. Grebennikov, “Circuit design technique for high efficiency class F amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, vol. 2, pp. 771–774. [13] W. B. Kuhn, X. He, and M. Mojarradi, “Modeling spiral inductors in SOS processes,” IEEE Trans. Electron Devices, vol. 51, no. 5, pp. 677–683, May 2003. [14] Y. K. Koutsoyannopoulos and Y. Papanamos, “Systematic analysis and modeling of integrated inductors an transformers in RF IC design,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 8, pp. 699–713, Aug. 2000.

2014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[15] G. Lyons, “Commercial SOS technology for radiation-tolerant space applications,” in IEEE Radiat. Effects Data Workshop, Jul. 1998, vol. 24, pp. 96–99. [16] C. Wang, M. Vaidyanathan, and L. E. Larson, “Capacitance-compensation technique for improved linearity in CMOS class-AB power amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004. [17] A. Giry, J.-M. Fournier, and M. Pons, “A 1.9 GHz low voltage CMOS power amplifier for medium power RF applications,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2000, pp. 121–124. [18] C. Yen and H. Chuang, “A 0.25-m 20-dBm 2.4-GHz CMOS power amplifier with an integrated diode linearizer,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 45–47, Feb. 2003. [19] Proximity-1 Space Link Protocol Final Draft Recommendation for Space Data System Standards, CCSDS 211.-R-3.2. Red Book. Issue 3.2., Sep. 2002. [Online]. Available: http://www.ccsds.org/rpa225/ CCSDS-211.0-R-3.2.pdf [20] S. Mass, Nonlinear Microwave and RF Circuits. Norwood, MA: Artech House, 2003, ch. 9. [21] H. L. Krauss, C. W. Bositian, and F. H. Raab, Solid State Radio Engineering. New York: Wiley, 1980, ch. 14. [22] P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits. New York: Wiley, 2001, ch. 1. [23] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [24] F. H. Raab and N. O. Sokal, “Transistor power losses in the class E tuned power amplifier,” IEEE J. Solid-State Circuits, vol. SC-13, no. 12, pp. 912–914, Dec. 1978. [25] F. H. Raab, “Class-E, class-C, and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [26] W. B. Kuhn, M. M. Mojarradi, and A. Moussessian, “A resonant switch for LNA protection in watt-level CMOS transceivers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2819–2825, Sep. 2005. [27] J. Jeon and W. B. Kuhn, “A UHF CMOS transceiver front-end with a resonant TR switch,” in IEEE Radio Wireless Symp., Jan. 2007, pp. 22–25.

Jeongmin Jeon (S’03) was born in Daegu, Korea, in 1974. He received the B.E. degree in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 2001, the M.S. degree in electric engineering from Kansas State University, Manhattan, in 2003, and is currently working toward the Ph.D. degree in electric engineering at Kansas State University. From 1996 to 1998, he served in the Korean military. His research interests include RF CMOS transceivers and PAs.

William B. Kuhn (S’78–M’79–SM’97) was born in Newport News, VA, in 1956. He received the B.S. degree in electrical engineering from the Virginia Polytechnique Institute (Virginia Tech) and State University, Blacksburg, in 1979, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1982, and the Ph.D. degree from Virginia Tech, in 1996. From 1979 to 1981, he was with the Ford Aerospace and Communications Corporation, Palo Alto, CA, where he designed radio receiver equipment. From 1983 to 1992, he was with the Georgia Tech Research Institute, Atlanta, where he was primarily involved with radar signal analysis and mixed-signal circuit simulator development. In 1996, he joined the Department of Electrical and Computer Engineering, Kansas State University, Manhattan, as an Assistant Professor, later becoming an Associate Professor in 2000 and Professor in 2006. He currently teaches courses in communications theory, radio and microwave circuit/system design, and very large scale integration (VLSI). His research is concerned with radio electronics in CMOS, BiCMOS, and silicon-on-insulator (SOI) technologies. Dr. Kuhn was the recipient of the 1993 Bradley Fellowship presented by Virginia Tech and a 1999 Faculty Early Career Development (CAREER) Award presented by the National Science Foundation. He was also the recipient of 2001 Hollis Award for Excellence in Undergraduate Teaching presented by Kansas State University, the 2002 and 2003 Eta Kappa Nu Distinguished Faculty Award, and the 2004 Paslay Professorship in Electrical and Computer Engineering.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2015

A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS Qiang Li, Member, IEEE, and Yue Ping Zhang

Abstract—This paper presents an inductorless low-noise amplifier (LNA) design for an ultra-wideband (UWB) receiver frontend. A current-reuse gain-enhanced noise canceling architecture is proposed, and the properties and limitations of the gainenhancement stage are discussed. Capacitive peaking is employed to improve the gain flatness and 3-dB bandwidth, at the cost of absolute gain value. The LNA circuit is fabricated in a 0.13- m triple-well CMOS technology. Measurement result shows that a small-signal gain of 11 dB and a 3-dB bandwidth of 2–9.6 GHz are obtained. Over the 3-dB bandwidth, the input return loss is less than 8.3 dB, and the noise figure is 3.6–4.8 dB. The LNA consumes 19 mW from a low supply voltage of 1.5 V. It is shown that the LNA designed without on-chip inductors achieves comparable performances with inductor-based designs. The silicon area is reduced significantly in the inductorless design, the LNA core occupies only 0.05 mm2 , which is among the smallest reported designs. Index Terms—CMOS integrated circuits, current reuse, gain enhancement, low-noise amplifier (LNA), noise cancellation, RF integrated circuit (RFIC), ultra-wideband (UWB).

I. INTRODUCTION IDEBAND and ultra-wideband (UWB) low-noise amplifiers (LNAs) have received extensive research interest over recent years. A wide range of modern and future communication systems has been proposed that operates over a bandwidth exceeding several gigahertz, e.g., software-defined radio, UWB, etc. UWB systems are regulated within the spectrum of 3.1–10.6 GHz and are capable of data rate up to gigabits per second [1], [2]. This poses a more stringent requirement on the UWB transceiver [3], [4] especially for the front-end LNA, which has to provide an ultra-wide bandwidth with reasonable noise figure (NF) and impedance matching. Minimizing supply voltage and power consumption is always demanded for portable applications. Traditionally, these types of wideband amplifiers were implemented with balanced or distributed architectures that were originally used in microwave circuit design [5]–[8]. However, the large area occupation and high power dissipation of the

W

Manuscript received January 15, 2007; revised April 26, 2007. Q. Li was with the Center for Integrated Circuits and Systems, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798. He is now with the Institute of Microelectronics, Singapore 117685 (e-mail: [email protected]). Y. P. Zhang is with the Center for Integrated Circuits and Systems, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.905495

traveling-wave amplifier make it infeasible for low-power single-chip integration. Recently, a distributed amplifier has been reported in [9], which achieves comparable performance with lumped design in terms of power and area consumption. With the development of advanced semiconductor technology, lumped implementation of the LNA in CMOS and SiGe BiCMOS has been pushed up to tens of gigahertz [10], [11]. There are also a few UWB LNAs that have been reported in the literature. Various circuit techniques have been proposed to enhance the bandwidth. A classical approach to widening bandwidth is negative feedback, which is normally realized in the form of resistive shunt feedback [12]–[16]. The feedback can also be implemented using an active circuit [17] or a transformer [18]. Meanwhile, standalone negative feedback can hardly achieve sufficient bandwidth; the inductor peaking technique is often adopted in these feedback-based designs. Further, the analysis presented in [19] shows that resistive feedback amplifiers cannot provide required performance with low power consumption. In [19]–[21], UWB LNAs with multisection impedance matching are reported, which expand the use of an inductor-degenerated amplifier with emphasis on wideband impedance matching. This approach is efficient in terms of bandwidth and has been proven in both CMOS and SiGe BiCMOS technologies. However, the extra passive devices used for matching purpose increase design complexity and area occupation. Recently, a combined feedback architecture for UWB LNA design has been reported [7], [22], [23]. Adopted from microwave circuits [24], [25], the resistive feedback is applied both globally and locally. This architecture achieves sufficient bandwidth and gain even without inductors. However, potential instability problem occurs due to multiple feedback loops. High transconductance device is also required for bandwidth–gain tradeoff. Thus, this configuration has not been realized in standard CMOS technology. Compared with narrowband LNA designs, a severe tradeoff between NF and source impedance matching exists in a wideband LNA. Most of the reported UWB LNA designs are focused on bandwidth enhancement. As a result, a few of them achieve comparable noise performance with narrowband LNAs. A CMOS UWB LNA employing the noise-canceling technique is reported in [26], where thermal noise of the input matching device can be sensed and canceled by the feed-forward configuration [27]. This avoids potential instability due to global negative feedback. However, the gain performance of such a configuration is often less superior. This paper presents a UWB LNA with comprehensive considerations on NF and wideband gain performance. A modified

0018-9480/$25.00 © 2007 IEEE

2016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

(a)

(b)

Fig. 1. Simplified wideband LNA architectures exploiting thermal noise canceling.

noise sensing and canceling architecture is exploited, which employs a current-reuse stage for gain compensation. Inductorless design is also explored. Bandwidth enhancement is achieved by capacitive peaking. Section II reviews wideband noise canceling techniques. Section III presents a modified wideband noise canceling architecture capable of higher gain performance, and the properties and considerations are discussed in detail. In Section IV, approaches to bandwidth enhancement are discussed and an inductorless approach using capacitive peaking is presented. Section V shows the experimental results of the demonstrated LNA circuit. This paper is finally concluded in Section VI. II. REVIEW OF WIDEBAND NOISE CANCELING The wideband LNA design exploiting thermal noise canceling was originally presented by Bruccoleri et al. [27], [28]. The idea is briefly introduced as follows. Consider the LNA circuit shown in Fig. 1(a), the wideband input impedance matching is realized by a common-source stage with resistive shunt feedback. The noise current of M1 (and M2) flows out of and source impedance the node B through feedback resistor to the ground, leading to two equal-signed noise voltages at nodes A and B. They are subsequently amplified by a combining stage, where opposite-signed noise voltages are produced by the source–follower (see from B) and common-source amplifier (see from A). By carefully designing the circuit parameters, the noise contribution of matching device M1 (and M2) can be canceled. The cancellation condition is derived as (1) where is the source impedance. denotes the gain of the output common-source stage (M3 to M4). This equation is determined by the noise sampling mechanism of the input stage. Note that M2 is not necessarily needed by the noise canceling of the input matching principle, it increases the effective . On the other stage where hand, the signal voltages at nodes A and B have an opposite

; thus, the combining stage produces sign as long as equal-signed output signal voltages and adds them up. The noise and the combining stage cannot be canceled contribution of in this configuration, they dominate the noise performance of the overall LNA. An inductorless LNA is demonstrated in [27], which achieves 13.7-dB voltage gain, 0.002–1.6-GHz 3-dB bandwidth, and less than 2.4-dB NF in 0.25- m CMOS. An alternative noise canceling configuration, as shown in Fig. 1(b), is also proposed in [27], and has been successfully implemented for UWB in [26]. In this configuration, a commongate stage (M1) is employed for wideband input matching. Designed in 0.18- m CMOS, 9.7-dB gain, 1.2–11.9-GHz 3-dB bandwidth, and 4.5–5.1-dB NF (over 3.1–10.6 GHz) are obtained in [26]. Review of the above results shows that there is less freedom in controlling the gain performance in noise canceling architectures, especially in the gigahertz range. For the matching stage using resistive shunt feedback [see Fig. 1(a)], although the noise restraints are relaxed, the input matching requirement limits the of such stages [20]. A common-gate input stage voltage gain [see Fig. 1(b)] cannot provide high gain as well. For the output combining stage, the gain is constrained by the noise canceling principle, where the value of gain in two paths should produce two equal-amplitude noise voltages so that they can be canceled [26], [27]. As a result, both designs encounter architectural difficulties in achieving higher gain. Comparing to SiGe BiCMOS is available, the gain issue becomes more sewhere higher vere when it applies to CMOS. In fact, the design in [26] has incorporated an additional common-source output stage (M4) to enhance the gain to 9.7 dB. However, this stage contributes extra noise to the output. This cannot be canceled, resulting in well above 3-dB overall NF. In this case, there is little noise cancellation observed. Note that the noise combining stage can also be designed using a wideband transformer [29], where the amplification is achieved at the cost of silicon area instead of power. However, is still limited by the noise canceling condition, and the use of transformer limits the bandwidth of the LNA.

LI AND ZHANG: 1.5-V 2–9.6-GHz INDUCTORLESS LNA IN 0.13- m CMOS

2017

At node C, the noise voltage due to M3 and R3 can be expressed as (2) where is the noise excess factor. is . For submicrometer the channel conductance when is well above 1 since and . MOSFETs, is the calculation bandwidth. Note that in (2), flicker noise is not considered due to the high-frequency operation. . Also we consider Practically, we have the noise per unit bandwidth. Thus, (2) can be simplified as (3) Fig. 2. Architecture of gain-enhanced wideband LNA with thermal noise canceling.

III. GAIN-ENHANCED WIDEBAND NOISE CANCELING ARCHITECTURE A gain-enhanced noise canceling architecture is presented here. The purpose of gain enhancement is not only on improving the gain of noise canceling architectures, but also on creating more freedom in tradeoffs involving gain. As the inductorless approach will be explored in this paper, parasitic capacitances cannot be driven with an inductor-peaking method. To achieve ultra-wide bandwidth, extensive tradeoff between bandwidth and gain may be required. Thus, a higher gain configuration offers more flexibilities for such tradeoff. A. Architecture and Analysis Fig. 2 shows the modified architecture of a wideband noisecanceling LNA. A current-reused amplification stage including M2–R2, M3–R3, and C2 is adopted between the noise sensing and combining stages. M2–R2 and M3–R3 are stacked gain blocks within the same dc current branch. C2 is a large decoupling capacitor that creates a ground node at RF. This stage provides two feed-forward paths concurrently. Meanwhile, the current reuse permits higher efficiency of power and available voltage headroom [30]. R8 is employed to provide extra current to the gain stack M2–R2, which will be discussed later. Note that the input parasitic capacitance degrades both input matching and noise sensing [20], [27]. As the target frequency of the design is up to 10 GHz, it is important to keep the input parasitics as small as possible. Thus, the input stage in Fig. 1(a) is replaced by a simple nMOS common-source stage. As a result, this stage would consume slightly more current for impedance matching. Intuitively, the gain ratio of the two feed-forward paths can be kept unchanged if the parameters of M2–R2 and M3–R3 are given the same values (and without R8). Therefore, the noise canceling condition presented in [27] is retained with improved absolute gain values available. However, the gain-enhancement stage contributes additional noise as well. Using the same parameters for both M2–R2 and M3–R3 is not always the optimal choice in terms of overall noise performance. This can be analyzed as follows.

Similarly, at node D, the noise voltage due to M2 and R2 can be expressed as (4) It is clear that the two noise voltages are uncorrelated. They could not be canceled by a subsequent combining stage. Referring to the output node, the noise due to gain-enhancement stage is (5) Equation (5) shows the noise contribution of M2–R2 is of higher weight than that of M3–R3. The output noise of matching device M1 can be canceled by properly design of the gain in the two feed-forward paths. In Fig. 2, the cancellation condition is given by (6) Therefore, (5) becomes

(7)

where . From (7), the noise contribution of the gain-enhancement stage can be minimized when (8) and the minimum output noise voltage is (9) It is interesting to note that these results are independent of the following noise combining stage. Note that (7)–(9) are obtained under the noise cancellation condition for the input matching device. From (8), the condition (6) can be simplified as (10)

2018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Considering the overall small-signal gain, we have

(11) where

is the gain of the input matching stage (12)

is The result is intuitive, a gain-enhancement factor achieved comparing with the original architecture [see Fig. 1(a)] . whose gain is B. Properties and Limitations 1) Design Flexibility: The additional parameters in the noise cancellation equations permit more design flexibilities. Generally, the original noise cancellation technique is a two-step operation: noise sensing and combining/canceling. Besides the system requirements, the design parameters of the two stages are further limited by each other (to fulfill the noise cancellation condition). The proposed technique provides a three-step operation: noise sensing, amplification, and combining. The noise cancellation requirement can be fulfilled with more design flexibilities. From this point of view, the amplification stage acts as a “buffer,” which reduces the coupling between the noise sensing and combining stages. A good example is the design of the output combining stage, which dominates the noise performance of the original archishould tecture. In Fig. 1(a), be fulfilled. This poses a rather restrained headroom in minimizing the output noise due to combining stage. However, from (6) or (10), the additional parameters in the proposed architecand , provide a more flexible requireture (Fig. 2), e.g., and . This can be used to minimize the noise ment of of combining stage. As a result, the additional noise contributed by the gain-enhancement stage can be partially compensated by the improved combining stage. The design flexibilities offered in the proposed circuit can also be understood from the point of view of tradeoff. The fundamental tradeoff between the NF and source impedance matching exists in a wideband LNA. To relax this tradeoff, the original noise cancellation technique introduces one more parameter of gain. The simultaneous noise and matching performance is achieved by the tradeoff with gain through the noise cancellation equations. By inserting the amplification stage as proposed here, the tradeoff among noise, matching, and gain is relaxed, while the cost is the increased noise and distortion contributed by the additional amplification stage. From (9) and (11), the amplification stage determines the tradeoff between the gain enhancement and the additional noise contribution. Although the gain can be improved by increasing , the NF of the overall LNA also increases, and vice versa. To maintain a reasonable NF, the enhanced gain cannot be arbitrarily high. The optimal design of circuit parameters depends on the target specification. 2) Mismatch: An important issue introduced by the gain-enhancement stage is mismatch. In principle, the noise canceling

Fig. 3. Numerical simulation of EF and A .

with regard to the variations of A

architecture employs two feed-forward paths, device mismatch between them will degrade the noise canceling. When the amplification stage is not used, it is shown that the two-step noise canceling is robust to device parameter variations [27]. With the amplification stage in Fig. 2, the excess noise factor contributed by the matching device can be expressed as (13) , denote the gain of the where denotes the gain of noise comtwo paths, and is the overall gain as discussed in (11). bining stage. and Note that (13) is obtained by assuming equal . Since the nominal values of the parameters in (13) are determined by (5)–(12), mathematical expressions of the mismatch effect may not be intuitional. Here, the numerical simulation is and . Fig. 3 shows performed assuming ) resulting the noise contribution of the matching device ( and . It is shown that is from the variations of and are equal to kept at 0 as long as the variations of each other; i.e., it is not the absolute variation, but the relative variation that degrades the noise canceling. In other words, the mismatch between the two amplification paths degrades noise margin of 0.1, 6% miscanceling. With regard to the match between and is allowed. The result obtained in [27] shows that 20% variations in the noise sensing and combining stages are allowed for an margin of 0.1. However, the additional gain stage is relatively sensitive to device parameter mismatch. Therefore, the mismatch robustness of the overall LNA is limited by this stage, which is another price that is paid for the increased gain and design flexibilities. C. Circuit Design Based on the design equations (5)–(12), the circuit parameters of Fig. 2 can be optimized. A standard 1.2-V 0.13- m CMOS process is used in this design. The target specifications are: 1) 20-dB voltage gain; 2) 3-dB NF; and 3) input impedance matching to 50 over 3.1–10.6 GHz. The 3-dB bandwidth of voltage gain is also of high importance. For this architecture, 3-dB bandwidth is mainly decided by parasitic capacitances.

LI AND ZHANG: 1.5-V 2–9.6-GHz INDUCTORLESS LNA IN 0.13- m CMOS

Fig. 4. Simulated performance of the gain-enhanced noise canceling circuit in Fig. 2.

Here, minimizing parasitics will be taken into considerations, but no bandwidth target is set, as the current design phase is dedicated to gain and noise performances. cannot be very large, although large offers high gain. From (8), a large results in a huge ratio of and . Considering that M2 and M3 share the same current branch, . Secondly, the it is quite difficult to obtain a huge as compared to the input input matching requires a small at several gigahertz frequencies. parasitics is a small value. FurAs a result, the gain of input stage should be kept small for precise noise sensing, thermore, otherwise noise cancellation degrades. Thus, large M1 and M2 and have to be obtained by should be avoided. High and increasing the respective drain current. Here, . The input stage draws 3 mA with 1.2-V supply voltage. . It is still a large Equation (8) then becomes and ratio in a practical circuit. On the other hand, cannot be selected according only to this condition. A large requires high current and large size of M2, which is not favorable for the sake of power and , respectively, while a low degrades the overall gain. In fact, (8) considers only the minimum noise contribution of the gain-enhancement stage. Thus, there and . Simulation shows are tradeoffs in the design of a reasonable ratio mS mS provides , large most balanced performances. To maintain a minimum cannot be realized only by increasing the size of M2. Thus, R8 is added in parallel with M3–R3 to provide more current to M2. Meanwhile, as M3 draws only part of the drain current of M2, a larger R3 within the same voltage headroom is available, leading to a larger available gain-enhancement factor. Note that R8’s noise contribution is slight due to the large C2. The parameters of the combining stage depends on (6). In an ideal case, the output impedance matching could be achieved [27]. However, the stringent noise budget permits little freedom in doing so. In this design, the output is not intentionally matched, which would certainly affect the overall power gain. Fig. 4 shows the simulated performance of a gain-enhanced noise canceling LNA. Note that 2-pF capacitors are used for input and output coupling. For the bandwidth of 3.1–10.6 GHz,

2019

Fig. 5. Example of a gain-enhanced noise canceling LNA with inductor peaking.

Fig. 6. Simulation result of Fig. 5 with L = 0:6 nH, L = 1:5 nH.

2.4–2.8-dB NF, 12–15.6-dB gain, and dB input matching are achieved. The whole circuit draws 10.8 mA from a 1.2-V supply. IV. CAPACITIVE PEAKING An on-chip inductor is widely used to improve the bandwidth performance of the broadband LNA. For the proposed circuit in Fig. 2, inductors can also be used for bandwidth extension. and are A possible example is shown in Fig. 5, where employed in the input stage. Fig. 6 shows the simulation result nH, nH. Compared with Fig. 4, the with bandwidth is increased and very good gain flatness is obtained. Furthermore, the input matching and noise performance are improved significantly. This is because the input capacitance is the dominate limitation for both impedance matching and noise sensing, the inductors in the input stage decrease such an effect at high frequencies. In [26], the design employs an extra stage after the noise canceling LNA to improve the gain, where five inductors are used and the simulated NF is well above 3.5 dB. The comparison shows the proposed gain-enhancement technique permits better noise performance with less inductors. Meanwhile, the inductors used here can possibly be implemented with bond-wire inductance [31].

2020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 7. Schematic of a capacitive peaking gain stage.

However, the on-chip inductor occupies a large silicon area. For applications where the area requirement is stringent, e.g., multiple-input multiple-output (MIMO) transceiver, the inductor-based design is not favorable, while the use of bond-wire inductance depends on the circuit configuration and is severely limited by the packaging and modeling technologies. Hence, in this paper, the capacitive peaking technique is employed for bandwidth extension, which has been successfully implemented in transimpedance amplifiers [32]. Fig. 7 shows the schematic of a capacitive peaking commonsource stage. It is adopted from the source degeneration architecture. The gain of this stage can be written as

Fig. 8. Complete schematic of gain-enhanced noise canceling LNA with capacitive peaking.

(14)

provides an increasing regarding For the first order, frequency. This effect can be understood conceptually from the resistive degeneration architecture. It is well known that a source-degenerative resistor degrades gain and improves provides a signal path in parallel with to linearity, while the ground. At high frequencies, the path through has a low impedance. Thus, the resistive degeneration effect is degraded with the increase of frequency, resulting in an increasing by gain characteristic. However, the gain cannot exceed the value of a common-source stage without source degeneration, which actually sets the upper bound of the gain of capacitive peaking stage. In other words, capacitive peaking is rather an approach to controlling the gain flatness at the cost of absolute gain value. Note that a negative impedance is created, therefore, the stability of the capacitive peaking LNA should be carefully examined. Besides gain degradation, the degeneration resistor and capacitor also affect the impedance matching and noise-canceling conditions. Thus, capacitive peaking should be carefully verified in the circuit. For the gain-enhanced noise canceling architecture in Fig. 2, the input transistor M1 is of the highest impact on the noise and matching performance, thus, source degeneration is not used in M1. The gain of the M3–R3 stage determines the gain-enhancement factor directly, it is then efficient to apply capacitive peaking technique in M3–R3. M2–R2 and M4–M5 also adopt the capacitive degeneration. The use of source degeneration depletes the available voltage headroom, especially for the gain-enhancement stage, which actually contains two stacked common-source amplifiers with source degeneration. Although the presence of R8 redistributes

Fig. 9. Simulation result of the proposed noise canceling LNA with gain-enhancement and capacitive peaking.

the current flow in the branch, a 1.2-V supply cannot safely provide sufficient voltage headroom for M2 and M3. Hence, 1.5-V supply voltage is used. Meanwhile, a tail resistor R5 is added to control the dc current of M4 and M5. Fig. 8 shows the final circuit of the proposed LNA. The simulation result is shown in Fig. 9. With 1.5-V supply voltage, the circuit parameters are redesigned to preserve a similar condition as presented in Section III-C. At the price of degraded gain of 12 dB and minimum NF of 2.8 dB, simulation shows the capacitive peaking technique enhances gain flatness within 3 dB over 2.6–12 GHz. The stability is carefully verified, which shows the LNA is unconditionally stable. V. MEASUREMENT RESULTS AND DISCUSSIONS The LNA circuit was fabricated in a two-poly eight-metal 0.13- m triple-well CMOS technology. The cutoff frequency of the nMOS transistor is over 90 GHz. The triple-well process employs a buried deep N-well in the P-substrate to form an isolated P-well, which reduces the noise coupling

LI AND ZHANG: 1.5-V 2–9.6-GHz INDUCTORLESS LNA IN 0.13- m CMOS

2021

Fig. 12. Linearity measurement in terms of ICP, IIP2, and IIP3 at 6 GHz. Fig. 10. Die microphotograph of the fabricated LNA chip.

Fig. 11. Measured performance of the fabricated LNA.

through substrate. For better linearity, each P-well and deep N-well of an nMOS transistor is biased to ground or supply voltage through a 5-k resistor [33]. Fig. 10 shows a die microphotograph of the fabricated LNA. As the passive devices used are only resistors and capacitors, the LNA core occupies only 221 m 228 m, which is among the smallest designs. With test pads, the LNA chip occupies 415 m 415 m area. The measurement of the LNA chip is performed on-wafer using Cascade G–S–G RF probes. Fig. 11 shows the measured performance of the fabricated LNA. The maximum gain is 11 dB and the 3-dB bandwidth is 2–9.6 GHz. The frequency-dependent difference compared to simulated power gain is most likely due to the extra parasitic capacitances in the real chip. At the same time, the gain degradation may also result from the device parameter variations. From Fig. 8, the entire circuit is self-biased, and the current in each branch is directly determined by the supply voltage and device parameters. The latter is process dependent and cannot be controlled manually. Measurement shows the LNA draws a total of 12.65 mA instead of the simulated 12 mA, which is clear evidence of the existence of inaccurate resistors. Using mirrored current sources can im-

prove the current accuracy; however, the transistors are not comparable with resistors in terms of wideband performance. is less than 8.3 dB over 2–9.6 GHz, which shows the resistive shunt feedback can effectively offer a 50- matching resistance over the UWB frequencies. The reverse transmission is below 50 dB (not shown here). gain The NF is within 3.6–4.8 dB over 2–9.6 GHz, which is approximately 1 dB higher than the simulated result. As previously discussed, this is probably due to the mismatch between the two gain-enhancement paths. The inaccuracy of the noise model can also create discrepancy. The average NF over 2–9.6 GHz is 4 dB. The linearity of the UWB LNA is very important since the UWB receiver suffers from a wide range of interferences [18]. As shown in Fig. 12, at 6 GHz, the input 1-dB compression point (ICP) is 16.5 dBm. The input third-order intercept point (IIP3) is 7.2 dBm, where a two-tone test is performed at 6 GHz with 100-MHz tone spacing. A further two-tone test is performed GHz and GHz since their second-order inwith termodulation product falls in the signal band. The input secondorder intercept point (IIP2) in this case is 21 dBm. The linearity of this LNA is comparable to the reported inductor based designs. Note that since the output is not intentionally matched to 50 , and there is no additional buffer stage employed, the power gain and NF performances achieved here have been degraded by the 50- termination of test equipment. The maximum voltage gain calculated in this design is around 14 dB, which is relatively low since the capacitive peaking degrades gain severely. When the area requirement is not stringent, the inductor-based approach, as shown in Fig. 6, is much more preferred, and the bandwidth and noise performance should be improved as compared to this design. Table I shows a summary of measured performances of the inductorless LNA. The comparison with other reported state-ofthe-art amplifier designs is also presented. It is shown that the LNA designed without an inductor achieves comparable performance with other reported inductor-based LNA designs over the ultra-wide bandwidth. The presented LNA is suitable for UWB wireless receiver front-ends.

2022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE I SUMMARY OF THE LNA PERFORMANCES AND COMPARISON WITH REPORTED STATE-OF-THE-ART DESIGNS

VI. CONCLUSION This paper has demonstrated an inductorless LNA design capable of UWB applications. Without on-chip inductors, the ultra-wide 3-dB bandwidth was achieved by a syncretic adoption of thermal noise canceling, capacitor peaking, and current reuse. A gain-enhanced architecture based on the noise canceling principle was proposed and detailed analysis of this circuit was performed. Modified noise canceling equations were presented. Capacitive peaking is not able to enhance the absolute bandwidth; however, it is an effective way of controlling the gain flatness. Fabricated in a 0.13- m triple-well CMOS process, the LNA achieves a small-signal gain of 11 dB and a 3-dB bandwidth of 2–9.6 GHz with dB in-band impedance matching. The NF is 3.6–4.8 dB over 2–9.6 GHz. The LNA consumes 19 mW from a low supply voltage of 1.5 V. The performance is comparable and sometimes better than the reported inductor-based designs. Benefiting from its inductorless architecture, the LNA core occupies only a 0.05-mm die area, which is among the smallest UWB LNA reported in the literature. ACKNOWLEDGMENT The authors would like to thank Dr. Y. Hu and W. M. Lim, both with the Nanyang Technological University, Singapore, for their help with the on-wafer measurements. REFERENCES [1] R. A. Scholtz and M. Z. Win, “Impulse radio: How it works,” IEEE Commun. Lett., vol. 2, no. 2, pp. 36–38, Feb. 1998.

[2] S. Roy, J. Foerster, V. Somayazulu, and D. Leeper, “Ultrawideband radio design: The promise of high-speed, short-range wireless connectivity,” Proc. IEEE, vol. 92, no. 2, pp. 295–311, Feb. 2004. [3] R. A. Scholtz, R. Weaver, E. Homier, J. Lee, P. Hilmes, A. Taha, and R. Wilson, “UWB deployment challenges,” in Proc. IEEE PIMRC, Sep. 2000, vol. 1, pp. 620–625. [4] IEEE 802.15 WPAN Task Group 3 (TG3) , IEEE P802.15.3 Draft Standard [Online]. Available: http://www.ieee802.org/15/pub/TG3.html [5] R.-C. Liu, C.-S. Lin, K.-L. Deng, and H. Wang, “A 0.5–14-GHz 10.6-dB CMOS cascode distributed amplifier,” in IEEE VLSI Circuits Technol. Symp. Dig., Kyoto, Japan, Jun. 12–14, 2003, pp. 139–140. [6] C. Grewing, M. Friedrich, G. L. Puma, C. Sandner, S. van Waasen, A. Wiesbauer, and K. Winterberg, “Fully integrated ultra wide band CMOS low noise amplifier,” in Proc. IEEE 30th Eur. Solid-State Circuits Conf., Sep. 21–23, 2004, pp. 435–438. [7] Q. He and M. Feng, “Low-power, high-gain, and high-linearity SiGe BiCMOS wideband low-noise amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 956––959, , Jun. 2004. [8] F. Ellinger, “60-GHz SOI CMOS traveling-wave amplifier with NF below 3.8 dB from 0.1 to 40 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 553–558, Feb. 2005. [9] M.-D. Tsai, K.-Y. Lin, and H. Wang, “A 5.4-mW LNA using 0.35-m SiGe BiCMOS technology for 3.1–10.6-GHz UWB wireless receivers,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., Jun. 12–14, 2005, pp. 335–338. [10] F. Ellinger, “26-42 GHz SOI CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 522–528, Mar. 2004. [11] B. Razavi, “A 60-GHz CMOS receiver front-end,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 17–22, Jan. 2006. [12] D. Barras, F. Ellinger, H. Jackel, and W. Hirt, “A low supply voltage SiGe LNA for ultra-wideband frontends,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 469–471, Oct. 2004. [13] C.-W. Kim, M.-S. Kang, P. T. Anh, H.-T. Kim, and S.-G. Lee, “An ultra-wideband CMOS low-noise amplifier for 3–5-GHz UWB system,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 544–547, Feb. 2005. [14] R. Gharpurey, “A broadband low-noise front-end amplifier for ultra wideband in 0.13 m CMOS,” in Proc. IEEE Custom Integrated Circuits Conf., Oct. 3–6, 2004, pp. 605–608.

LI AND ZHANG: 1.5-V 2–9.6-GHz INDUCTORLESS LNA IN 0.13- m CMOS

[15] Y. Park, C.-H. Lee, J. Cressler, J. Laskar, and A. Joseph, “A very low power SiGe LNA for UWB application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 12–17, 2005, pp. 1041–1044. [16] J.-H. Zhan and S. S. Taylor, “A 5 GHz resistive-feedback CMOS LNA for low-cost multi-standard applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, Feb. 5–9, 2006, pp. 200–201. [17] H. Knapp, D. Zoschg, T. Meister, K. Aufinger, S. Boguth, and L. Treitinger, “15 GHz wideband amplifier with 2.8 dB noise figure in SiGe bipolar technology,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., May 20–22, 2001, pp. 287–290. [18] R. Roovers, D. M. W. Leenaerts, J. Bergervoet, K. S. Harish, R. C. H. van de Beek, G. van der Weide, H. Waite, Y. Zhang, S. Aggarwal, and C. Razzell, “An interference-robust receiver for ultra-wideband radio in SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2563–2572, Dec. 2005. [19] A. Ismail and A. A. Abidi, “A 3–10-GHz low-noise amplifier with wideband LC -ladder matching network,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [20] A. Bevilacqua and A. M. Niknejad, “An ultrawideband CMOS lownoise amplifier for 3.1–10.6-GHz wireless receivers,” IEEE J. SolidState Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [21] B. Shi and M. Chia, “A 3.1–10.6 GHz RF front-end for multiband UWB wireless receivers,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., Jun. 12–14, 2005, pp. 343–346. [22] N. Shiramizu, T. Masuda, M. Tanabe, and K. Washio, “A 3-10 GHz bandwidth low-noise and low-power amplifier for fullband UWB communications in 0.25-m SiGe BiCMOS technology,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., Jun. 12–14, 2005, pp. 39–42. [23] J. Lee and J. Cressler, “A 3-10 GHz SiGe resistive feedback low noise amplifier for UWB applications,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., Jun. 12–14, 2005, pp. 545–548. [24] M.-C. Chiang, S.-S. Lu, C.-C. Meng, S.-A. Yu, S.-C. Yang, and Y.-J. Chan, “Analysis, design, and optimization of InGaP–GaAs HBT matched-impedance wideband amplifiers with multiple feedback loops,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 694–701, Jun. 2002. [25] K. Kobayashi and A. Oki, “A DC–10-GHz high gain-low noise GaAs HBT direct-coupled amplifier,” IEEE Microw. Guided Wave Lett., vol. 5, no. 9, pp. 308–310, Sep. 1995. [26] C.-F. Liao and S.-I. Liu, “A broadband noise-canceling CMOS LNA for 3.1–10.6-GHz UWB receiver,” in Proc. IEEE Custom Integrated Circuits Conf., Sep. 18–21, 2005, pp. 160–163. [27] F. Bruccoleri, E. A. M. Klumperink, and B. Nauta, “Wide-band CMOS low-noise amplifier exploiting thermal noise canceling,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 275–282, Feb. 2004. [28] F. Bruccoleri, E. Klumperink, and B. Nauta, “Noise cancelling in wideband CMOS LNAs,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, Feb. 3–7, 2002, vol. 1, pp. 406–407. [29] S. C. Blaakmeer, E. A. Klumperink, D. M. Leenaerts, and B. Nauta, “A wideband noise-canceling CMOS LNA exploiting a transformer,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., Jun. 11–13, 2006, 4 pp. [30] J. Janssens, J. Crols, and M. Steyaert, “A 10 mW inductorless, broadband CMOS low noise amplifier for 900 MHz wireless communications,” in Proc. IEEE Custom Integrated Circuits Conf., May 11–14, 1998, pp. 75–78. [31] F. Lee and A. Chandrakasan, “A BiCMOS ultra-wideband 3.1–10.6 GHz front-end,” in Proc. IEEE Custom Integrated Circuits Conf., Sep. 18–21, 2005, pp. 153–156. [32] F.-T. Chien and Y.-J. Chan, “Bandwidth enhancement of transimpedance amplifier by a capacitive-peaking design,” IEEE J. Solid-State Circuits, vol. 34, no. 8, pp. 1167–1170, Aug. 1999. [33] Q. Li and Y. P. Zhang, “CMOS T/R switch design: Towards ultra-wideband and higher frequency,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 563–570, Mar. 2007.

2023

Qiang Li (S’04–M’07) was born in Xuchang, China, in 1979. He received the B.E. degree in electrical engineering from the Huazhong University of Science and Technology (HUST), Wuhan, China, in 2001, and the Ph.D. degree in electrical and electronics engineering from Nanyang Technological University (NTU), Singapore, in 2007. From 2001 to 2002, he was an RTP Analog/MixedSignal Integrated Circuit Designer with the Center for Wireless Communications (later known as the Institute for Communications Research and currently the Institute for Infocomm Research, A*STAR), Singapore. In 2006, he joined the Institute of Microelectronics, Singapore, where he is a Senior Research Engineer involved with analog and mixed-signal integrated circuits. His research interests include analog/RF circuits for wireless communications, data converters, and mixed-signal circuits for biomedical applications.

Yue Ping Zhang received the B.E. degree from the Taiyuan Polytechnic Institute, Taiyuan, China, in 1982, the M.E. degree from the Shanxi Mining Institute, Taiyuan University of Technology, Shanxi, China, in 1987, and the Ph.D. degree from the Chinese University of Hong Kong, Hong Kong, in 1995, all in electronic engineering. From 1982 to 1984, he was with the Shanxi Electronic Industry Bureau. From 1990 to 1992, he was with the University of Liverpool, Liverpool, U.K. From 1996 to 1997, he was with the City University of Hong Kong. From 1987 to 1990, he was with the Shanxi Mining Institute. From 1997 to 1998, he was with the University of Hong Kong. In 1996, he became a Full Professor with the Taiyuan University of Technology. He is currently an Associate Professor and the Deputy Supervisor of the Integrated Circuits and Systems Laboratories, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He has authored or coauthored numerous publication for seven IEEE societies. He has delivered scores of invited papers/keynote address at international scientific conferences. He has broad research interests in radio science and technology. He is listed in Marquis Who’s Who, Who’s Who in Science and Engineering, and Cambridge IBC 2000 Outstanding Scientists of the 21st Century. He serves on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering and was a Guest Editor of this journal for the “Special Issue on RF and Microwave Subsystem Modules for Wireless Communications.” He also serves as an Associate Editor of the International Journal of Microwave Science and Technology. Dr. Zhang serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He has organized/chaired dozens of technical sessions of international symposia. He was the recipient of the 1990 Sino-British Technical Collaboration Award for his contribution to the advancement of subsurface radio science and technology. He was the recipient of the 2000 Best Paper Award presented at the Second International Symposium on Communication Systems, Networks and Digital Signal Processing, Bournemouth, U.K., and the 2007 Best Paper Prize presented at the Third IEEE International Workshop on Antenna Technology, Cambridge, U.K. He was also the recipient of the 2005 William Mong Visiting Fellowship presented by the University of Hong Kong.

2024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Complete Stability Analysis of Multifunction MMIC Circuits César Barquinero, Almudena Suárez, Senior Member, IEEE, Amparo Herrera, Member, IEEE, and José Luis García, Member, IEEE

Abstract—This paper describes a systematic methodology for complete stability analysis of nonlinear microwave multifunction circuits. The proposed strategy has two different stages: the stability analysis of a nominal steady-state solution and the use of continuation techniques to efficiently determine the unstable operation ranges. The stability analysis is demanding due to the multiple loops contained in the large multifunction circuit. The first step is to check the possible fulfillment of the oscillation startup conditions at different circuit nodes followed by pole-zero identification. Given the complexity of the circuit topology, a systematic technique is necessary for the selection of the observation nodes. This has been applied at both the lumped-element schematic and the layout levels. These stability analyses have been carried out at small-signal (linear) and large-signal (nonlinear) since the multifunction circuit includes a nonlinear mixer. In the case of instability, the origin of the oscillation and its characteristics are analyzed versus the critical circuit parameters through the application of continuation techniques to the steady-state oscillatory solution. Moreover, sensitivity yield analysis and variations of environmental conditions combined with the stability techniques have also been taken into account and integrated into the design cycle. The proposed systematic approach has been successfully applied to determine and correct an oscillation of a multifunction monolithic-microwave integrated-circuit converter. It has also been proven in other multifunction circuits in the same way. Index Terms—Bifurcation, continuation techniques, multifunction monolithic microwave integrated circuit (MMIC), stability analysis, stabilization.

I. INTRODUCTION

O

NE OF the most important tradeoffs in the design of new electronic equipment is the reduction of the physical dimensions while maintaining, or even improving, the system performance. Monolithic-microwave integrated-circuit (MMIC) technology offers a solution to this problem through the use of multifunctional chips. Such circuits include several

Manuscript received February 9, 2007; revised June 15, 2007. This work was supported in part by the Spanish Ministries under Grant TEC2006-13067C03-02 and Grant TEC2005-08377-C03-01/TCM. C. Barquinero was with the Departamento Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros Industriales y Telecomunicación, Universidad de Cantabria, 39005 Santander (Cantabria), Spain. He is now with Indra Espacio, 28830 San Fernando de Henares, Spain (e-mail: cbarquinero@indra. es). A. Suárez and A. Herrera are with the Departamento Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros Industriales y Telecomunicación, Universidad de Cantabria, 39005 Santander (Cantabria), Spain (e-mail: [email protected]; [email protected]). J. L. García was with the Departamento Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros Industriales y Telecomunicación, Universidad de Cantabria, 39005 Santander (Cantabria), Spain. He is now with ACORDE S.A., 39005 Santander, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.906498

functions optimized together in the same chip, allowing a high level of integration and a minimization of the chip surface and routing interfaces. Careful design is necessary, as circuit tuning is impossible after manufacture. The success of the design relies on aspects such as the selection of the most suitable technology process, which should provide measurement-based accurate models for the circuit components, and the application of appropriate analyses and design techniques. Unfortunately, the use of multifunction circuits increases the circuit complexity, requiring the development of systematic and agile design techniques. Due to the multiple active elements contained in the circuit and the existing feedback loops (e.g., due to common bias circuitry), the stability analysis will also be essential in order to predict any undesired behavior. The numerous difficulties involved in stability analysis in complex circuits have been highlighted by experts in MMIC design [1]. or factor analyses, intended In small-signal conditions, for two-port networks, are often applied to amplifiers and other single-function circuits. However, in order for these analyses to be reliable, the unloaded two-port network must contain no poles on the complex right-hand plane (RHP) [2]. They can be inoperative in multifunction circuits because of the existence of multiloop paths [1]. The techniques proposed in [2]–[4] are rigorous and complete, but require the verification of the Nyquist stability criterion for as many open-loop transfer functions as the total number of nonlinear elements contained in the circuit analyzed. The designer must have access to the models of the devices used, and their application to the case of multifunction circuits would be cumbersome. In this study, a specific design strategy is proposed to predict and correct unstable behavior in the multifunction MMIC. The procedure is divided into two steps. In a first step, the stability of the circuit is analyzed at a nominal operation point. This is done through the evaluation of a closed-loop transfer function at multiple observation ports and at two different accuracy levels. For a quick analysis, the possible fulfillment of the oscillation startup conditions is verified, using a perturbation generator to define a total admittance function, similar to the one used in oscillator analysis, at the particular observation node. For a more rigorous analysis, pole-zero identification is applied to the closed-loop transfer function provided by the inverse of the defined admittance. This has been done at both small-signal (linear) and large-signal (nonlinear) design levels. Similar analyses have been used in single function circuits such as amplifiers [5]–[10] and frequency dividers [11], [12]. To our knowledge, this is the first time that these techniques have been systematically applied to complex multifunction circuits. Due to the existence of multiloop paths, different observation ports must be considered for this application. This is

0018-9480/$25.00 © 2007 IEEE

BARQUINERO et al.: COMPLETE STABILITY ANALYSIS OF MULTIFUNCTION MMIC CIRCUITS

2025

Fig. 1. Schematic of the multifunction MMIC converter where the stability design techniques were applied.

because of the possible reduced sensitivity at some locations of the multifunction circuit. The analysis is applied at both lumpedelement schematic and layout levels, as the distributed elements may introduce additional feedback due to coupling effects in bridges between lines in different layers in the layout. In the case of instability, the steady-state oscillatory solution is determined in a second analysis step, using nonlinear simulation tools. The purpose is to investigate the nature and characteristics of the oscillation and determine the critical circuit elements causing the undesired behavior. Continuation techniques, specially developed for autonomous circuits, are applied in order to analyze the evolution of the oscillatory solution versus these elements. This calculation of the instability margins will be useful for optimum stabilization of the circuit. Once the critical circuit elements have been determined, the next step will be the design correction in order to avoid the instability. This correction may involve the modification of some element values or the addition of notch filters or traps at the undesired oscillation frequency, which will, generally, require a subsequent re-optimization of the overall circuit. The redesign is carried out taking into account both the elements’ yield dispersion of the selected process and the very strict environmental conditions required. The proposed approach has been used here for detailed stability analysis and stabilization of a multifunction MMIC converter. The systematic procedure presented has shown great potential for efficient determination and suppression of instabilities in other large MMIC circuits.

II. OPERATION OF THE MULTIFUNCTION MMIC CONVERTER The complete multifunction MMIC chip is an -band frequency down-converter, which includes five different functions, i.e., RF, local oscillator (LO), and IF amplification, phase shifting, and doubly balanced frequency conversion. The mixer

is implemented with a symmetric ring field-effect transistor (FET) resistive configuration [13], whereas differential amplifiers are used at both the RF and LO ports in order to balance the signal and increase the power level. Finally, a push–pull amplifier is implemented at the IF port in order to combine the 180 out-of-phase signals at the mixer outputs, improving the gain and efficiency of the converter. This IF amplifier included parallel filter, connected in series at its output. a first-order This filter was used so as to unconditionally stabilize the amplifier. The resulting MMIC is a complex structure containing 12 nonlinear transistors. The schematic of the circuit is shown in Fig. 1. The chip has been manufactured using a GaAs commercial foundry process where the pseudomorphic HEMT (pHEMT) GHz. transistors have a gate length of 0.2 m with The foundry provides libraries with models for all active and passive circuit elements compatible for use with standard circuit simulators. The first version of the circuit, shown in Fig. 2(a), exhibited an oscillation at 23.5 GHz. Note that the unstable frequency is much higher than the IF frequency of operation, which is around 170 MHz for this application. The oscillation was observed even without applying any drive signals at either the RF or LO ports, which means that it was caused by the instability of the dc solution of the multifunction circuit. The oscillation persisted at dBm , as the required nominal LO power value shown in the spectrum measured in Fig. 3. The oscillation significantly degraded the converter performance, especially the output power at 1-dB gain compression, as only 5 dBm was obtained instead of the expected value of 2 dBm. It should be noted that the multifunction converter was stable for higher LO powers than the nominal one (e.g., dBm). However, this characteristic was not practical since it meant an increase in the spurious outputs dependent on the LO, the saturation of the LO amplifier, and raising the LO

2026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

higher than 0.7 V, the circuit was stable. Nevertheless, those values degraded the performance of the mixer (e.g., increase in the conversion losses, degradation in the isolation). Typically, for optimum performance is between the mixer voltage [ 0.9 V, 1.2 V]. III. STABILITY ANALYSIS The stability analysis of the multifunction circuit is divided into two steps. In the first step, the stability of the circuit is analyzed at a nominal operation point for both small-signal and large-signal. In the second step, the evolution of the steadystate oscillatory solution is studied versus key design parameters using harmonic balance (HB). A. Small-Signal Stability Analysis

Fig. 2. (a) First and (b) second versions of the multifunction MMIC converter, both of them 2.5 mm 2.5 mm in size.

2

Fig. 3. Output spectrum of the first version of the multifunction MMIC converter, with an undesired oscillation at 23.5 GHz.

power consumption, critical for the majority of communications applications. In the same way, the oscillation could also be . For values eliminated by means of the mixer voltage

The stability analysis relies on the calculation of a closedloop transfer function of the multifunction circuit to which polezero identification will be applied [14]. It should be noted that all the possible closed-loop transfer functions, defined in a linear circuit, share the same denominator and, thus, contain the same poles [15]. In contrast, the zeroes depend on the particular definition of the transfer function. For the calculation of this function, a small-signal current generator at a frequency is introduced in parallel at a given circuit node, obtaining the ratio between the node voltage and the current introduced. The generator frequency is swept and pole-zero identification is . As the zeroes depend on the particular definiapplied to tion of the transfer function, pole-zero cancellations may occur at particular locations of the current source so different observation nodes must be considered for this analysis. Due to the high time consumption of the rigorous pole-zero identification, an evaluation of the inverse function is also used for checking the possible fulfillment of the oscillation startup conditions versus the frequency. It should be agrees with the total admittance in noted that the function the small signal at the particular observation node. According to [16], an oscillation at a frequency will start up if the conand Imaginary with ditions Real Imaginary are fulfilled. Due to the complexity of the multifunction circuit, a systematic method must be used for the selection of the observation nodes, which is done at the lumped-element schematic level and at the layout level. The methodology is summarized below. 1) Analyses at the Lumped-Element Schematic Level: Initially, the multifunction converter was analyzed at the lumpedelement schematic level, without including the effects of the microstrip lines contained by the complete MMIC. In this way, the complexity of the circuit was reduced and, consequently, the required computation time. The nodes in the schematic of Fig. 1 were classified into three groups, indicated, respectively, as 1, 2, and 3. Firstly, observation nodes classified as 1 were considered, which correspond to the six terminals of the mixer. These nodes are, in fact, the external terminals of the mixer transistors. It should be pointed out that the internal transistor nodes were not accessible. At the external nodes of type 1, multiloop effects can be obtained due to the connection of the three circuit amplifiers, used, respectively, for the RF, LO, and IF signals. This may lead

BARQUINERO et al.: COMPLETE STABILITY ANALYSIS OF MULTIFUNCTION MMIC CIRCUITS

2027

Fig. 4. Equivalent-circuit model of a bridge between lines in different layers.

to feedback through signal or dc-bias paths. However, when applying these analysis techniques, none of the mixer nodes exhibited any instability at any frequency . In a second stage, the analysis was applied at the nodes classified as 2 in Fig. 1. These nodes correspond to the gate terminals of the transistors used in the RF and LO amplifiers. Typical instabilities occur at these locations due to feedback through the gate–source capacitance of the transistors. However, no instabilities were observed at any of the observation nodes either. Finally, the output node of the IF amplifier, classified as 3, was analyzed, detecting no instability. In conclusion, the oscillation observed in the measurement could not be detected at the lumped-element schematic level. Therefore, it was likely to be due to additional feedback effects introduced by the distributed elements. 2) Analyses at the Layout Level: As previously stated, all the analyses at the schematic level predicted stable behavior so they were repeated at the layout level. This kind of simulation is demanding since the multifunction circuit consists of more than 800 elements. Moreover, the large number of control-bias and RF signal paths contained in the multifunction MMIC circuit leads to much higher layout complexity than in single-function circuits. Normally, bridges are necessary between transmission lines in different layers of the MMIC in the layout. These bridges give rise to signal coupling between the layers, as represented in the equivalent circuit in Fig. 4. The capacitance value depends on the linewidth. The wider the lines, the higher the . Typically, it will be around picovalue of the capacitance farad or femtofarad. This capacitance results in coupling signals between layers, which gets higher as the frequency increases. Such coupling can introduce feedback and cause unstable behavior. In our application, besides the number of control-bias and RF signal paths, symmetry is required for the signals at the mixer. This fact increased the complexity of the layout so various bridges were needed, especially in the area of the mixer, to which the three amplifiers were connected. Therefore, for the analyses at the layout level, besides the three sets of nodes discussed in Section III-A.1, the nodes near bridges between lines had to be considered. Bridges between two signal paths, between dc paths and signal paths, and between two dc paths were taken into account. Various nodes were analyzed until instability was found at the oscillation frequency obtained in the measurements. The evaluat the RF input node of the mixer ( in Fig. 1), ation of depicted in Fig. 5(a), showed the fulfillment of the oscillation

Fig. 5. (a) Real and imaginary parts of the small-signal admittance Y (f ). (b) Pole-zero map obtained at the S 1 node of the first version of the multifunction MMIC converter, showing unstable behavior around 26.5 GHz.

condition around 26.5 GHz. For a more rigorous verification, identification techniques were also applied to the transfer func. The results are shown in Fig. 5(b). This tion analysis provided of a pair of complex conjugate poles with positive real part around 26.5 GHz, which confirmed the instability observed in the measurements. Other converter nodes, desigin Fig. 1, were also sensitive, corrobonated as , , and node. Note that rating the unstable frequency obtained at the all of these four nodes were in the area of the mixer, thereby ratifying our assumption regarding the most sensitive area of the multifunction circuit. To investigate the influence of the different sections of the multifunction circuit on the detected oscillation, the analysis was repeated replacing different parts of the layout with an ideal schematic connection. In this way, the impact of these parts on the transfer function could be evaluated. The selected parts were the most likely to give rise to unstable behavior. In the first place, bridges in the mixer area were studied. Due to the complexity of the layout, that area included various

2028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

bridges between signal paths, specifically between the lines containing the nodes – , – , and – . Although these bridges were consecutively substituted for ideal connections, unstable behavior was obtained in all cases. In the second place, the rest of the bridges of the layout were changed with unsuccessful results. In the third place, the microstrip lines between the amplifiers and mixer were replaced, and unstable behavior was still obtained in all cases. Finally, the microstrip lines between components and transistors were also substituted for ideal connections. After replacing the microstrip line at point 3 in Fig. 1, the circuit became stable. Hence, the most critical part of the multifunction circuit turned out to be the output of the IF amplifier. B. Large-Signal Stability Analysis The large-signal stability analysis of the multifunction circuit is carried out considering the input power delivered by the LO. In contrast, the RF input signal is not taken into account, as it will have no effect on the circuit stability, due to its small-signal value. For the stability analysis of the large-signal regime at the LO , a small-signal current generator is introduced at frequency a circuit node [11], at a frequency nonharmonically related . As the circuit operates in small signal with respect to to this current source, the entire MMIC circuit is linearized about the large-signal periodic regime at the fundamental frequency using the conversion matrix approach [14]. This allows ob, given by the taining of the small-signal input admittance and the node voltage ratio between the introduced current at the frequency . The single-input single-output transfer agrees with the inverse of . function The large-signal stability analysis was carried out at the layout level, selecting the nodes that were found to be sen, , , and . sitive in the small-signal analysis, i.e., The procedure was divided into two steps. In the first step, a wide frequency interval was used for the analysis (around the 20-GHz band) with the aim of detecting the frequency of possible fulfillment of the oscillation startup conditions from , evaluated at the particular circuit node. A the function narrow frequency interval around this frequency was then analyzed in order to achieve higher accuracy in the determination obtained for of the oscillatory frequency. The admittance dBm at the node , depicted in Fig. 6, shows the fulfillment of the oscillatory conditions [16] around 26.7 GHz. This result was confirmed by the pole-zero identification of [14]. After verifying the circuit instability for these operation conditions, the evolution of the oscillatory solution versus the LO power was analyzed. For each steady-state solution, a frequency sweep was carried out in the frequency of the small-signal current generator, and pole-zero identification was applied to . The evolution of the real the resulting transfer function part of the poles versus the LO power for two mixer voltages V and V is depicted in Fig. 7. The results show the stabilization of the multifunction circuit when V, the circuit is stable the LO power increases. For for LO power higher than 14 dBm. This value is slightly lower

Fig. 6. Real and imaginary parts of the admittance Y (f ) obtained by means of the large-signal stability analysis with P = 10 dBm, showing the fulfillment of the oscillatory conditions around 26.7 GHz.

0

Fig. 7. Evolution of the real part of the poles versus the LO power, obtained by means of the large-signal stability analysis for several mixer voltages V m.

than the experimental one, stated in Section II, which can be explained due to inaccuracies in the transistor models. The same kind of analysis was carried out for fixed LO power dBm versus the mixer voltage , varying in the interval from 1.2 to 0.5 V. The resulting evolution of is shown the variation of the real part of the poles versus in Fig. 8. For comparative purposes, the variation of the real part of the poles obtained in small-signal conditions, following the procedure in Section III-A, is also superimposed. As can be value when the seen, the circuit is stabilized from a smaller value from which LO power is present in the circuit. The increases. the circuit becomes stable tends to decrease as C. Steady-State Oscillatory Solution With HB 1) Determination of the Steady-State Oscillatory Solution: In order to accurately determine the instability origin and oscillation characteristics, the steady-state analysis of this oscil-

BARQUINERO et al.: COMPLETE STABILITY ANALYSIS OF MULTIFUNCTION MMIC CIRCUITS

Fig. 8. Evolution of the real part of the poles versus the mixer voltage V m for = 10 dBm. the small-signal analysis and the large-signal analysis with P

0

lation was carried out. This is done by introducing an auxiliary generator (AG) into the circuit [17], which prevents the undesired convergence towards the unstable dc solution. The AG is a voltage generator connected in parallel at the sensitive node S1. . In series with It operates at the oscillation frequency , which prethis generator, there is an ideal bandpass filter at vents the short circuiting of any other frequency components. The AG must lead the HB simulator to the steady-state oscillatory solution without affecting this solution. Thus, it must fulfill the so-called nonperturbation condition, given by the zero value of the ratio between its current and the delivered voltage . In combination with the HB system, and this equation is solved in terms of the AG frequency . This can be done through error-minimization amplitude algorithms or through optimization when using commercial HB. By applying the described technique at the sensitive node , a steady-state oscillation was obtained at 26.56 GHz, with output dBm. The corresponding spectrum is shown power in Fig. 9. The slight quantitative discrepancies ( 12%) between the experimental oscillation frequency and simulated results are attributed to inaccuracies in the model description of the circuit elements, as well as process deviations. Regarding the predicted output power, there are also differences, attributed to losses in the assembly and other components in the printed circuit board (PCB) used to characterize the converter. Note that the nominal output IF frequency was 178 MHz, which is much lower than the oscillation frequency. Therefore, the components used, such as the substrate, a surface mount decoupling capacitance, and the output connector, were not specified to be used up to 23.5 GHz so the power loss at the oscillation frequency was underestimated in the simulation. Overall, such differences are acceptable, taking into account the complexity of the circuit analyzed. This steady-state analysis provides information about the oscillation, which can be useful, especially in complex multifunction circuits. The voltage amplitude and phase values at the os-

2029

Fig. 9. Output spectrum of the multifunction MMIC converter obtained by the nonlinear steady-state analysis, showing an undesirable oscillation at 26.56 GHz.

Fig. 10. Evolution of the oscillation amplitude through the multifunction MMIC converter, obtained by the nonlinear steady-state analysis.

cillation frequency of 26.56 GHz at different circuit nodes are shown in Fig. 10. As can be seen, the signals are in-phase at the RF mixer inputs, which reinforces the oscillation at the IF . A similar effect occurs mixer outputs, especially at node at the LO mixer inputs where the signals are 35 out-of-phase. Finally, the oscillation is 109 out-of-phase at the IF mixer outputs (i.e., push–pull inputs) so this oscillation is observed at the output spectrum, depicted in Fig. 9. 2) Continuation Techniques to Determine the Origin of the Instability: To devise a stabilization technique, the influence of different parameters on the observed oscillation was analyzed with a continuation technique. For this analysis, no LO power is considered because, as indicated in all previous study, the instability, in terms of bias voltages and other parameters, is more relevant when no power is injected. This is because the circuit stabilizes from certain LO power. The analysis is carried out with the aid of the previously introduced AG. A single sweep is carried out, obtaining, at each and frequency step, the values of the AG amplitude for which the nonperturbation condition is fulfilled. In high-slope sections of the oscillation amplitude versus the

2030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 12. Real part of the admittance Y (f ) for the second version of the multifunction MMIC converter, obtained by the small- and large-signal analysis with P = 0 dBm, showing stable performance and great stability margin.

Fig. 11. (a) Evolution of the oscillation output power at 26.56 GHz obtained by the steady-state analysis. (b) Evolution of the real part of the poles versus the length L of the transmission line between the output of the IF amplifier and the RC filter. The circuit becomes stable for L < 177 m.

parameter, the AG amplitude is swept, calculating and the particular parameter at each amplitude step. The instability was found to be very sensitive to the length of the microstrip line between the transistors of the amplifier filter. Fig. 11(a) shows the variation of the and the output oscillation amplitude versus the line length. For lengths shorter than 177 m, the oscillation is extinguished in an inverse Hopf bifurcation [17]. On the other hand, the oscillation amplitude is nearly flat for lengths longer than 250 m. These results are confirmed by the small-signal analysis. For was obtained by each value, the closed-loop function sweeping the frequency of the small-signal current generator introduced at the sensitive node . The results obtained are depicted in Fig. 11(b). The dependence of the unstable frequency on the length is confirmed when comparing the results of Fig. 11(a) and (b). Therefore, the multifunction circuit becomes stable for lengths shorter than 177 m. Note that, in comparison with the direct sweep of Fig. 11(a), the pole-zero identification

Fig. 13. Variations, due to yield process dispersion, of the real part of the admittance Y (f ) at a node for the second version of the multifunction MMIC converter, showing unconditional stable performance for 100 samples.

of Fig. 11(b) requires a post-processing of the data obtained with the frequency sweep. IV. STABILIZATION OF THE CIRCUIT A. Stabilization Criterion Taking into account the previous results, the second version of the multifunction MMIC converter was designed minimizing the critical length . Moreover, bridges between transmission lines in different layers were avoided as much as possible during the development of the layout so as to reduce the number of locations prone to feedback. The complexity of this layout should be noted since the circuit involved several functions, it required symmetry for the mixer and it included a large number of concircuit trol-bias and signal paths. Finally, the values of the were also optimized considering the following general rules: 1) minimum disturbance to the converter performance;

BARQUINERO et al.: COMPLETE STABILITY ANALYSIS OF MULTIFUNCTION MMIC CIRCUITS

2) sufficient stability margin for Real [16] to ensure stable performance considering the technological dispersion of the circuit parameters and the very strict environmental requirements (from 30 to 65 ); 3) minimum chip area. The stability analyses were integrated into our design cycle for the second version. These analyses were carried out at various potentially sensitive nodes of the circuit at both lumped-element schematic and layout levels. The circuit was and . All these also analyzed for several mixer voltages techniques helped to accomplish the successful design of the output filter of and chip. After simulations, an pF was implemented next to the output of the push–pull amplifier, obtaining a stable admittance function with no degradation in the circuit performance. The real part of admittance obtained by means of the small- and large-signal analysis dBm are depicted in Fig. 12. As can be seen, with the second version of the chip showed stable performance and . This presented great stability margin for Real stable operation was also confirmed by pole-zero identification . of

2031

Fig. 14 Pole map obtained at a node for the second version of the multifunction MMIC converter for 30 , 25 , and 65 , showing stable performance.

0

+

B. Yield and Environmental Stability Analyses Sensitivity yield prediction tools, using the statistical models provided by the foundry, were used in the stability analysis in order to predict the deviation in performance due to process variations. As previously indicated, the aim of the design was to in order provide enough stability margin for Real to ensure stable operation for the majority of chips. The yield results obtained at a potentially sensitive node of the multifunction circuit for 100 samples, at the layout level, are depicted in Fig. 13. This showed unconditional stable performance. This analysis was repeated in various potentially sensitive nodes of the circuit with successful results. In the same way, environmental analyses were also carried out. The admittance function in various potentially sensitive nodes of the circuit was analyzed for different temperatures, and then pole-zero identification was applied. The multifunction converter was stable for the complete temperature range, i.e., from 30 C to 65 C. The pole map obtained at a potentially sensitive node is shown in Fig. 14. V. MEASUREMENTS OF THE STABILIZED MULTIFUNCTION MMIC CONVERTER The second version of the multifunction MMIC converter was manufactured and measured. A photograph of the chip is shown in Fig. 2(b). The circuit, as can be seen in Fig. 15, is stable for any frequency, showing very good RF performance. The output power at 1-dB compression point is 3.1 dBm, achieving dramatic improvement in comparison with the first unstable version. The circuit has also been tested at 30 C, 25 C, and 60 C inside a controlled climatic chamber. Performance measurements (e.g., isolation between ports, spurious outputs, and output power at 1-dB gain compression) indicate the new multifunction MMIC converter is stable. This chip is now ready to be used in new electronic equipment.

Fig. 15. Output spectrum of the second version of the multifunction MMIC converter, showing stable performance.

VI. APPLICATION OF THE SYSTEMATIC APPROACH TO OTHER COMPLEX MULTIFUNCTION CIRCUITS The systematic methodology described above was also applied to other complex multifunction circuits. For example, the same procedures were used in the design cycle of the multifunction converter shown in Fig. 16. In this case, the circuit consists of five different functions and 22 transistors. The analyses were carried out at the nodes , shown in Fig. 16, at both schematic and layout levels. Several sta, , and ) were added to the bility networks ( , multifunction circuit in order to increase the stability margin Real [16]. The small-signal admittance obtained at node and the pole-zero map of the transfer are depicted in Fig. 17(a) and (b). As can be function seen, the circuit is stable and presents a great stability margin . This MMIC was also manufactured for the real part of and measured, showing stable performance.

2032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 16. Schematic of another multifunction MMIC converter where the stability design techniques were also successfully applied during the design step.

VII. CONCLUSION Effective and efficient low-time consuming systematic design techniques suitable for evaluating the stability of complex multifunction MMICs have been presented. The stability analyses, along with yield and environmental simulations, have been incorporated into the design process, improving the probability of successful design. This is essential, especially for multifunction MMICs, as circuit tuning is impossible after manufacture. The proposed approach has been successfully applied to correct an undesired oscillation in a multifunction MMIC converter. Moreover, the same systematic techniques have also been applied to other more complex multifunction circuits. ACKNOWLEDGMENT The authors wish to thank all their colleagues at the Microwave Engineering and Radio Communications Systems Group, Communications Engineering Department, University of Cantabria, Santander, Spain, who have collaborated in this study. The authors would also like to thank the staff of the Assembly Laboratory, Communications Engineering Department, University of Cantabria, for the assembly of the chips, and J.-M. Collantes, University of the Basque Country, Basque Country, Spain. REFERENCES [1] M. Soulard, M. Delmond, J. L. Cazaux, Y. Butel, E. Laporte, J. C. Sarkissian, and J. F. Villemazet, “Evolution and recent development in MMICs for space applications,” in Proc. 2nd IEEE Int. Microw. Millimeter Wave Technol. Conf., Beijing, China, Sep. 2000, pp. 219–222. [2] A. Platzker, W. Struble, and K. T. Hetzler, “Instabilities diagnosis and the role of in microwave circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 1993, vol. 3, pp. 1185–1188. [3] W. Struble and A. Platzker, “A rigorous yet simple method for determining stability of linear -port networks,” in 15th Annu. Gallium Arsenide Integrated Circuits Symp. Dig., San José, CA, Oct. 1993, pp. 251–254. [4] S. Mons, J. C. Nallatamby, R. Queré, P. Savary, and J. Obregon, “A unified approach for the linear and nonlinear stability analysis of microwave circuits using commercially available tools,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2403–2409, Dec. 1999.

K

N

Yf

Fig. 17. (a) Real and imaginary parts of the small-signal admittance ( ). (b) Pole-zero map obtained at the 1 node of another multifunction MMIC converter, showing stable behavior.

T

BARQUINERO et al.: COMPLETE STABILITY ANALYSIS OF MULTIFUNCTION MMIC CIRCUITS

[5] A. Anakabe, J. M. Collantes, J. Portilla, J. Jugo, A. Mallet, L. Lapierre, and J. P. Fraysse, “Analysis and elimination of parametric oscillations in monolithic power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig, Seattle, WA, Jun. 2002, vol. 3, pp. 2181–2184. [6] A. Anakabe, J. M. Collantes, J. Portilla, J. Jugo, S. Mons, A. Mallet, and L. Lapierre, “Analysis of odd-mode parametric oscillations in HBT multi-stage power amplifiers,” in Eur. Microw. Week—11th GaAs Symp., Munich, Germany, Oct. 2003, pp. 533–536. [7] A. Collado, F. Ramírez, and A. Suárez, “Analysis and stabilization tools for microwave amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, vol. 2, pp. 945–948. [8] S. Mons, J.-C. Nallatamby, R. Queré, P. Savary, and J. Obregon, “A unified approach for the linear and nonlinear stability analysis of microwave circuits using commercially available tools,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2403–2409, Dec. 1999. [9] S. Jeon, A. Suárez, and D. B. Rutledge, “Global stability analysis and stabilization of a class-E/F amplifier with a distributed active transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3712–3722, Dec. 2005. [10] L. Samoska, K. Y. Lin, H. Wang, Y. H. Chung, M. Aust, S. Weinreb, and D. Dawson, “On the stability of millimetre-wave power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 1, pp. 429–432. [11] V. Iglesias, A. Suárez, and J. L. García, “New technique for the determination through commercial software of the stable-operation parameter ranges in nonlinear microwave circuits,” IEEE Microw. Guided Wave Lett., vol. 8, no. 12, pp. 424–428, Dec. 1998. [12] A. Suárez, J. Morales, and R. Queré, “Synchronization analysis of autonomous microwave circuits using new global-stability analysis tools,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 494–504, May 1998. [13] S. A. Maas, Nonlinear Microwave and RF Circuits, 2nd ed. Norwood, MA: Artech House, 2003, pp. 525–535. [14] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2001. [15] J. Jugo, A. Anakabe, and J. M. Collantes, “Control design in the harmonic domain for microwave and RF circuits,” Proc. Inst. Elect. Eng. —Control Theory Applicat., vol. 150, no. 2, pp. 127–131, Mar. 2003. [16] K. Kurokawa, “Some basic characteristics of broadband negative resistance oscillator circuits,” Bell Syst. Tech. J., vol. 48, pp. 1937–1955, Jul.–Aug. 1969. [17] A. Suárez and R. Queré, Global Stability Analysis of Microwave Circuits. Norwood, MA: Artech House, 2003.

César Barquinero was born in Santander, Spain. He received the M.S. degree in telecommunications engineering from the Universidad de Cantabria, Santander, Spain, in 2001, and is currently working toward the Ph.D. degree at the Universidad de Cantabria. From 2001 to 2003, he was a Full-Time Researcher with the Departamento Ingeniería de Comunicaciones, Universidad de Cantabria, where he was involved with hybrid and MMIC design for wireless communication systems. In 2004, he joined Advanced Communications Research and Development (ACORDE S.A.), as a RF/Microwave Engineer, where he was involved with RF design and integration of communications systems. Since November 2005, he has been with Indra Espacio, San Fernando de Henares, Spain, where he is in charge of the development of new RF/microwave products for satellite communications systems. His areas of interest include RF design of communications systems and nonlinear analysis of RF circuits.

2033

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics degree and Ph.D. degree from the Universidad de Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. She is currently a Full Professor with the Departamento Ingeniería de Comunicaciones, Universidad de Cantabria. She has been the leading Researcher in several Spanish research and development projects and has taken part in a number of Spanish and European projects in collaboration with industries. She has been Technical Referee of the Spanish Evaluation Agency for research proposals. She coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). She has authored or coauthored over 40 papers in IEEE and international journals. She has given invited talks at different conferences and institutions in Germany, France, and the U.S. Her areas of research interest include the nonlinear design of microwave circuits, especially stability and phase-noise analysis, and the investigation of chaotic regimes. Dr. Suárez is a member of the Technical Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). She is an IEEE Distinguished Microwave Lecturer (2006–2008).

Amparo Herrera (M’06) was born in Aviles (Asturias), Spain. She received the Electronic Physics and Ph.D. degrees from the Universidad de Cantabria, Santander, Spain, in 1987 and 1995, respectively. In 1987, she joined Spanish Navy, Research and Development (R&D) Centre (CIDA), where she was in charge of the development of its RF laboratories and the supervision of several Spanish R&D projects. In 1990, she joined the Departamento Ingeniería de Comunicaciones, Universidad de Cantabria, where she was involved with RF MMIC) design. During this period, she designed (in 1992) several MMIC power amplifiers for Philips Microwave Limeil (PML) (now OMMIC). Since 1996, she has been an Associate Professor (permanent since March 2003) with the Departamento Ingeniería de Comunicaciones, Universidad de Cantabria. She is also the Researcher responsible for Spanish National projects related to the MMIC design for satellite on-board systems. Her areas of research interest include hybrid and MMIC design of RF and microwave circuits and integration of communication systems.

José Luis García (M’74) was born in Zaragoza, Spain, in 1938. He received the M.S.E. degree from the University of Zaragoza, Zaragoza, Spain, in 1964, and the Ph.D. degree from the University of Valladolid, Valladolid, Spain, in 1971. From 1966 to 1973, he was an Associate Professor with the University of Valladolid, where he was involved with analog systems simulation and pseudorandom signal generation. In 1973, he became Professor of electronics engineering with the Department of Electronics, Universidad de Cantabria, Santander, Spain. He was Head of the Departamento Ingeniería de Comunicaciones, Universidad de Cantabria, until 2006. He is currently President of ACORDE S.A., Santander, Spain. He has been involved with microwave and millimeter-wave systems and components for mobile, radio links, and satellite communications. His current research interests include broadcasting of digital TV through satellite and SMATV-DTM systems, wireless CDMA-SS for indoor applications, low-speed CDMA satellite communications, UWB communications, and lowpowered smart sensor networks. Dr. García is a member of the Committee of the E-12 professional group of the Institute of Electrical Engineers (IEE), U.K.

2034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier Changkun Park, Student Member, IEEE, Dong Ho Lee, Member, IEEE, Jeonghu Han, Student Member, IEEE, and Songcheol Hong, Member, IEEE

Abstract—A tournament-shaped magnetically coupled powercombiner architecture for a fully integrated RF CMOS power amplifier is proposed. Various 1 : 1 transmission line transformers are used to design the power combiner. To demonstrate the new architecture, a 1.81-GHz CMOS power amplifier using the tournament-shaped power combiner was implemented with a 0.18- m RF CMOS process. All of the matching components, including the input and output transformer, were fully integrated. The amplifier achieved a drain efficiency of 38% at the maximum output power of 31.7 dBm. Index Terms—CMOS, differential, impedance transformation, load impedance, power amplifier, power combiner, transformer, transmission line.

Fig. 1. Operation of a 1 : 1 transmission line transformer.

I. INTRODUCTION CMOS is the most popular device for a monolithic microwave integrated circuit (MMIC). Most analog circuits, including digital circuits and RF circuits, are designed using the CMOS process. The system-on-a-chip (SoC) techniques have developed very rapidly. However, power amplifiers and millimeterwave circuits are fabricated using compound semiconductors on account of the losses related to the silicon substrate. Thus, few studies have focused on CMOS power amplifiers. Although CMOS power amplifiers are expected to be cheaper than GaAs HBT power amplifiers and easier to integrate with other circuits, they are not considered to be useful RF power amplifiers with watt-level output powers. Recently, the potential of a CMOS power amplifier was successfully demonstrated using a distributed active transformer [1]–[3]. The distributed active transformer is considered to have the potential to improve the performance of a CMOS power amplifier. In previous studies [1]–[3], a transformer that combines power serially is used. The distributed active transformer has several advantages for use in CMOS power amplifiers, including a boost of the impedance level and a reduction of circuit loss on the conductive silicon substrate [4].

However, a power amplifier that utilizes a distributed active transformer may experience problems. The first of these is with the distributed active transformer to feed-line coupling. The coupling can eradicate both amplifier stability and asymmetry in the differential input [4]. Additionally, the gate directions of the power transistors must be different in a power amplifier using a distributed active transformer. However, to reduce the asymmetry in this situation, all of the gate directions of the power transistor must be alike. In this study, both the feed-line isolation from the magnetic coupling and the difference in the gate direction of the power transistor are removed using the proposed tournament-shaped transformer, and the benefits of its use are maintained. In Section II, a conventional output-matching network, the distributed active transformer, and the problems with these methods are explained briefly. In Section III, the tournamentshaped transformer is introduced and its advantages are presented. Section IV outlines the design of a power amplifier using the proposed transformer. Finally, the measurements of the amplifier are given.

Manuscript received January 30, 2007; revised June 22, 2007. This work was supported in part by the Korea Science and Engineering Foundation under the Engineering Research Center Program through the Intelligent Radio Engineering Center and by Samsung Electro-Mechanics. C. Park and S. Hong are with the School of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea (e-mail: [email protected]). D. H. Lee and J. Han were with the School of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea. They are now with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332-0250 USA. Digital Object Identifier 10.1109/TMTT.2007.905482

A. 1 : 1 Transmission Line Transformer

A

II. TRANSMISSION LINE TRANSFORMER

A conventional balun can be implemented with a 1 : 1 transmission line transformer. Various transmission line transformers have been the subject of intense study [5]–[7]. Fig. 1 shows the basic operation of the 1 : 1 transmission line transformer. If the and amplifier uses a differential structure, the voltages are assumed as

0018-9480/$25.00 © 2007 IEEE

and

(1)

PARK et al.: TOURNAMENT-SHAPED MAGNETICALLY COUPLED POWER-COMBINER ARCHITECTURE FOR RF CMOS POWER AMPLIFIER

2035

Fig. 2. Simplified distributed active transformer.

With the assumptions of an ideal transformer with perfect magand can be estimated. is the current netic coupling, through the primary part of the transformer. From basic transmission-line transformer theory [8]–[10], the odd-mode current flows in the opposite direction in the secondary part of the is identical to that of , transformer. As the magnitude of the relationship between and can be described as (2) Fig. 3. Representative drawing of a complete distributed active transformer.

The voltage at the output node is assumed to be . At the end of the left side, the voltage difference between the primary and secondary parts is (3) This is the same voltage difference as at the end of the right side. Consequently,

(4) If

B. Distributed Active Transformer A distributed active transformer uses a 1 : 1 transmission line transformer to combine powers. The simplified architecture of the distributed active transformer is shown in Fig. 2. In this arrangement, the ac voltages on the secondary parts are added, while the primary part is driven by the low voltage of separate active devices. However, the current of the secondary part is identical to that of the primary part if the transformer is assumed can then be calculated as as ideal.

, then (7)

(5) Thus, the transformer of the circuit configuration steps down the impedance level by a factor of 2. If the parameter is de, fined as the ideal impedance transformation ratio the of the transformer shown in Fig. 1 is 2. If the parameter is defined as the number of power stages, is 2 for the amplifier architecture shown in Fig. 1. The output power at the output terminal can then be calculated as (6) of the circuit configuration is 4. where the value A distributed active transformer uses several 1 : 1 transformers by connecting the secondary parts in a series, as shown in Fig. 2.

As shown in (7), the value of in the circuit configuration shown in Fig. 2 is 4. The number of power stages is four in in the circuit configuration this figure; thus, the value is 16. Ideally, the output power is four times higher than that of the circuit configuration shown in Fig. 1. Thus, the distributed active transformer is one of the most efficient power-combining examples of architecture for an RF CMOS power amplifier with a watt-level output power. Fig. 3 shows a representative drawing of a complete distributed active transformer with eight power stages. To make the virtual ground, circular architecture is used in this drawing. Due to the circular architecture, an input feed-line must be crossed with the transformer. This is one of the components of the transformer, as shown in Fig. 3. The isolation problem between the input feed-line and the distributed active transformer cannot be avoided. As explained in a previous study [4], a specific shielding technique is needed to prevent the feedback loop induced by the coupling between the input feed-line and transformer. The poor isolation characteristic

2036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 4. Unit transmission line transformer of the tournament-shaped power combiner.

between the input feed-line and transformer may cause stability problems in the power amplifier. Additionally, the coupling may cause asymmetries in the push/pull input [4]. This can significantly degrade performance. An additional problem is in the gate direction of the differential pairs. As shown in Fig. 3, the gate directions of each power stage of the differential pairs are not the same. However, the gate directions of all of the transistors must be identical for immunity to the process variations. Additionally, with HBT or pseudomorphic HEMT (pHEMT) technology, the base or gate directions of all of the transistors must be identical, as some processes depend on the crystal orientation in compound semiconductors. Thus, it is difficult to design a circuit-type distributed active transformer. The tournament-shaped power-combiner architecture addresses these problems. It is proposed and analyzed in Section III.

Fig. 5. Basic concept of the tournament-shaped power combiner.

III. TOURNAMENT-SHAPED POWER-COMBINER ARCHITECTURE Fig. 4 shows the unit transmission line transformer for the tournament-shaped power combiner. The configurations are different from those shown in Fig. 1. A simplified analysis of the circuit shown in Fig. 4 is similar to that of the circuit shown in Fig. 1. If the amplifier has a differential structure, the voltages and can be assumed as and

(8)

With the assumptions of an ideal transformer with perfect magcan be calculated. is the current through netic coupling, the upper part of the transformer, and is the current through the lower part of the transformer. Due to the differential operations of the power stage, the relationship of and is (9)

Fig. 6. Basic concept of the tournament-shaped power combiner with equalized load impedances of a differential pair. After [10].

If

, then

(12)

This is the same voltage difference as at the end of the right side. Consequently,

Thus, the transformer of the circuit steps down the impedance level by a factor of 2. The of the circuit shown in Fig. 4 is 2. is 2 for the amplifier architecture shown in Fig. 4. The value of the circuit then becomes 4. Thus, the circuit using the 1 : 1 transmission line transformer shown in Fig. 4 can be used as a unit cell for the power combiner. Using two unit transformers, the power-combiner architectures shown in Figs. 5 and 6 were designed [10]. Using the same analysis, the relationship among and for the circuit shown in Fig. 5 can be calculated as

(11)

(13)

The voltage at the output node is assumed to be . At the end of the left side, the voltage difference between the upper and lower parts is (10)

PARK et al.: TOURNAMENT-SHAPED MAGNETICALLY COUPLED POWER-COMBINER ARCHITECTURE FOR RF CMOS POWER AMPLIFIER

2037

Fig. 7. Equivalent circuit of the circuit shown in Fig. 6.

Fig. 9. Amplifier architecture using tournament-shaped power combiner.

Fig. 8. Amplifier architecture using the tournament-shaped power combiner with a single-ended output port.

and

can then be calculated as

(14) As both and at this point are 4, is 16. Using the same calculations, is 8 and is 2 for the circuit shown for the circuit in Fig. 6 is also 16. The in Fig. 6. Thus, ac ground of the circuit can be used as a point for the supply can be repvoltage. From the Appendix, the transformer and resented by the equivalent circuit with an inductor and a resistor, as shown in Fig. 7. The inductance in the equivalent circuit can . be resonated out with additional matching capacitor Fig. 8 shows the circuit with a single-ended output. The 1 : 1 transmission line transformer is added to the circuit shown in

Fig. 6, giving it the circuit shown in Fig. 8. If is assumed to be 50 , this 50 is stepped down to 25 by the upper 1 : 1 is 6.25 . Thus, is 8 transformer of Fig. 8. Finally, and is 2. The is, therefore, 16. Fig. 9 shows combined architecture of the two circuits shown is assumed to be 50 is transformed to in Fig. 8. If 12.5 by the upper transformer. Next, 12.5 is transformed to 3.125 by the lower 1 : 1 transformers. In the circuit shown in can be calculated as 64. Thus, Fig. 9, is 4 and is 16. the output power with the circuit shown in Fig. 9 is four times higher than the circuit shown in Fig. 8. The proposed tournament-shaped power-combiner functions as both the power combiner and impedance transformer. In order to obtain a single-ended output power, the circuit shown in Fig. 9 must be modified. The single-ended power amplifiers are shown in Figs. 10 and 11. In the circuit shown in Fig. 10, an additional 1 : 1 transformer is used for the singleended output. To combine the two circuits shown in Fig. 8, a voltage combining method is used. The ideal impedance transis 64. formation ratio is 16 and is 4. Thus, Fig. 11 shows an additional example of the power amplifier with the tournament-shaped power combiner. Unlike the circuit shown in Fig. 10, the circuit shown in Fig. 11 does not use an additional transformer for a single-ended output. To combine the two circuits shown in Fig. 8, a current-combining method is used. Thus, the ideal impedance transformation ratio is different from that of the circuit shown in Fig. 10. For this circuit, is 4 and is 4. Thus, is 16. In summary, for this section, with additional metal–insulator–metal (MIM) capacitors, as shown in Fig. 7, the tournament-shaped power combiner can be used as power combiner and output-matching network. Various modifications could be possible in the tournament-shaped power combiner , as summarized in Table I. to get various values of Although the product is used as a figure-of-merit to

2038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE I IDEAL LOAD IMPEDANCES AND OF VARIOUS CONFIGURATIONS OF THE TOURNAMENT-SHAPED POWER COMBINER

N 2T

line transformer is increased, the power-combining loss will be increased. There is no feed-line coupling problems because the feed-line does not need to be located near the output power combiner. Additionally, all of the gate direction of the power transistor can be the same. IV. DESIGN EXAMPLE OF A POWER AMPLIFIER WITH THE TOURNAMENT-SHAPED POWER COMBINER

Fig. 10. Amplifier architecture using the tournament-shaped power combiner with a voltage-combining method.

Fig. 11. Amplifier architecture using the tournament-shaped power combiner with the current-combining method.

compare different power-combining topologies, the factor of combining loss is omitted. If the number of used transmission

A 1.81-GHz power amplifier using the tournament-shaped power combiner with a 0.18- m RF CMOS process was designed. The tournament-shaped power combiner was used as both the output-matching network and the impedance transformer. A. Design and Implementation 1) Driver Stage: A spiral transformer is used in the input of the driver stage to convert the single-ended input into a differential input signal. The input-matching network of the driver stage is completed with the input transformer and an additional MIM . The driver stage was designed as class E for high capacitor efficiency. A cascode structure is adopted to remove the breakdown problems. The gate length of MD1 and MD2 is 0.18 and 0.35 m, respectively. 2) Inter-Stage Matching Network: In a conventional interstage matching network, an inductor for the load of a driver stage, a dc-blocking capacitor, and an inductor to cancel out the of MP1 is needed. In this gate–source parasitic capacitance study, to reduce the size of the inter-stage matching network, a transformer is used instead of two inductors and a MIM capacitor, as shown in Fig. 12. To complete the inter-stage matching and network, two additional MIM capacitors, i.e., , are used. 3) Power Stage: The tournament-shaped magnetically coupled power combiner shown in Fig. 11 was used as the output power combiner. The power stage was designed as class E for high efficiency. With additional MIM capacitors, i.e., and , the output-matching network is and are utilized to completed. The capacitors remove the unbalanced signal in the power combiner. The parasitic inductance of the power combiner is used as the inductor for the class-E matching network. A cascode structure was adopted to remove the breakdown problems. The gate lengths of MP1 and MP2 are 0.18 and 0.35 m, respectively. Fig. 13 shows the simulated drain voltage waveform of the differential power stage. The phase difference of the differential signal

PARK et al.: TOURNAMENT-SHAPED MAGNETICALLY COUPLED POWER-COMBINER ARCHITECTURE FOR RF CMOS POWER AMPLIFIER

2039

Fig. 14. Cross section of the transmission line transformer.

Fig. 15. Chip photograph of the implemented power amplifier.

Fig. 12. Simplified schematic of the designed power amplifier.

of the transformer is 30 m. The metal width is optimized between the parasitic resistance and silicon substrate loss. The space between the two parts of the transformer is 3 m. The maximum available gain of the designed power combiner is simulated as approximately 1.17 dB. A 2.5-D electromagnetic (EM) simulator was used to design the power combiner. A chip photograph is shown in Fig. 15. The chip size is 1.4 2.15 mm including the test pads. B. Measurement

Fig. 13. Simulated drain voltage waveform of the differential power stage.

was almost 180 . The differential signal of the power stage is converted into a single-ended signal by the tournament-shaped magnetically coupled power combiner. 4) Tournament-Shaped Power Combiner: A 0.18- m RF CMOS process was used to implement the power amplifier using the tournament-shaped magnetically coupled power combiner. The CMOS process has six metal layers. To design a low-loss power combiner, sixth and fifth metal layers were used, as shown in Fig. 14. The two metal layers are connected through a via. If a fourth metal layer is added, the silicon substrate loss of the power combiner is increased. The metal width

The losses of the bond wire, input transformer, and printed circuit board interconnections are included in the amplifier’s measured performance. Fig. 16 shows the measured drain effi, while ciency of the power stage versus the output power the supply voltage of power stage varies from 0.5 to 3.3 V. In Fig. 16, the input power is fixed at 10 dBm and the operation frequency is 1.81 GHz. The amplifier achieved a drain efficiency of 38 % at a maximum output power of 31.7 dBm. The measured dynamic range was 15.1 dB for a supply voltage of the power stage from 0.5 to 3.3 V. Fig. 17 shows the measured output power versus input power. In Fig. 17, the supply voltage and operating frequency are fixed at 3.3 V and 1.81 GHz, respectively. Fig. 18 shows the measured output power versus the frequency with various supply voltages of the power stage. The flatness of the output power is 0.34 dB at worst for an operating frequency from 1.68 to 1.98 GHz.

2040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 19. Analysis of the unit-transmission line transformer of the tournamentshaped power combiner.

Fig. 16. Measured drain efficiency of power stage versus output power with fixed input power.

Fig. 17. Measured output power versus input power.

transmission lines of the transformer aids transformation of the load impedance in the tournament-shaped power combiner. The coupling factor of the transformer can be low since it doses not significantly influence the output power. However, for a distributed active transformer, the coupling factor directly affects the performance of the amplifier. For this reason, the loss of the tournament-shaped power combiner is smaller than that of the distributed active transformer. However, the current tournament-shaped power combiner requires a large chip area. The isolation problems and the chip area problems have a tradeoff relationship. Thus, reducing the chip area of the tournament-shaped power combiner remains as further work. The maximum output power and efficiency of this study are quite similar to those of the previous studies [3]. The gain of the previous study [3] is better than that of the proposed amplifier because the mode-locking technique is used in the former [3]. VI. CONCLUSION A tournament-shaped power combiner for a fully integrated RF CMOS power amplifier has been proposed. The input feed-line coupling problems with the transmission line transformer have been solved. Various 1 : 1 transmission line transformers have been used to design the tournament-shaped power combiner. To demonstrate the new concept, a 1.81-GHz CMOS power amplifier using the tournament-shaped power combiner was implemented with a 0.18- m RF CMOS process. All of the matching components, including the input and output transformer, were fully integrated. The amplifier achieved a drain efficiency of 38 % at a maximum output power of 31.7 dBm.

Fig. 18. Measured frequency response.

V. DISCUSSION As described above, the loss of the designed tournament-shaped power combiner is smaller than that of a previous study [3]. For the tournament-shaped power-combiner architecture, the power generated at the power stages is transferred to the output load directly through the transmission line with the . The magnetic coupling between dc-blocking capacitor

APPENDIX ANALYSIS OF THE TOURNAMENT-SHAPED POWER COMBINER USING TRANSMISSION LINE THEORY From transmission line theory [11], the transformer shown in and Fig. 19 can be analyzed. The input impedances of the transformer can be determined using the following boundary conditions: (A.1) (A.2) (A.3)

PARK et al.: TOURNAMENT-SHAPED MAGNETICALLY COUPLED POWER-COMBINER ARCHITECTURE FOR RF CMOS POWER AMPLIFIER

By using (A.1), the unbalanced current by

can be calculated

(A.4) is the capacitance per unit where is the inductance and length. When (A.2) and (A.3) are used, the second equation necis essary for determining the ratio (A.5) The ratio

can be obtained using (A.4) and (A.5) as follows: (A.6)

is known, the input impedances and can be determined [11]. These impedances are given by the equations When

(A.7)

(A.8) It is clear from the different signs in the denominators of (A.7) and (A.8) that the two load impedances are not equal at low frequencies [11]. Thus, the circuits of Figs. 4, 8, 10, and 11 are more suitable for millimeter-wave circuits. If the approximation (A.9) is used, (A.5) and (A.6) simplify to (A.10)

2041

From (A.13), the equivalent circuit of the transformer and terminal impedance can be simplified with an inductor and a resistor, as shown in Fig. 7. The inductance of the equivalent circuit can be resonated out with an additional matching capacitor . The ac ground of the circuit shown in Fig. 7 can be used as pad for the supply voltage. REFERENCES [1] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [2] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [3] C. Park, Y. Kim, H. Kim, and S. Hong, “A 1.9-GHz CMOS power amplifier using three-port asymmetric transmission line transformer for a polar transmitter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 230–238, Feb. 2007. [4] S. Kim, K. Lee, J. Lee, B. Kim, S. D. Kee, I. Aoki, and D. B. Rutledge, “An optimized design of distributed active transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 380–388, Jan. 2005. [5] S. Walker, “Broadband stripline balun using quadrature couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 2, pp. 132–133, Feb. 1968. [6] G. J. Laughlin, “A new impedance-match wideband balun and magic tee,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 3, pp. 135–141, Mar. 1976. [7] K. Nishikawa, I. Toyoda, and T. Tokumitsu, “Compact and broadband three-dimensional MMIC balun,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 96–98, Jan. 1999. [8] W. A. Davis and K. Agarwal, Radio Frequency Circuit Design. New York: Wiley, 2001, pp. 105–121. [9] J. Sevick, Transmission Line Transformer, 4th ed. New York: Noble, 2001. [10] G. Guanella, “New method of impedance matching in radio frequency circuits,” Brown Boveri Rev., vol. 31, pp. 327–329, Sep. 1944. [11] P. L. D. Abrie, Design of RF and Microwave Amplifiers and Oscillators. Norwood, MA: Artech House, 1999, pp. 179–216.

Changkun Park (S’03) received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree at KAIST. His research interests include CMOS power amplifiers, polar transmitters, and RF electrostatic discharge (ESD) protection circuits.

(A.11) (A.12) The circuit of Fig. 19 is used as unit transmission line transformer for the tournament-shaped power combiner. Using two unit transformers, the power-combiner architectures shown in Figs. 5 and 6 were designed. of Fig. 6 can be calculated using and of Fig. 19 as (A.13)

Dong Ho Lee (S’03–M’07) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2000, 2002, and 2007, respectively. His doctoral dissertation concerned the design of RF power amplifiers for linear and polar applications. In 2007, he joined the Microwaves Applications Group, Georgia Institute of Technology, Atlanta, where he is currently a Post-Doctoral Fellow involved with the development of CMOS power amplifiers for mobile communications. His research interests include RF power amplifier design for mobile applications, low-power RF circuit design, and active device modeling in CMOS, GaAs HBT, and SiGe HBT processes.

2042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Jeonghu Han (S’02) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2000, 2002, and 2006, respectively. He performed post-doctoral research with KAIST until he joined the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, as a Post-Doctoral Fellow in 2007. He is currently involved with the development of CMOS RF power amplifiers for mobile communication systems. His research interests are RF integrated circuits including CMOS power amplifiers and RF device characterization and modeling.

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. In May 1989, he joined the faulty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, and Samsung Microwave Semiconductor, Suwon, Korea. His research interests are microwave integrated circuits and systems including power amplifiers for mobile communications, miniaturized radar, and millimeter-wave frequency synthesizers, as well as novel semiconductor devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2043

Power Supply Rejection for RF Amplifiers: Theory and Measurements Jason T. Stauth, Student Member, IEEE, and Seth R. Sanders, Member, IEEE

Abstract—Supply noise is a significant problem in RF systems where it can mix with RF signals, degrading signal/noise ratios and potentially causing violation of spectral masks. This paper presents an analysis of the supply rejection properties of RF amplifiers. We extend a conventional Volterra-series formulation to treat multiport systems and use it to describe the mixing products between power supply noise and the RF carrier. It is shown that a multiport Volterra formulation can be used to treat weak nonlinearities in the system and that the nonsymmetric cross terms accurately predict low-order mixing phenomenon. We demonstrate the validity of our hand analysis through the design and fabrication of a power amplifier in 180-nm CMOS, operating between 900 MHz–2.4 GHz with a maximum output power of 15 dBm. Spectral regrowth of singletone and EDGE modulation waveforms is shown to match within 1-3 dB across frequency and input signal power. Importantly, this analysis provides insight into the circuit-level mechanisms for susceptibility to power supply noise and can help designers improve the power supply rejection ratio robustness of system-on-chip wireless blocks and transmitter architectures. Index Terms—dc–dc converter, polar modulation, power amplifier (PA), power supply rejection ratio (PSRR), RF amplifiers, supply noise.

I. INTRODUCTION

T

HE OUTPUT spectrum of RF amplifiers is highly constrained by Federal Communications Commission (FCC) specifications and performance requirements. In transmitter applications, the frequency content of the output signal must conform to a spectral mask to avoid interference with adjacent channels. Also, to guarantee an acceptable bit error rate (BER) across the wireless link, the error-vector magnitude (EVM) of the modulated RF signal must be kept within tight bounds [1]. In receivers, spectral leakage from noise or distortion can degrade the signal-noise ratio (SNR) and can cause desensitization or cross-modulation [2], [3]. Traditional distortion analysis has focused on near-band spectral regrowth caused by interaction of the input signal with amplifier and component nonlinearities [4]–[10]. However, an additional source of spectral leakage comes from noise or voltage ripple on the power supply. As demonstrated in Fig. 1, spectral energy injected from the power supply can mix with the RF carrier and be upconverted to near-band frequencies. If the RF amplifier has Manuscript received April 9, 2007; revised June 17, 2007. This work was supported in part by the University of California under the Micro Program and by Panasonic. The authors are with the Department of Electrical Engineering and Computer Science, University of California at Berkeley, Berkeley, CA 94720 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.905486

Fig. 1. Effect of supply noise on RF amplifier output spectrum.

insufficient power supply rejection, supply noise can degrade system performance and even cause violations of the transmit spectral mask [11]. The severe impact of supply noise on wireless system performance makes it especially important to understand the interaction of supply noise with RF amplifier components for successful design of the system. In the context of supply noise upconversion, the Volterra series (VS) analysis is a direct and powerful approach to achieve an analytical understanding of the circuit. Harmonic balance techniques can also be applied to study this problem, particularly in simulation with Agilent’s Advanced Design System (ADS) or Cadence Spectre’s periodic-steady state (PSS) toolset [12]. While harmonic balance techniques are useful to determine voltage and current waveforms in a mixed linear and nonlinear system, solving the harmonic balance equation requires knowledge of the input signal. Furthermore, the order of computation increases with the number of input harmonics. This makes harmonic balance impractical for studying broadband performance metrics such as spectral regrowth and adjacent channel power ratio (ACPR), especially for real wireless systems with nonperiodic amplitude waveforms. In this regard, VS has the following advantages. • VS can describe the linear and nonlinear dynamics of a circuit without knowledge of the input signal (provided that the circuit remains in a weakly nonlinear regime). • The Volterra kernels can provide a compact expression of the time- and frequency-domain behavior as a function of physical device parameters, independent of the input waveform. • The circuit is solved only once (in contrast with harmonic balance, which may need to reiterate for different input waveforms) • VS analysis allows rapid computation of multitone and broadband behavior, as in [7], and is one of few simulation techniques that is practical for rapid computation of spectral regrowth phenomenon.

0018-9480/$25.00 © 2007 IEEE

2044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

VS is effective in the context of supply noise because noise sources are typically small-signal relative to the operating point of the amplifier. In the layout, common sources of supply noise include magnetic coupling to bond wires and power supply interconnect, electrostatic coupling between nearby traces, and current noise from analog or digital blocks passing through parasitic inductance and resistance in the power rails [13]. Supply noise may also be directly injected into the system by the voltage regulator [14]–[21]. In these cases, supply noise is typically less than 10% and often less than 1% of the dc supply voltage level. With small supply noise amplitude, linear amplifiers typically remain in the weakly nonlinear regime. In this case, VS can often predict performance over many decades of power of the RF input signal. It is important to note that many of the important sources of supply noise are low frequency relative to the RF signal. Such noise sources are difficult to filter because at low frequency, bypass capacitors are less effective. With low-frequency noise signals, mixing products tend to be more problematic since they create in- or near-band frequency content. As a particular example, in polar and envelope tracking (ET) transmitter architectures, the voltage regulator modulates the supply voltage synchronously with the envelope of the transmitted signal. Many implementations use switching regulators to improve the efficiency of the transmitter across the range of operation [16]–[21]. While this can significantly increase average efficiency, switching regulators produce noise on the power supply at the switching frequency fundamental and harmonics. Switching noise is usually low frequency compared to RF signals and is difficult to filter completely. In the case of PA supply regulation, it is important to know how much voltage ripple is tolerable because over-designing the switching regulator for voltage ripple will be at the expense of efficiency. In our analysis, VS analysis can be used to predict the sensitivity of the power amplifier (PA) to supply ripple through the power supply rejection ratio (PSRR). This analysis can be used to maximize the efficiency of the switching regulator for a given amount of voltage ripple, while simultaneously meeting EVM and ACPR requirements in the transmitter. In this study, we present an analysis of the power supply rejection properties of RF amplifiers. The focus is on the mechanisms for upconversion of low-frequency supply noise to the nearband RF spectrum. We formulate a VS representation of weakly nonlinear CMOS amplifiers to describe the mixing products between the power supply noise and the RF carrier. We extend the analysis to treat multiport systems by including nonsymmetric cross terms in a conventional frequency-domain analysis. Specifically, this analysis is an adaptation of the method proposed by Chua and Ng in [9], Schetzen in [4], and described by Wambacq and Sansen in [5]. The calculations are based on nonlinearities extracted from BSIM3v3 models, but result in expressions that are simple enough to use for hand design. We demonstrate the practical use and insight gained through our analysis with the design and fabrication of a linear CMOS PA. Section II presents the theory for multiple-port signal intermodulation starting with the memoryless analogy. Conventional VS analysis is reviewed and expanded to a multiport formula-

tion to treat RF amplifiers with memory. Section III describes the target problem and the method for characterizing the nonlinearities in the CMOS amplifier. Section IV presents Volterra operators for the supply intermodulation sidebands. Section V compares hand analysis to simulation and experimental results. Spectral regrowth is compared for single-tone and EDGE modulated signals operating in the traditional 900-MHz band, as well as at 2.4 GHz. II. THEORY OF MULTIPLE-PORT SUPPLY INTERMODULATION Power supply noise can mix with the RF carrier and be upconverted to the nearband spectrum. This process happens when the amplifier has stray paths that couple the supply voltage to nodes in the amplifier that modulate the amplitude or phase of the transmitted signal. At high frequencies, it may be easy to filter supply noise with choke inductors or bypass capacitors. It may be more difficult to filter low-frequency supply noise due to limitations on the size of filter elements. Low-frequency supply noise is also problematic because the first-order intermodulation terms may be close to the band of interest. Analysis of supply-carrier intermodulation is complicated by the dynamics and nonlinearities of the system. If the RF amplifier circuit does not have memory, the distortion products can be analyzed in a straightforward manner with traditional power series analysis [1], [2]. This may be the case if the effects of reactive elements are not significant or can be easily included between stages that have purely conductive or resistive nonlinearities. Such may be the case in a circuit with only diode or transconductance nonlinearity followed by a reactive filter. In this case, the small-signal gain of the amplifier may be characterized as a function of the input voltage and power supply. The nonlinearities of the topology are characterized around a bias can be written as point such that the output signal

(1) are the gain terms as a function of the th order where of the input signal and the th order of the ac supply voltage and are the signals at the input, output, noise; and supply terminals centered around the operating point. describes the first-order forward gain term, Here, describes the forward gain from the supply terminal, and describes the first-order intermodulation term between the input signal and supply noise. If the input signal follows , and the supply noise is a single tone that , the amplitude of the supply ripple follows such that sideband will be at (2) In this case, a useful figure-of-merit is the magnitude of the supply ripple sideband in decibels below the carrier (dBc). As seen in (2), this quantity is relevant because the magnitude of the

STAUTH AND SANDERS: POWER SUPPLY REJECTION FOR RF AMPLIFIERS: THEORY AND MEASUREMENTS

supply ripple sideband is directly proportional to the magnitude of the input signal for constant supply noise. It may be practical to treat the supply noise magnitude as constant to reflect the worst case analysis, or when voltage ripple from a switching regulator is of a known fixed magnitude. The supply ripple sideband in dBc is the ratio of the forward gain term to the supply ripple sideband magnitude, the quantity expressed in decibels, as follows: Sideband dBc

dB

(3)

Expanding on this figure-of-merit, if the power supply noise is fixed and of constant magnitude, it may be practical to subtract its effect from the relationship in (3). In this case, the ratio becomes signal independent and is only a function of the physical properties of the amplifier. Since the ratio is amplifier specific, it has a notable similarity to the baseband figure-of-merit, the PSRR [22]. In the remainder of this study, we will refer to this ratio as the PSRR for RF amplifiers and define it as dBV

dB

2045

that capture the phase and amplitude response of the circuit for a given set of frequencies [4]. Many good references elucidate this concept as well as the use of mixed time–frequency-domain descriptions of dynamical nonlinear systems [4]–[10], [23]–[26]. B. Multiport Volterra Analysis The extension of two-port Volterra analysis to multiport systems can be done by extending the convolution integral in (6) to higher dimensions. The most compact VS representation makes use of tensor notation to concisely describe the multiport operators. The resulting formulation includes both direct terms between each input and the output and cross terms that describe intermodulation among the inputs. As in (1), the first-order cross term can be used to describe mixing between supply noise and RF carrier. The increased dimensionality of multiport Volterra analysis complicates hand analysis, but the first- and secondorder terms are still manageable, and can provide considerable insight into the supply noise mixing effect

(4) (7)

are volts because the expression The units of in (3) has been multiplied by the supply ripple magnitude. This leaves the units of (4) in decibels per volts (dBV). The physical interpretation of PSRR in (4) is the sideband dBc that would occur for a 1-V (0 dBV) supply ripple magnitude. It should be noted that (2) is defined for the memoryless power-series analysis. Next we will describe analysis of power supply intermodulation for systems with dynamics and frequency-dependent nonlinearities.

(8)

A. Single-Input (Two-Port) Volterra Analysis VS can be used to analyze the behavior of nonlinear systems with memory. As long as the system is weakly nonlinear, only a few terms of the series are needed to predict important distortion phenomenon. With a VS representation, the time-domain output of a time-invariant nonlinear system for an input can be written as (5) where

(6) In (6), are known as the th-order Volterra kernels of the system. , which represent the convolution integral in (6), are known as the Volterra operators or Volterra transfer functions [4]. From the perspective of (5) and (6), VS appear as a generalized convolution in the time domain. The time-domain Volterra kernels can be used in the frequency domain as Volterra operators or Volterra transfer functions to perform circuit calculations [4], [5], [9], [23]. In this case, the Volterra operators are frequency-dependent transfer functions

(9) The time-domain VS formulation for a system with two input ports and a single output may be written as in (7), where (8) is the multiport analogy to the convolution integral in (6). In (7) and (8), is the multidimensional Volterra kernel, and are the two input signals, and is the multidimensional Volterra operator in the time domain. In the frequency domain, denotes the VS can be written as in (9). Here, the notation the Volterra operator for the th order of input , and the th terms are dummy frequency variorder of input . The ables that can assume the relevant frequency content of the input signal. The operator “ ” represents the frequency-domain operation of the transfer function on the signals at the appropriate frequencies as is standard in phasor transfer function analysis. and The notation in (9) is borrowed from [25]. In (9), are the first-order (linear) Volterra operators for each of the two describes the second-order input terminals. The operator and describe the third-order cross terms. It cross term. should be noted that the VS in (9) has structural similarity to the

2046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

memoryless analogy in (1). The main difference is that the operators in (9) are a function of the frequency content of the input signal and reflect the weakly nonlinear dynamics of the system. An additional source of supply modulation may be caused by the PA itself. This happens if the supply terminal ( in Fig. 2) is not low impedance to the RF or envelope signal. In this case, the supply terminal may change with the signal amplitude, resulting in second-order and higher order distortion terms. Fortunately, if the system is properly defined, these effects can be captured in conventional two-port VS analysis and will be reflected in etc. While self-induced the forward-direct operators supply noise may be a serious problem in many situations, these effects can be alleviated with good supply bypassing and voltage regulation techniques. In this case, it is necessary to create a lowimpedance supply at frequencies correlated with the operation of the PA. In this study, we focus on uncorrelated noise and treat the supply terminal as a separate input. Therefore, the focus is on operator. It should be noted as a possible simplification the of the analysis that the self-induced noise can be characterized separately and treated as an independent noise source on the operator can be used to study both supply. In this case, the independent and correlated noise from the system. In this study, we define the -port amplifier as a black box with separate inputs and a single output port. The amplifier represented in Fig. 1 is defined as a three-port system where the inputs are the conventional signal input and the supply terminal. The signal input port may be either single ended or differential, whereas the supply terminal is typically referenced to would correspond to the forward gain ground. In this case, would correspond to the forward supply noise gain at , would correspond to the first sideband at , at and and would correspond to the second sidebands at and . The PSRR of the circuit is, therefore, written as dB

(10)

where the absolute value is taken to mean the magnitude of the complex operator ratio. It should be noted that the cross terms are not necessarily symmetric since, generally, . Intuitively, this is because the signals may follow different nodal paths to the output, therefore, the frequency content of signals at different ports is not necessarily interchangeable. Asymmetric Volterra transfer functions can be made partly symmetric with techniques presented in [23]. As in the case with conventional symmetric operators, partly symmetric operators are desirable to improve computation time and complexity. III. TARGET PROBLEM AND CHARACTERIZATION OF NONLINEARITIES In order to demonstrate multiport supply rejection analysis, we designed and fabricated a CMOS PA in 180-nm technology. Fig. 2 shows the basic amplifier cell including inductance at the source and drain to model the effects of the bond wires and RF choke elements. We chose the common-source topology because it is the fundamental gain stage for many RF subsystems

Fig. 2. CMOS inductor-degenerated common source amplifier showing nonlinear elements.

including PAs and low-noise amplifiers. Fig. 2 also shows the major sources of nonlinearity in the CMOS amplifier. In this example, the dominant sources of nonlinearity are the transcon, output conductance , and drain-bulk juncductance . Other distortion contributors include tion capacitance the body-effect transconductance and gate capacitors, although these typically have a small effect on supply noise upconversion. Nonlinearities for the system in Fig. 2 were extracted from BSIM3v3 models for static and dynamic nonlinearities. Fig. 3 shows the results of Spectre simulation of the drain current versus gate–source and drain–source voltage. The planar representation of current shows direct dependence on first-order and higher order terms of and . Importantly, there is also . These cross cross dependence on terms related to terms result in mixing effects between the signal and supply and are important to capture for noise analysis. Fig. 3 also highlights the dc operating point relative to the I–V plane. The typical operating region follows the loadline for the amplifier, but deviates from a straight line in the I–V plane because of nonlinearity, reactive dynamics, and voltage ripple on the supply. Voltage ripple extends the operating region in the dimension by swinging the voltage at the drain vertical of the active device. The nonlinear current and charge relationships were matched to a polynomial fit with least squares regression analysis [5], [6], [10]. The polynomial expansion is fit to physical device parameters such as transconductance and junction capacitance using both current and charge relationships

(11) represents the forward transconductance, is In (11), the body transconductance, is the output-transconduc, and the th tance cross term as a function of the th order of is the output conductance, and is the output order of represents the first-order and higher order pacapacitance. rameterization of the output capacitance term (represented as in Fig. 2). The nonlinearity is extracted from the nominally linear charge–voltage relationship, as in [4] and [5], resulting in the factors of 1/2 and 1/3 in the second- and third-order power series terms.

STAUTH AND SANDERS: POWER SUPPLY REJECTION FOR RF AMPLIFIERS: THEORY AND MEASUREMENTS

2047

Fig. 3. MOSFET drain current versus gate–source and drain–source voltage. Nonlinearities are extracted around the dc operating point highlighted in this figure.

IV. SOLUTION OF VOLTERRA OPERATORS To solve for the Volterra operators in (9), nodal equations are written and the system is solved sequentially for each order of the polynomial expansion in (11), beginning with the firstorder term. This procedure is well described in [4], [5], and [25]. A unique VS is written for each independent node in the system, not including the input terminal, which, in this example, is controlled by a voltage source. The notation for the multinode system can be simplified with a superscript indicating for which node the series is intended. For the source-degenerated amplifier in Fig. 2, there are two independent nodes: one at the source of the active element, and one at the drain of the active element, assuming the blocking capacitor is a short at the frequencies of interest. Using this terminology, the VS in (9) can be written as (12) indicates the operator for the th order of where notation and the th order of phasor input for the phasor input th independent node in the circuit. For each node , all of the first-order terms are solved without initially including the effects of the higher order terms. It should be noted that the first-order terms should match conventional small-signal analysis. The second-order terms are solved based on the nodal constraints of the circuit, the second-order nonlinear currents, and the first-order terms. This process repeats, solving the system of equations for each node in the circuit, until the desired maximum order of the analysis has been achieved [4], [5], [25]. In the rest of the analysis, node-1 and the corresponding set will be for the output node, while node-2 and of operators will be used for the source node of the active device. The first-order transfer function for the system in Fig. 2 is solved as (13)

is the admittance of the source degeneration. The where term is a dummy frequency variable that represents the frequency content of the input signal [4], [25]. For inductive de. The constant is the regeneration, curring denominator in many of the operators, and is evaluated in (14) as follows: at

(14) is the first-order drain–source In (14), and admittance representing both first-order conductance first-order capacitance , as in (11). The drain–supply admittance is captured in the term , which . The adrepresents the admittance of the choke inductance . mittance of the load impedance is captured in has In (14), the notation for the admittance parameters been simplified to to condense the expression, but it should . For the rest of the be noted that these are still a function of first-order terms, we will not explicitly indicate the frequency to simplify the expressions. dependence The rest of the first-order operators follow as (15) (16) and (17) In (15)–(17), the denominator expression is the same as in (14), and is evaluated at the frequency content of the input signal , as are all the admittance terms. These expressions fully characterize the first-order behavior between the input signals and each node in the circuit. The Volterra operator that characterizes mixing between the supply noise and the input signal is term. In this case, operates on both the defined by the

2048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

RF input signal and the signal representing noise on the supply terminal (18) and are the frequencies of the RF carrier and where opsupply ripple, respectively. As previously noted, the erator is not fully symmetric in this representation because the supply noise and RF input signal follow substantially different paths to the output. operator is solved by including the cross terms in The the VS in (9). The resulting operator is shown in (19). Here, operator is organized in a clear manner by splitting the the , etc.), and the effects effects of the device parameters ( of the first-order operators, which are lumped into parameters – . In (19), is the second-order is the source drain–source admittance, in the denominator is evaluated at admittance, and constant . The terms are frequency-dependent transfer functions that are a function of the first-order operators. These are shown in (20)–(23). Here, the frequency of and the frequency of the input RF signal is represented by supply noise is represented by

(19)

(20)

(21) (22) (23) As seen in (19), upconversion of supply ripple results from second-order nonlinearity in several of the device parameters. Major contribution to upconversion happens through second, drain junction order nonlinearity of output conductance , and dependence of the forward transconduccapacitance . Source inductance provides degeneration tance on and reduces the supply noise mixing. However, large values of source degeneration increase the contribution of second order and . transconductance parameters Following the derivation of (4) and (10), the PSRR can be dB , in which case many of written as the terms in (13) and (19) are cancelled. The resulting expression for the CMOS amplifier follows as dB (24)

and In (24), the denominators in the expressions for are cancelled. Also, there is no dependence on the signal amplitudes. This leaves straightforward dependency only on circuit variables, device parameters, and frequency. Consequently, the PSRR can be thought of as a signal independent circuit parameter and can be used to predict supply rejection for many input signals and noise levels. The PSRR in (24) indicates which parameters make the circuit susceptible to supply noise. This makes it useful for amplifier configuration and design. High power supply rejection is achieved by limiting the effects of several circuit variables, while simultaneously increasing the forward transconductance. Specifically, to maximize PSRR, it is best to have a high ratio to all sources of second-order nonlinearity at the drain of terminal. To improve PSRR, the designer may: 1) increase to achieve higher forward gain; 2) reduce second-order conducat the drain terminal; 3) reduce the eftive nonlinearity ; or 4) reduce the fects of nonlinear junction capacitances by shielding the drain tertransconductance cross term minal from supply noise. In many cases, a cascode transistor may be highly effective at improving PSRR since it may shield the drain of the active transconductor from supply variation. The improvement may be limited to low frequencies, however, since the cascode will still have nonlinear junction capacitance affecting the output terminal. V. COMPARISON TO MEASUREMENT A common-source class-A/AB PA was designed and fabricated in 180-nm CMOS to verify the distortion model and the spectral regrowth caused by power supply noise. The amplifier circuit consisted of thin oxide active nMOS devices with off-chip matching to allow the frequency band to be adjusted in the laboratory. The amplifier was sized to achieve a maximum output power of 15 dBm when driving a 50- load. The voltage gain of the packaged amplifier was designed to be approximately 10 dB at 2.4 GHz with the input matched to 50 and 300 pH of inductive source degeneration due to bond wires. A current-mirror bias network was included on-chip to set the quiescent point for the amplifier and filter board-level parasitic signals at the input terminal of the amplifier. The supply voltage for the thin-oxide devices was 1.8 V. Fig. 4 shows the amplifier topology and bias network. Parasitic inductors are shown to represent the effects of the bond wires and printed-circuit board trace inductance. Not shown are the blocking and bypass capacitors that are placed at the board level. Fig. 5 shows the laboratory test setup. The test setup included voltage sources for biasing the amplifier, a variable RF signal generator, an arbitrary waveform generator to inject noise on the power supply, and a spectrum analyzer to measure the output harmonics. To minimize bond-wire parasitics, the chip was bonded directly to the board. A photograph of the test integrated circuit (IC) bonded to the board is shown in Fig. 6. Several downbonds to the ground plane were used to minimize the source inductance. The parasitic bond-wire inductance was deembedded with a network analyzer. Inductance at the input–output terminals was measured in the 2–4-nH range. Inductance between the source terminal and ground was deembedded with -parameter measurements and was confirmed to

STAUTH AND SANDERS: POWER SUPPLY REJECTION FOR RF AMPLIFIERS: THEORY AND MEASUREMENTS

2049

Fig. 7. Comparison of measured and calculated fundamental and ripple sideband power.

Fig. 4. Common-source amplifier model.

Fig. 5. Laboratory test setup.

Fig. 8. Comparison of measured and calculated ripple sideband in dBc.

Fig. 6. Die bonded on the gold-plated test board. Die area is 1.4 mm

2 1.4 mm.

be less than 300 pH due to multiple downbonds to the ground plane. A. Sideband and PSRR Measurement The input RF power was swept from 30 to 10 dBm at a carrier frequency of 2.4 GHz. Supply ripple was injected at a frequency of 1 MHz with amplitude of 50 mV to represent the first harmonic of the switching noise of a dc–dc converter. Fig. 7 compares measured results to hand analysis. As predicted by the VS analysis, the second-order supply ripple sideband varies linearly with input power. At low output power, the fundamental and sideband harmonics match hand analysis within 1–2 dB. At high output power, the amplifier experiences moderate to strong nonlinearity as the drain voltage starts to clip. The PSRR is reduces in this case because of strong conductive nonlinearities in the CMOS device when it enters compression. In this case, higher order terms are needed to maintain the accuracy of the VS analysis.

Fig. 8 shows the PSRR of the amplifier versus input power. From (3), PSRR is related to the sideband (dBc) measurement dBV Sideband dBc Supply Noise dBV . It as is noted that this ratio should be constant and independent of the input signal level for constant supply noise levels. As described in Section II, this is a useful figure-of-merit for constant or worst case supply noise analysis and represents the sideband dBc that would occur for a 1-V (0 dBV) supply ripple magnitude. In Fig. 8, this is also compared to the prediction in (24) using Volterra analysis, as well as simulation in Spectre with BSIM3v3 models. Sideband power is seen to match hand analysis within 1–2 dB for input powers less than 0 dBm. Similar to Fig. 7, the discrepancy between measured and calculated data increases as the amplifier enters saturation. The PSRR decreases in this case because the amplifier is more susceptible to power supply noise. Simulated date matches well with hand analysis at low power, but deviates as the amplifier enters saturation. Simulated data also matches measured data within 1–3 dB, except at high power. The deviation at low power is partly explained by variation in deembedded values for circuitry parasitics including inductive source degeneration. In Figs. 7 and 8, the VS analysis is seen to be accurate over a 30-dB range of output power. It is expected that this trend would continue to be accurate for input power less than 30 dBm because the amplifier would remain in the weakly nonlinear regime.

2050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 9. Measured versus calculated power spectral density at 900 MHz.

Fig. 10. Measured versus calculated power spectral density at 2.4 GHz.

B. Spectral Regrowth Measurements Baseband in-phase (I) and quadrature (Q) signals were generated for 8-phase-shift keying (8-PSK) EDGE with a symbol rate of 270 kHz. The baseband signals were oversampled at 32 samples per symbol and saved in 1248-symbol-long data streams. Using the technique described in [7], the baseband signals were upconverted and applied to the Volterra model in the frequency domain to generate the predicted output spectrum. To study the generation of supply ripple sidelobes, only the first-order direct and second-order cross terms were used for the calculation. Spectral regrowth due to third-order nonlinearity was not included because it is well treated in [7] and [8] and is not dominant in supply ripple mixing. The I and Q signals were upconverted and supplied to the CMOS amplifier in the laboratory using National Instruments’ PXI-5421/5620 RF test system. To verify the model at different carrier frequencies, EDGE modulation was applied in the traditional 900-MHz carrier range, and also at 2.4 GHz. The output spectrum was measured and compared to the predictions of the Volterra analysis. Fig. 9 shows the measured output spectrum overlaid with the spectrum generated with multiport VS analysis. The traditional EDGE spectrum is shown centered at 900 MHz. Due to supply ripple injected at 1 MHz, sidelobes appear centered at 899 and 901 MHz. The sidelobes are images of the EDGE spectrum and have a peak at around 50 dB below the main lobe. The calculated spectrum matches the measured spectrum within 1–3 dB across the frequency range, demonstrating the accuracy of the multiport Volterra model at 900 MHz. Fig. 10 shows similar spectral regrowth centered at 2.4 GHz 1 MHz. In the VS calculation case, the ripple sidelobes are clear since the noise floor is arbitrarily small. The measured data shows a noise floor of 90 dBm (for the settings used for National Instruments’ PXI downconverter), but the sidelobes are still clear and match the predicted spectrum within 1–3 dB. C. PSRR: Component-Level Analysis Importantly, the Volterra analysis provides a tool to study the device-level mechanisms for amplifier nonlinearity. The contribution of the circuit-level nonlinearities can be

Fig. 11. PSRR versus carrier frequency, from VS analysis, showing contributions of dominant circuit-level nonlinearities. Change in PSRR when effect of parameter is included (i.e., gmo is shown to reduce PSRR from infinite to the edge of the shaded region indicated in the legend).

broken down, as shown in Fig. 11. Here, the PSRR is shown for the three dominant sources of supply ripple upconversion, i.e.: 1) modulation of the forward transconductance by ; 2) second-order output conductance ; and 3) second-order drain junction capacitance . In Fig. 11, we are effectively plotting the PSRR as we add in the effect of dominant contributors to supply noise upconversion. It should be noted that when there is no source of supply noise upconversion, the PSRR is theoretically infinite. When the effect of is added, PSRR is reduced from infinity to the edge of the shaded region in Fig. 11. At low frequencies, the effect of reactive elements is minimal since they look like shorts (inductors) or opens (capacitors). Therefore, the dominant impact of supply noise is that it modulates the forward transconductance by changing the of the transistor (i.e., the term from (11) dominates). This is shown by first nulling the effects of the second-order and . When the efdrain–source admittance parameters and are included, the PSRR drops at moderate fects of to high carrier frequencies. The second-order drain–source conterm) becomes important at frequencies where ductance (

STAUTH AND SANDERS: POWER SUPPLY REJECTION FOR RF AMPLIFIERS: THEORY AND MEASUREMENTS

the output resistance of the active device is comparable to the impedance of the choke inductor. The nonlinearity of the drain junction capacitance ( term) is important at high frequency when the drain capacitance dominates the output impedance of the device. The peaks in the PSRR curve are related to resonance of the choke and source inductance. At high frequency, the PSRR increases because of the increasing impedance of the inductive degeneration. However, this effect is partially reduced by the nonlinearity of the output junction capacitance . At low frequency, PSRR falls off with the impedance of the choke inductor because the forward gain is reduced. The effects of and are only appreciable with high values of source deand generation. These terms are dominated by in this example since there is only 300 pH of inductive degeneration. An additional potential source of supply-carrier intermodulation is high impedance in the input signal path. This causes the directly modulating supply voltage to couple through the the gate terminal. For low-frequency supply noise, the effect of coupling is small because the admittance is negligible. This effect is not included in (19)–(24) for simplicity, but can be captured by including the gate terminal as an additional node in the Volterra analysis. As seen in Fig. 11, VS analysis provides a way to examine the performance of the circuit and design for robustness against power supply noise. In the common-source example, a cascode can increase PSRR substantially. The cascode topology increases the forward gain, and shields the drain of the active . This reduces transconductance element from variations in and upconversion of supply noise through the terms. Overall, this analysis demonstrates many benefits in providing insight into the circuit design procedure. VI. CONCLUSION A method of predicting the interaction of power supply noise with the RF carrier was presented and compared to measured data. Conventional distortion analysis was extended to a multiport formulation to predict supply ripple intermodulation with the RF signal. Relative measurement of ripple sideband power showed agreement within 1–2 dBc of prediction. Spectral regrowth of the EDGE spectrum due to supply ripple upconversion at 900 MHz and 2.4 GHz was shown to match within 1–3 dB. Multiport Volterra analysis was confirmed to be a valuable tool to predict upconversion of supply noise over a range of frequency and signal input power. The analysis can dramatically reduce simulation time, as also discussed in [7], by converting lengthy time-domain simulation to narrowband frequency-domain or mixed time–frequency-domain computation. This can provide insight into the design of RF amplifiers to provide improved power supply rejection, more robust topologies for system-on-chip (SOC) solutions, and improved efficiency and performance of polar and ET PAs. REFERENCES [1] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. [2] D. O. Pederson and K. Mayaram, Analog Integrated Circuits for Communication: Principles, Simulation, and Design. Boston, MA: Kluwer, 1991.

2051

[3] A. A. Abidi, “Direct-conversion radio transceivers for digital communications,” IEEE J. Solid-State Circuits, vol. 30, no. 12, pp. 1399–1411, Dec. 1995. [4] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems. New York: Wiley, 1980. [5] P. Wambacq and W. M. C. Sansen, Distortion Analysis of Analog Integrated Circuits. Boston, MA: Kluwer, 1998. [6] J. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifiers. Boston, MA: Artech House, 2003. [7] B. Baytekin and R. Meyer, “Analysis and simulation of spectral regrowth in radio frequency power amplifiers,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 370–381, Feb. 2005. [8] J. Vuolevi and T. Rahkonen, “Analysis of third-order intermodulation distortion in common emitter BJT and HBT amplifiers,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 12, pp. 994–1001, Dec. 2003. [9] L. O. Chua and N. Y. Ng, “Frequency-domain analysis of nonlinear systems: Formulation of transfer functions,” J. Electron. Circuits Syst., vol. 3, pp. 257–269, 1979. [10] P. Dobrovolny, G. Vandersteen, P. Wambaq, and S. Donnay, “Analysis and compact behavioral modeling of nonlinear distortion in analog communications circuits,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 22, no. 9, pp. 1215–1227, Sep. 2003. [11] J. T. Stauth and S. R. Sanders, “Power supply rejection for commonsource linear RF amplifiers: Theory and measurements,” in IEEE RFIC Symp., Jun. 2006, pp. 317–320. [12] K. S. Kundert and A. Sangiovanni-Vincentelli, “Simulation of nonlinear circuits in the frequency domain,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. CAD-5, no. 4, pp. 521–535, Oct. 1986. [13] E. Alon, V. Stojanovic, and M. Horowitz, “Circuits and techniques for high-resolution measurement of on-chip power supply noise,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 820–828, Apr. 2005. [14] P. Reynaert and M. Steyaert, “A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2598–2608, Dec. 2005. [15] T. Sowlati, D. Rozenblit, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [16] G. Hanington, P.-F. Chen, P. Asbeck, and L. E. Larson, “High-efficiency power amplifier using dynamic power-supply voltage for CDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1471–1476, Aug. 1999. [17] F. Wang, A. Ojo, D. Kimball, P. Asbeck, and L. E. Larson, “Envelope tracking power amplifier with pre-distortion linearization for WLAN 802.11g,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1543–1546. [18] F. Wang, A. H. Yang, D. Kimball, L. E. Larson, and P. Asbeck, “Design of wide-bandwidth envelope tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [19] P. Midya, “Linear switcher combination with novel feedback,” in Power Electron. Specialists Conf., Jun. 18–23, 2000, vol. 3, pp. 1425–1429. [20] J. Staudinger, B. Gilsdorf, D. Newman, G. Norris, G. Sadowniczak, R. Sherman, and T. Quach, “High efficiency CDMA RF power amplifier using dynamic envelope tracking technique,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, vol. 2, pp. 873–876. [21] B. Sahu and G. A. Rincon-Mora, “A high efficiency linear RF power amplifier with a power tracking dynamically adaptive buck-boost supply,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 112–120, Jan. 2004. [22] P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed. New York: Wiley, 2001. [23] J. Engberg and T. Larsen, Noise Theory of Linear and Nonlinear Circuits. New York: Wiley, 1995. [24] T. J. Brazil and T. Wang, “Volterra-mapping-based behavioral modeling of nonlinear circuits and systems for high frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1433–1440, May 2003. [25] R. Meyer, “EECS 242 course notes,” Univ. California at Berkeley, Berkeley, CA, 2004. [26] J. J. Bussgang, L. Ehrman, and J. W. Graham, “Analysis of nonlinear systems with multiple inputs,” Proc. IEEE, vol. 62, no. 8, pp. 1088–1119, Aug. 1974.

2052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Jason T. Stauth (S’99) was born in Jackson, WY, in 1976. He received the B.A. degree in physics from Colby College, Waterville, ME, in 1999, the B.E. degree in engineering from Dartmouth College, Hanover, NH, in 2000, the M.S. degree from the University of California at Berkeley, in 2005, and is currently working toward the Ph.D. degree at the University of California at Berkeley. From 2000 to 2003, he was an IC Design Engineer with Allegro Microsystems, Manchester, NH, where he focused on mixed-signal integrated magnetic sensors for consumer and automotive applications and was Lead or Co-Designer on several parts now in commercial production. Since 2003 he has been with the Department of Electrical Engineering and Computer Science, University of California at Berkeley. His research interests include analog, digital, and RF ICs, power management for RF circuits and systems, and efficient wireless transmitter architectures employing linearized switching amplifiers.

Seth R. Sanders (S’88–M’88) received the S.B. degrees in electrical engineering and physics and S.M. and Ph.D. degrees in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1981, 1985, and 1989, respectively. He was a Design Engineer with the Test Instruments Division, Honeywell, Denver, CO. Since 1989, he has been on the faculty of the Department of Electrical Engineering and Computer Science, University of California at Berkeley, where he is currently Professor. During the 1992–1993 academic year, he was on industrial leave with National Semiconductor, Santa Clara, CA. His research interests are high-frequency power-conversion circuits and components, design and control of electric machine systems, and nonlinear circuit and system theory related to the power electronics field. He currently actively supervises research projects in the areas of flywheel energy storage, novel electric machine design, renewable energy, and digital pulsewidth modulation strategies and associated IC designs for power-conversion applications. Dr. Sanders has served as chair of the IEEE Technical Committee on Computers in Power Electronics. He is a member-at-large of the IEEE Power Electronics Society (PELS) Administrative Committee (AdCom). He was the recipient of the 1993 National Science Foundation (NSF) Young Investigator Award and Best Paper Awards presented by the IEEE PELS and the IEEE Industry Applications Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2053

An Integrated Wideband Power Amplifier for Cognitive Radio Yi-Jan Emery Chen, Senior Member, IEEE, Li-Yuan Yang, and Wei-Chih Yeh

Abstract—This paper presents the development of the wideband power amplifier (PA) for application to intelligent cognitive radios. The load-tracking based on the frequency-varied load–pull technique is proposed for the PA design. The load impedance tracking is realized by filter network synthesis. A 3–7.5-GHz broadband PA is demonstrated in 0.15- m InGaAs pseudomorphic HEMT technology. Operated at 3.5 V, the 1 dB and power-added efficiency of the PA are better than 21.4 dBm and 20%, respectively. Index Terms—Cognitive radio (CR), frequency agile, loadtracking, power amplifier (PA), pseudomorphic HEMT (pHEMT), software-defined radio (SDR), wideband.

I. INTRODUCTION

S

INCE THE emergence of radio communication, the frequency spectrum has been recognized as one of the most precious public resources. The frequency spectrum is carefully regulated all over the world to ensure proper usage and avoid interference. There seems to be tremendously wide frequency spectrum available at the microwave and millimeter-wave range, but most wireless applications still favor low-gigahertz operation because of non-line-of-sight (NLOS) radio propagation property and low bill-of-material (BOM) of electronic devices. However, the low-gigahertz spectrum has been crowded with many licensed applications already, and it is extremely difficult to make room for new applications, especially featuring wideband or high data rate. Cognitive radio (CR) technology was proposed recently to coexist seemly with the legacy radio based upon the discovery that the licensed spectrum is not fully exploited all the time for real-life operation. Regarded as a particular extension of the long-touted software-defined radio (SDR), CR offers a mechanism for radio spectrum pooling and model-based reasoning about users and communication contents to achieve spectrum sharing transparent to the authorized spectrum users [1]. The realization of CR systems requires wideband spectrum sensing and frequency-agile operation. The typical function Manuscript received April 4, 2007; revised July 20, 2007. This work was supported in part by the Taiwan National Science Council and by the MediaTek Wireless Research Laboratory, National Taiwan University. Y.-J. E. Chen and L.-Y. Yang are with the Graduate Institute of Electronics Engineering, Graduate Institute of Communication Engineering, and Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan 106, R.O.C. (e-mail: [email protected]; [email protected]). W.-C. Yeh was with the Graduate Institute of Electronics Engineering, National Taiwan University, Taipei, Taiwan 106, R.O.C. He is now with the United Microelectronics Corporation, Hsin-Chu, R.O.C. (e-mail: [email protected]. tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906497

Fig. 1. Function blocks of a typical CR system.

blocks of a CR system is shown in Fig. 1. The wideband sensing receiver monitors the radio within the frequency band of interest and detects the existence of legacy user signals. The transceiver will take advantage of the unused spectrum for wireless communication. Since the spectrum usage and availability vary depending on time and location, it calls for the need of the reconfigurable transceiver and wideband power amplifier (PA) [2]. There has been significant effort to develop wideband PAs. The traveling-wave and distributed amplifier topologies were widely used for broadband PA development because of the excellent characteristics in terms of bandwidth, gain flatness, and input voltage standing-wave ratio (VSWR) [3], [4]. The multicombination technique was proposed to develop the stage GaAs HBT PA for the broadband wireless applications from 3.3 to 3.6 GHz [5]. The push-pull PAs can achieve wide bandwidth by use of broadband transformers or baluns [6]–[8]. The shunt-feedback technique and multisection distributed matching networks were demonstrated useful for developing wideband PAs [9]. The staggered matching was shown as a viable technique to extend the bandwidth of the millimeter-wave PAs [10]. The reconfigurable output matching by using p-i-n diodes to adnetworks was applied in the dual-mode broadband just the InGaP HBT PA at 0.9 and 1.8 GHz [11]. Whereas most of the wideband PAs take advantage of high supply voltage to desensitize the load impedance variation with respect to frequency, this paper presents the load-tracking technique to develop the 3–7.5-GHz pseudomorphic HEMT (pHEMT) PA. Section II discusses the load-tracking technique and the realization of matching networks. The design of the two-stage wideband PA and its measurement results are described in Sections III and IV, respectively.

0018-9480/$25.00 © 2007 IEEE

2054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 3. Dots represent the maximum output power matching impedances of the 0.15-m pHEMT from 3 to 8 GHz. The impedance tracks of the desired and synthesized load networks are plotted via the dashed and solid lines, respectively.

Fig. 2. Constant-power load contour of 0.15-m pHEMT at 5 GHz.

II. LOAD-TRACKING TECHNIQUE Fig. 4. Filter network consisting of port model and load-tracking network.

A. Load Line Technique The load line technique is useful in determining the PA deof the sign parameters, especially when the cutoff frequency power transistors is much higher than the operation frequency. The load line resistance and output power of a class-A RF amplifier in the optimal power-matched condition have the values of (1) (2) Although the load line technique can be extended to predict the load–pull contour for a power device kept in the linear regime [12], it does not reveal much about the characteristics of the power device. B. Load–Pull Technique Since most power transistors have large periphery and contain significant parasitics, performing load–pull measurement on the power devices at the operation frequency is preferable for RF PA development. Usually the load–pull measurement is done at single frequency for tuned applications because most of wireless communications are allotted only a small chunk of frequency spectrum. Fig. 2 shows the constant power contour of the 0.15- m pHEMT at 5 GHz when the input power is 10 dBm. C. Load-Tracking Technique The load–pull technique can be extended to develop a wideband RF PA by performing a series of measurement within the frequency band of interest. The current driving capability and parasitics of the power devices are varied with respect to frequency so the optimal matching impedances will move over the frequency as well. The load matching impedance of the wideband PA can be developed to track the desired impedances within the frequency band. The maximum achievable output

power of the transistors at high frequency may be lower than that at low frequency so the impedance tracking may not simply follow the optimal loads at every frequency if constant power output is required. The dots in Fig. 3 represent the maximum matching impedances of the 0.15- m output power pHEMT from 3 to 8 GHz. The total transistor gatewidth is 1200 m. The drain and gate voltages are 3.5 and 0.8 V, is approximately 25.6 dBm and its respectively. The variation across the band is less than 0.2 dBm when the input power is 10 dBm. From (1) and (2), the optimal load resistance for the low supply voltage needs to be smaller than that for the high supply voltage to reach the same output power. The impact of the load impedance variation on the output power of PAs under the low supply voltage is more severe than the high supply voltage. Therefore, the load impedance tracking is especially critical for low-voltage wideband PAs. D. Realization of Load-Tracking Networks The realization of the load-tracking network can be divided into two steps, which are: 1) filter network synthesis and 2) impedance transformation. The two-port broadband filter network with the same resistive terminations can be designed by filter synthesis techniques. One of the terminations will then be transformed to 50 . The design of broadband filter networks starts with determining the load-tracking impedances within the band of interest. The dashed line in Fig. 3 represents the desired load-tracking impedances from 3 to 8 GHz for the pHEMT power stage. The conjugate of the load-tracking impedances can be modeled by a simple – – port, as enclosed in the dashed-line box in Fig. 4. For this case, the port can be well modeled by a parnetwork. The resistance in the port model will be allel

CHEN et al.: INTEGRATED WIDEBAND PA FOR CR

Fig. 5. Chebyshev filter network terminated with resistance

2055

R.

Fig. 6. Impedance transformation of the termination resistance by the 1 : transformer.

N

Fig. 8. Gains of driver stage, power stage, and overall PA.

Fig. 7. Norton transformation. Fig. 9. IMD3 at 10- and 20-dBm output power.

used as the termination resistance for the bandpass filter design. The frequency response of the filter can be chosen from the popular implementations such as, Chebyshev, Butterworth, etc. The design procedure to synthesize the equal-terminated bandpass filter is well documented in [13]–[17]. The resulting filter netnetwork of the port model and work can be divided into the the load-tracking network, as shown in Fig. 5. Since the impedances looking into the port model and load-tracking network are conjugate matched, the desired load impedance track can be obtained by separating out the port model from the filter network. After separating the port model from the synthesized filter network, the next step is to transform the right termination resistance in the filter network to 50 . The impedance transtransformer, as illustrated in formation can be done by a Fig. 6. The value of is given as (3) If the transformer is not preferred in the matching network, it can be replaced by lumped reactive components using Norton transformation [19]–[21]. The transformer and -type reactive network can be transformed into either a or -type reactive network, as shown in Fig. 7. The complete load-tracking network is realized after replacing the transformer.

III. WIDEBAND AMPLIFIER DESIGN The fully integrated two-stage 3–7.5-GHz PA was developed and power in the 0.15- m InGaAs pHEMT technology. The density of the pHEMT device are 85 GHz and 290 mW/mm, respectively. The total gatewidths of the driver- and power-stage transistors were 600 and 1200 m, respectively. Both the driver and power stages were biased for class-AB operation. Although the driver stages of most RF PAs have higher gain than their power stages, the driver transistor of the presented PA was deliberately biased at deep class-AB region such that the gain of the driver stage was lower than that of the power stage. The driver gain will increase gradually when the input power rises and elevates the bias voltage. This characteristic can mitigate the gain rolloff of the power stage at high power level and extend the gain compression point of the overall PA, as shown in Fig. 8. The bias scheme may degrade the PA linearity a little bit at low output power, but the impact is not severe because most PAs have good linearity when their output power is low. At high output power, the bias voltage of the driver will be raised, so the linearity is barely deteriorated. The third-order intermodulation distortion (IMD3) of the PA is shown in Fig. 9. Even though the proposed bias scheme leads to little linearity degradation at low output power, the IMD3 at low output power is still much better than that at high output power.

2056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 10. Simplified schematic diagram of integrated wideband PA. TABLE I COMPONENT VALUES Fig. 13. Power gain and P

Fig. 11. Chip microphotograph.

Fig. 12. Simulated and measured S -parameters.

The load network of the PA was developed using the proposed load-tracking technique. The impedance track of the synthesized load network is shown as the solid line in Fig. 3. The input and interstage matching networks are designed to provide broadband conjugate impedance matching. The design procedure of the conjugate matching networks is the same as the loadtracking network. The only difference is that the load-tracking

.

Fig. 14. Simulated and measured PAE at P

.

Fig. 15. Measured EVM at 17.4-dBm output power and output power for EVM below 5.6%.

impedances are replaced by the power matching impedances. The networks were optimized after the synthesis procedure to account for circuit parasitics and reduce network complexity. Fig. 10 shows the circuit schematic diagram of the fully integrated wideband PA, and the component values are listed in Table I.

CHEN et al.: INTEGRATED WIDEBAND PA FOR CR

2057

TABLE II SUMMARY OF WIDEBAND PAS

Off-chip baluns not included.

IV. MEASUREMENT RESULTS

ACKNOWLEDGMENT

0.83 mm and the The chip size of the PA is 2.29 mm microphotograph is shown in Fig. 11. The supply voltage is 3.5 V and the gate bias voltages of the driver and power stages are 0.9 and 0.8 V, respectively. The measured -parameters are shown in Fig. 12. The input return loss is better than . The 9.2 dB. Fig. 13 shows the measured power gain and 1-dB measured power gain is approximately 20.6 dB with variation between 3–7.5 GHz, and the varies from 21.4 to 22.9 dBm. The measured power-added efficiency (PAE) at is better than 20%, as shown in Fig. 14. The orthogonal frequency-division multiplexing (OFDM) is very popular for modern wireless communication and has been adopted in many emerging applications, so the 64-QAM OFDM signal is used for error vector magnitude (EVM) measurement. Due to the limitation of the signal source, the EVM measurement was performed up to 6 GHz. The output power of the PA at which the EVM is maintained below 5.6% is shown in Fig. 15. The channel power varies between 16.7–17.9 dBm. When the channel power is kept constant at 17.4 dBm, the EVM varies from 4.8% to 7.4%. The characteristic of the PA, accompanied by those of the other wideband PAs, is summarized in Table II.

The authors would like to thank Prof. C.-K. Tzuang, National Taiwan University, Taipei, Taiwan, R.O.C., for the fruitful discussion. The help on the PA measurement from Prof. H. Wang and Dr. C.-H. Wang, both with National Taiwan University, is also highly appreciated. The chip was fabricated due to the support of the Taiwan National Chip Implementation Center, Hsin-Chu, Taiwan, R.O.C., which is gratefully acknowledged.

V. CONCLUSION The CR is an emerging wireless application to seemly coexist with the licensed radio and exploit the frequency spectrum resource efficiently. The frequency-agile operation of the CR systems calls for the need of wideband RF PAs. Since the low supply operation escalates the impact of load impedance variation with respect to frequency, the load-tracking technique is proposed for wideband PA development. The 3.5-V 3–7.5-GHz integrated PA was developed in 0.15- m InGaAs pHEMT techand PAE are better than 21.4 dBm nology. The measured and 20%, respectively.

REFERENCES [1] J. Mitola III, “Cognitive radio for flexible mobile multimedia communications,” in IEEE Int. Mobile Multimedia Commun. Workshop Dig., 1999, pp. 3–10. [2] J. Laskar, R. Mukhopadhyay, Y. Hur, C. H. Lee, and K. Lim, “Reconfigurable RFICs and modules for cognitive radio,” in IEEE Silicon Monolithic Integrated Circuits RF Syst. Dig., 2006, pp. 283–286. [3] J. J. Xu, W. Yi-Feng, S. Keller, S. Heikman, B. J. Thibeault, U. K. Mishra, and R. A. York, “1–8-GHz GaN-based power amplifier using flip-chip bonding,” IEEE Microw. Wireless Compon. Lett., vol. 9, no. 8, pp. 277–279, Aug. 1999. [4] M. J. Schindler, J. P. Wendler, M. P. Zaitlin, M. E. Miller, and J. R. Dormail, “A K=Ka-band distributed power amplifier with capacitive drain coupling,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1902–1907, Dec. 1988. [5] M. Hirata, T. Oka, M. Hasegawa, Y. Amano, Y. Ishimaru, H. Kawamura, and K. Sakuno, “Fully-integrated GaAs HBT power amplifier MMIC with high linear output power for 3 GHz-band broadband wireless applications,” Electron. Lett., vol. 42, no. 22, pp. 1286–1287, Oct. 2006. [6] A. Vasylyev, P. Weger, and W. Simburger, “Ultra-broadband 20.5–31 GHz monolithically-integrated CMOS power amplifier,” Electron. Lett., vol. 41, no. 23, pp. 1281–1282, Nov. 2005. [7] L. Jong-Wook, L. F. Eastman, and K. J. Webb, “A gallium–nitride push–pull microwave power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2243–2249, Nov. 2003. [8] L. Jong-Wook and K. J. Webb, “Broadband GaN HEMT push–pull microwave power amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 9, pp. 367–369, Sep. 2001. [9] A. Sayed and G. Boeck, “Two-stage ultrawide-band 5-W power amplifier using SiC MESFET,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2441–2449, Jul. 2005.

2058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[10] K. Fujii and H. Morkner, “1 W power amplifier MMICs for mm-wave applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1665–1668. [11] Z. Haitao, G. Huai, and L. Guann-Pyng, “A novel tunable broadband power amplifier module operating from 0.8 GHz to 2.0 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 661–664. [12] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [13] W.-K. Chen, Broadband Matching—Theory and Implementation, 2nd ed. Teaneck, NJ: World Sci., 1988. [14] R. M. Fano, “Theoretical limitations on the broadband matching of arbitrary impedances,” J. Franklin Inst., vol. 249, pp. 57–83, 139–154, 1950. [15] D. C. Youla, “A new theory of broadband matching,” IEEE Trans. Circuit Theory, vol. CT-11, no. 1, pp. 30–50, Mar. 1964. [16] M. E. Van Valkenburg, Analog Filter Design. New York: Oxford Univ. Press, 1982. [17] A. B. Williams and F. J. Taylor, Electronic Filter Design Handbook, 4th ed. New York: McGraw-Hill, 2006. [18] D. J. Mellor, “Improved computer-aided synthesis tools for the design of matching networks for wideband microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1276–1281, Dec. 1986. [19] Y.-P. Wu, “Equalizer and amplifier design of broadband impedance matching,” Nat. Sci. Council, Taipei, Taiwan, R.O.C., Tech. Rep., 1978. [20] Y.-I. Huang, “Ultra-wideband CMOS low noise amplifier,” M.S. thesis, Graduate Inst. Electron. Eng., Nat. Taiwan Univ., Taipei, Taiwan, R.O.C., 2006. [21] R. Rhea, Filter Techniques. Raleigh, NC: SciTech/Noble, 2003.

Yi-Jan Emery Chen (S’97–M’01–SM’07) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1987, the M.S. degree in electrical and computer engineering from the University of California at Santa Barbara, CA, in 1991, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2001. From 1992 to 1993, he was a Software Engineer with Seimens Telecommunication, where he was involved with working on synchronous optical networks (SONET) equipment development. From 1993 to 1996, he was with

Tektronix, where he was responsible for electronic test and measurement solutions. From 2000 to 2002, he was with National Semiconductor, where he was involved with RF transceiver and RF PA integrated circuit (IC) design. In 2002, he joined the Georgia Institute of Technology, as a member of the research faculty, where he explored the device-to-circuit interactions of advanced SiGe technology. Since 2003, he has been with the National Taiwan University, Taipei, Taiwan, R.O.C., where he is currently an Assistant Professor. He has authored or coauthored over 50 refereed journal and conference papers. His recent research has focused on RF IC/module development, RF PA design, gigascale interconnect, system-on-package integration, and low-temperature polysilicon (LTPS) IC deign. Dr. Chen serves on the IC Implementation Review Committee, National Chip Implementation Center, Taiwan, R.O.C., and the National Committee of the R.O.C. for the International Union of Radio Science (URSI). He was the corecipient of the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Paper Award.

Li-Yuan Yang was born in Kinmen, Taiwan, R.O.C., in 1983. He received the B.S. degree in mechanical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2005, and is currently working toward the M.S. degree in electrical engineering at the Graduate Institute of Electronics Engineering, National Taiwan University. His research focuses on high-efficiency RF PAs and their linearization techniques.

Wei-Chih Yeh was born in Hsin-Chu, Taiwan, R.O.C., in 1982. He received the B.S. degree from National Central University, Taoyuan County, Taiwan, R.O.C., in 2004, and the M.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2007, both in electrical engineering. He is currently involved with RF integrated circuit (RFIC) design with the United Microelectronics Corporation (UMC), Hsin-Chu, R.O.C.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2059

Microwave Parametric Frequency Dividers With Conversion Gain Zainabolhoda Heshmati, Student Member, IEEE, Ian C. Hunter, Fellow, IEEE, and Roger D. Pollard, Fellow, IEEE

Abstract—A novel active parametric frequency divider configuration using coupled microstrip transmission lines and two balanced pseudomorphic HEMTs (pHEMTs) is presented. The analysis of the divide-by-2 circuit presented applies the principles of subharmonic generation using a nonlinear reactance to an active semiconductor device such as a pHEMT. A 2–1-GHz active analog frequency divider is designed and fabricated, with measurements showing a 20% bandwidth, 13.5-dB conversion gain, and harmonic rejection levels of more than 22 dBc. A maximum conversion gain of 18 dB is also achieved. These higher conversion efficiencies and the ability to cascade dividers allow for higher order division ratios to be achieved with the same topology. Index Terms—Analog frequency dividers, coupled transmission lines, nonlinear circuits, parametric subharmonic generation.

I. INTRODUCTION

M

ODERN communication systems require sophisticated frequency generation circuits in which frequency synthesizers play an important role. Frequency division is a key function of such circuits. Frequency dividers have applications in many systems, from communications to military applications. They are also essential to a variety of microwave systems. Classic examples include applications involving straight frequency counting, phase-locked loops (PLLs), and phase noise reduction [1]. Frequency dividers also have bandwidth compression capabilities, which opens up the possibility of transferring wide microwave bands, to the region where the power of digital logic can be used for processing [2]. There have been a number of different microwave frequency divider concepts described in the literature. Each of these individual concepts can be separated into two basic categories: digital and analog. Digital dividers are capable of broadband performances up into the microwave frequency range (up to 40 GHz), but their power consumption increases with frequency (several watts dc power at millimeter wavelengths). Analog dividers feature lower power consumption, simpler circuit design, and higher operating frequencies, which makes them attractive for communications purposes.

Manuscript received April 6, 2007; revised June 30, 2007. This work was supported by the British Ministry of Defence, Electro-Magnetic Remote Sensing Defence Technology Centre. The authors are with the Institute of Microwaves and Photonics, School of Electronic and Electrical Engineering, The University of Leeds, Leeds LS2 9JT, U.K. (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906490

Among the various analog solutions [1], parametric frequency dividers [3], [4] offer simpler circuit configuration and broader bandwidth in comparison with other alternatives such as the regenerative [5]–[7] or injection-locked dividers [8]–[10]. Parametric division is a process in which a subharmonic oscillation is generated from the excitation of a nonlinear reactive element. The most common nonlinear reactive element used in parametric dividers is the varactor diode, which exploits the asymmetrical voltage-dependent depletion layer capacitance of an abrupt junction diode [11]. The basic theory of device operation is presented in [12] and [13]. Some divider designs based on empirical techniques are described in [4], [14], and [15]. Due to losses within the varactor diodes, amplifiers are generally required to recover the input signal level. Although original divider proposals had conceived the idea of a general division by , subsequent divider designs have been mainly divide-by–2 configurations. To obtain greater division ratios, frequency dividers have been cascaded. However, this increases hardware requirements and results in the need for more amplification. The design presented here employs pseudomorphic high electron-mobility transistor (pHEMT) devices to perform parametric frequency division and amplification simultaneously at microwave frequencies. A novel design has been developed and tested for an active parametric frequency divide-by-2 circuit with a large division bandwidth and high conversion gain. The presented circuit design consists of a balanced topology using microstrip coupled transmission lines, which is more amenable to integration and can be implemented in contemporary silicon technology.

II. PARAMETRIC FREQUENCY DIVISION The term parametric has become associated with a class of amplifying and frequency-converting devices, which utilize the properties of nonlinear or time-varying reactances. These reactances channel energy from an ac source to a useful load and are capable of power conversion from one frequency to another. Solid-state frequency dividers can be realized both in passive and active configurations, either employing passive devices with reactive nonlinearities (e.g., diodes under reversed bias) or using active devices (e.g., MESFET, HEMT, HBT, etc.) biased in a strongly nonlinear operating region. Unlike passive systems, active dividers exhibit conversion gain and, therefore, do not require amplifiers to recover the output signal. The availability of active devices exhibiting conversion gain at frequencies extending well into the millimeter-wave region with considerable bandwidth is pushing towards the active solution. Moreover, this

0018-9480/$25.00 © 2007 IEEE

2060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 1. Block diagram of a parametric frequency divider circuit. Fig. 2. Simple lumped element varactor frequency divide-by-2 circuit.

choice has the obvious advantage of allowing functional integration in a single technology if monolithic implementation is attempted. Existing documentation describe two parametric divider topologies, both implemented using varactors: filter-based dividers [15] and balanced dividers [16]. However, the two topologies share the same basic principles. The primary performance discriminator between the two types of dividers is bandwidth related. The filter-based divider is limited in bandwidth because of the high- selective filters, but offers the best possibility of producing a minimum threshold design due to its narrow bandwidth and single varactor loss. On the other hand, the balanced design is more amenable to wideband performance and has better transient response. The balanced divider, which requires dual varactors, has been analyzed by Harrison [16]. He shows that the even/odd modality of the device lends itself to closed-form solutions, and has documented, in conjunction with Kalivas [4], [17], several practical dividers, but all are passive designs, which require high input powers and show large conversion loss. The analysis presented here is in some ways similar to that of Harrison [4], but replaces the nonlinear varactor with a pHEMT. The input nonlinear junction capacitance of the pHEMT operates as a varactor divider producing subharmonics. The device simultaneously provides amplification. transconductance division can also be obtained by cascading Higher order several dividers. The associated gain of the active device compensates for the conversion loss and enables frequency dividers to be cascaded without much concern about signal degradation and power loss.

The divide-by-2 circuit consists of a shunt varactor nested between two resonant LC network filters. The input filter is tuned , and allows the pump energy to the input pump frequency to reach the varactor. The filter also isolates the source from the subharmonic oscillations occurring at the diode. The output LC and prefilter is tuned to the subharmonic frequency vents the pump energy from reaching the load. For the divider circuit in Fig. 2, we assume that some subharmonic current is already present in the noise for it to grow in magnitude, rather like an oscillator. This is the essential difference between a frequency multiplier and divider. In a frequency multiplier, there will also always be some harmonics present, regardless of the magnitude of the input, but frequency dividers have a power threshold level below which subharmonics cannot exist. This threshold level is the power required to overcome the losses in the output circuit for subharmonic oscillations to occur. This is the fundamental difference between a frequency divider and subharmonic oscillator. The analysis of this circuit will provide an insight into the general operation of parametric frequency dividers. The circuit is analyzed in terms of the input and output loops as

(1) and

(2) III. BASIC PARAMETRIC DIVIDER THEORY The basic principles of operation of parametric frequency dividers are based on the excitation of a nonlinear reactive element (in the simple case: a varactor). Fig. 1 shows a block diagram of a simple parametric frequency divider using a varactor (nonlinear voltage-dependent capacitor). Like other nonlinear reactances, varactors can generate power not only at harmonics, but at subharmonics of the pumping frequency. The circuit consists of two input and output coupling networks, which, for frequency division, must be properly adjusted. The coupling networks act like filters, which, in their simplest form, consist of resonant LC networks tuned at the required input and output frequency, as shown in Fig. 2. Theoretically, if the varactor is ideal and the filters are extremely selective (i.e., high ), then it is possible to achieve very high efficiencies with this circuit.

and are the voltages across the input and where output RLC networks, respectively. The voltage across the varactor is (3) where

since (4)

HESHMATI et al.: MICROWAVE PARAMETRIC FREQUENCY DIVIDERS WITH CONVERSION GAIN

2061

Fig. 3. Simulink simulation results showing: (a) input signal threshold level and (b) working frequency bandwidth of the divider circuit.

Also, zero-voltage junction capacitance; junction in-built potential; charge at

;

instantaneous charge on the varactor; Fig. 4. Basic balanced coupled line frequency divider circuit.

instantaneous voltage across varactor. The circuit equations can be represented in MATLAB Simulink using a mathematical block diagram. This is then simulated with different input parameters for a divide-by-2 circuit. These simulations provide the numerical solution to the nonlinear circuit equations of the basic parametric frequency divider and are used to qualitatively explain the behavior of the divider circuit. The results clearly show frequency division at particular power levels for a 1–0.5-GHz divider circuit. Fig. 3(a) shows the existence of an input signal threshold level in the divider operation, and the operating frequency bandwidth is shown in Fig. 3(b). These principles of a nonlinear reactance frequency divider are now applied to a parametric pHEMT frequency divider with a balanced topology. We can write a simplified model for the active device (in this case: pHEMT), which contains this type of reactive nonlinearity on its input, as well as the output current source that provides the gain. The active divider circuit can then be designed based on the above varactor parametric design principles, and the output current source will provide the simultaneous gain. This analogy has been adapted throughout the paper.

IV. BALANCED CIRCUIT ANALYSIS The balanced circuit, as with other parametric divider topologies, requires two loops in the circuit, which are resonant at the and the subharmonic output fundamental input frequency . The circuit is constructed in such a way as frequency to minimize coupling of the input frequency to the output port. These requirements can be satisfied by a microstrip resonant structure consisting of a pair of symmetrical coupled transmission lines in combination with two nonlinear reactive elements

Fig. 5. Simplified equivalent circuit of a pair of coupled lines.

[i.e., the nonlinear input capacitance of the active device, repre]. sented in Fig. 4 as entering the microstrip lines is divided An input signal at between the two lines so they have potentials equal in magnitude and sign. This excites the nonlinear capacitances in phase and . the propagation is determined by the even-mode admittance The odd-mode resonance of the coupled lines supports oscil. This resonance behavior is determined by lations at of the coupled lines. Due to the the odd-mode admittance, nonlinear coupling mechanism between the even and odd mode, to via the nonlinear caenergy is transferred from pacitances. A simplified analysis of coupled transmission lines is given by Sato and Cristal [18] leading to the equivalent circuit shown . in Fig. 5 for the coupled lines, where This method applied to the divider circuit of Fig. 4, and leads to the equivalent circuit of Fig. 6. Each of the coupled lines are at reactively loaded with the pHEMT with a capacitance of . the input bias voltage The following analysis is based on two separate modes of excitation for the circuit of Fig. 6, i.e., even and odd mode, for which the line of symmetry is shown. Node A is the common input point to the coupled lines. In the even mode, a finite is presented at A and an open cirvoltage at frequency cuit exists across the line of symmetry. Looking in from the

2062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. Equivalent circuit of the balanced parametric divider.

Fig. 8. Balanced active divide-by-2 circuit.

V. PRACTICAL EXAMPLE Fig. 7. (a) Even- and (b) odd-mode equivalent resonance circuits.

output, the equivalent circuit is the parallel combination of the nonlinear capacitance and the open-circuit stub of admittance and electrical length at , as shown in Fig. 7(a). Resonance condition, therefore, at the fundamental frequency , is (5) and will be the even-mode electrical length of the coupled lines. Similarly in the odd-mode case, zero voltage exists at node A with a short circuit across the line of symmetry. This reduces the equivalent circuit in the odd mode to that shown in Fig. 7(b). The condition for resonance at the subharmonic frequency is

This gives (6) as the odd-mode resonance condition with as the odd-mode electrical length of the coupled lines. Equations (5) and (6) form the two resonance conditions and together will force only two frequency components of current to flow in the nonlinearities. From these two equations, we derive the ratio of the even- and odd-mode impedances as

In order for the lines to be physically realizable [19], (7) can be extracted from measurements Since the value of of the active devices, suitable values of and are chosen to satisfy conditions (5)–(7). Given the frequency of operation and substrate parameters, the physical dimensions and spacing of the and . For maximum coupled lines can be derived from bandwidth, the resonator size should be minimized.

A 2–1-GHz frequency divider is designed in microstrip, on a Rogers RT Duroid 5880. The active device used in this study is the Filtronic FPD1500SOT89 pHEMT, which has a gate length of 0.25 m. The small-signal input capacitance of the pHEMT, , showed a capacmeasured versus the input bias voltage , of approximately 3 pF at the required bias itance value voltage. and thickness of With substrate parameters of and are chosen to be 130 and 65 , respec0.787 mm, and . The tively. This gives coupled line dimensions are then calculated to have widths of 5.1 mm, and lengths of 38.8 mm with a spacing of 0.38 mm between the two lines. Fig. 8 shows the fabricated pHEMT frequency divider. The input gate bias of the pHEMTs ( ) is applied via a bias-tee to the input of the circuit. The drain bias ( ) is applied on the output of the pHEMTs via two high-impedance lines, each at the output frequency of 1 GHz. The radial stubs produce broadband shorts at the junction of each high impedance line. The nonlinear model TOM3 [20] is used to simulate the biased active devices in Agilent’s ADS software to analyze the output impedances. The pHEMT is biased at a gate voltage of V and a drain bias of V, and showed an output impedance of , which is conjugately matched to the 50- output to maximize the power delivered to the load at the output frequency of 1 GHz. The matching network consists of a length of transmission line and an open-circuit stub, as shown in Fig. 8. Two capacitors are also used as dc blocks on the output. The input port can also be matched to 50 in order to reduce any reflection from the circuit. This will also reduce the threshold power, as more power will be delivered to the circuit with optimum match. VI. MEASUREMENTS A minimum level of input power ( ) is required in order for frequency division to occur. For the 2–1-GHz active parametric divider, the threshold input level is 14 dBm, at which power frequency division commences abruptly. This is clearly shown in Fig. 9, where the output power is plotted against the input . As increases beyond this level, the bandwidth power increases.

HESHMATI et al.: MICROWAVE PARAMETRIC FREQUENCY DIVIDERS WITH CONVERSION GAIN

2063

divider configuration using nonlinear semiconductor devices in order to achieve a parametric frequency divider at microwave frequencies, which exhibits conversion gain. A 2–1-GHz frequency divider was designed and fabricated with demonstrated working bandwidth of 200 MHz and a maximum conversion gain of 18 dB. Harmonic rejection levels of more than 22 dBc were achieved.

ACKNOWLEDGMENT

Fig. 9. Results for 2–1-GHz frequency divider showing the output power versus the input power.

The authors thank the Electro-Magnetic Remote Sensing (EMRS) Defence Technology Centre (DTC), for supporting this study.

REFERENCES

Fig. 10. Results of the divider showing the output power versus the input frequency.

The output power is optimized by bias adjustments to V and V. The output frequency response and working bandwidth of the divider, tested at dBm, are shown in Fig. 10. A wide bandwidth of approximately 20% is achieved with harmonic rejection levels of more than 22 dBc. The average gain produced by this active divider is 13.5 dB at GHz, with a maximum gain of 18 dB occurring at GHz for dBm. To the best of the authors’ knowledge, the results show a higher conversion gain compared to other types of analog frequency dividers. The reduced bandwidth is a tradeoff for conversion gain. Also, since we have considered the resonance condition of the fundamental frequency in the design of the coupled lines structure, the bandwidth is reduced compared to the varactor frequency divider of Harrison [4]. This has the added benefit of improved spurious performance, as well as reduced signal-to-noise ratio performance.

VII. CONCLUSIONS A practical and efficient approach for the design of an active microwave frequency divider has been demonstrated. The parametric frequency division method has been applied to a balanced

[1] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design, 2nd ed. Hoboken, NJ: Wiley, 2003. [2] W. D. Cornish, “Microwave frequency dividers, devices and applications,” in Proc. Military Microw. Conf., Microw. Exhibitions, Publishers Ltd., Oct. 1980, pp. 13–18. [3] J. W. McConnel, “A parametric frequency divider using hyperabrupt junction diode capacitance,” IEEE J. Solid-State Circuits, vol. SSC-3, no. 3, pp. 311–312, Sep. 1968. [4] R. G. Harrison, “A broadband frequency divider using varactors,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1055–1059, Dec. 1977. [5] R. L. Miller, “Fractional-frequency generators utilizing regenerative modulation,” Proc. IRE, vol. 37, no. 7, pp. 446–457, Jul. 1939. [6] C. Rauscher, “Regenerative frequency division with a GaAs FET,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 11, pp. 1461–1468, Nov. 1984. [7] E. S. Ferre-Pikal and F. L. Walls, “Microwave regenerative frequency dividers with low phase noise,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 46, no. 1, pp. 216–219, Jan. 1999. [8] H. R. Rategh and T. H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 813–821, Jun. 1999. [9] X. Zhang, X. Zhou, B. Aliener, and A. S. Daryoush, “A study of subharmonic injection locking for local oscillators,” IEEE Microw. Guided Wave Lett., vol. 2, no. 3, pp. 97–99, Mar. 1992. [10] R. J. Betancourt-Zamora, S. Verma, and T. H. Lee, “1-GHz and 2.8-GHz CMOS injection-locked ring oscillator prescalers,” in VLSI Circuits Tech. Symp. Dig., Jun. 2001, pp. 47–50. [11] H. A. Watson, Microwave Semiconductor Devices and Their Circuit Applications. New York: McGraw-Hill, 1969. [12] J. Hilibrand and R. Beam, “Semiconductor diodes in parameric subharmonic oscillators,” RCA Rev., pp. 229–253, Jun. 1959. [13] P. Penfield and R. P. Rafuse, Varactor Applications. Cambridge, MA: MIT Press, 1962. [14] Z. Nativ, “The application of a frequency multiplier design method to the design of microwave parametric dividers,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 2, pp. 189–194, Feb. 1987. [15] G. R. Sloan, “The modeling, analysis, and design of filter-based parametric frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 2, pp. 224–228, Feb. 1993. [16] R. G. Harrison, “Theory of the varactor frequency divider,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 1983, pp. 203–205. [17] G. A. Kalivas and R. G. Harrison, “A new slotline-microstrip frequency halver,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1985, pp. 683–686. [18] R. Sato and E. G. Cristal, “Simplified analysis of coupled transmissionline networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 3, pp. 122–131, Mar. 1970. [19] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [20] R. B. Hallgren and P. H. Litzenberg, “TOM3 capacitance model: Linking large- and small-signal MESFET models in SPICE,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, p. 556, May 1999.

2064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Zainabolhoda Heshmati (S’07) received the B.Eng. Hons. degree (first class) in electronics and telecommunications engineering from the University of Bradford, Bradford, U.K., in 2003, and is currently working toward the Ph.D. degree in electronic engineering at The University of Leeds, Leeds, U.K. Her research interests include nonlinear microwave circuits, subharmonic generation, microwave frequency dividers, and parametric circuits. Ms. Heshmati was the recipient of the Institution of Electrical Engineers (IEE), U.K., Best Student Prize (2003). She was also the recipient of Electro-Magnetic Remote Sensing (EMRS) Defense Technology Centre (DTC) sponsorship for postgraduate research.

Ian C. Hunter (M’92–SM’94–F’07) received the B.Sc. (first-class honors) and Ph.D. degrees from The University of Leeds, Leeds, U.K., in 1978 and 1981, both in electrical engineering. He has worked in industry for Aercom Industries Inc., Sunnyvale, CA, and KW Engineering, Oakland, CA, and for Filtronic Components Ltd., Leeds, U.K., during which time he developed broadband microwave filters for electronic warfare (EW) applications. From 1995 to 2001, he was with Filtronic Comtek, where he was involveded with advanced filters for cellular radio. He is currently a Professor with the School of Electronic and Electrical Engineering, The University of Leeds, where he teaches circuit

theory, electromagnetism, and microwave engineering. He authored Theory and Design of Microwave Filters (IEE Press, 2001). His research includes linear and nonlinear microwave filters. He also investigates applications of microwaves in biology.

Roger D. Pollard (M’77–SM’91–F’97) holds the Agilent Technologies Chair in High Frequency Measurements, is currently Dean of the Faculty of Engineering, and was Head of the School of Electronic and Electrical Engineering with The University of Leeds, Leeds, U.K., where he has been a faculty member since 1974. Since 1981, he has been a consultant to Agilent Technologies (previously the Hewlett-Packard Company), Santa Rosa, CA. He has authored or coauthored over 100 technical papers. He holds three patents. Prof. Pollard is a Chartered Engineer and Fellow of the Institution of Electrical Engineers (IEE) (U.K.). He was elected to the Royal Academy of Engineering in 2005. He is an active IEEE volunteer, serving a term as president of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 1998. He has chaired numerous IEEE committees, including Strategic Planning for the Publications, Services and Products Board, Technical Activities Board Products Committee, and the Electronic Products Committee, responsible for the development and introduction of IEEE-Xplore(r). He was the Technical Program Committee (TPC) co-chair for the 2006 IEEE MTT-S International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2065

Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers Sten E. Gunnarsson, Student Member, IEEE, Dan Kuylenstierna, Member, IEEE, and Herbert Zirath, Member, IEEE

Abstract—In this paper, wave analysis is applied to a field-effect transistor (FET)-based image reject mixer (IRM) in order to enhance the classical IRM theory and investigate the fundamental limitations in terms of conversion loss ( ) and image rejection ratio (IRR). Furthermore, it is also described how different FET technologies can be benchmarked versus each other regarding their suitability for use in resistive mixers. This benchmarking allows the designer to predict the performance, i.e., , of resistive mixers based on dc measurements, which facilitate the use of the presented method early in the design process. Three different versions of a 60-GHz IRM is also presented. Two of the IRMs demonstrate a measured state-of-the-art IRR of 30 dB in the 60-GHz band. The IRM employs an integrated ultra-wideband IF hybrid and has been designed, fabricated, and characterized in both pseudomorphic HEMT (pHEMT) and metamorphic HEMT (mHEMT) monolithic-microwave integrated-circuit processes. The different versions were designed to investigate the influence of the selected technology (pHEMT/mHEMT), but also to investigate the effect of the layout on the measured performance of the IRM. Index Terms—Broadband IF signal, field-effect transistor (FET), image reject mixer (IRM), low power consumption, metamorphic HEMT (mHEMT), monolithic microwave integrated circuit (MMIC), pseudomorphic HEMT (pHEMT), 60 GHz, ultrawideband integrated IF hybrid, -band, wave analysis.

I. INTRODUCTION

T

HE 60-GHz band has, over the last few years, gained increased academic and commercial interest mainly due to the relatively large amount of license-free and little-used frequency spectrum located in the vicinity of 60 GHz. The exact locations of these free frequency bands vary locally, but the 59–62-GHz band overlap around the world and is, therefore, a true worldwide license-free band. The 60-GHz band has, therefore, been proposed as the frequency band to be selected for very high speed (several gigabits per second) wireless data transmission. In order to benefit from the large bandwidth available around 60 GHz, front-end circuits capable of handling broadband signals are required. An important issue for all millimeter-wave designers is to choose the right technology for optimal performance of their Manuscript received April 11, 2007; revised July 4, 2007. This work was supported in part by the Swedish Foundation for Strategic Research (SSF), the Swedish Agency for Innovation Systems, VINNOVA, Ericsson AB, and the Chalmers Center for High Speed Technology (CHACH). S. E. Gunnarsson and D. Kuylenstierna are with the Microwave Electronic Laboratory, Department of Microelectronics (MC2), Chalmers University of Technology, SE-41296 Göteborg, Sweden (e-mail: sten.gunnarsson@chalmers. se). H. Zirath is with the Microwave Electronic Laboratory, Department of Microelectronics (MC2), Chalmers University of Technology, SE-41296 Göteborg, Sweden, and also with Ericsson AB (MHSERC), SE-41384 Mölndal, Sweden. Digital Object Identifier 10.1109/TMTT.2007.905480

designs. Different technologies are often benchmarked versus each other in terms of cutoff frequency ( ) and maximum ). Those are well-established figoscillation frequency ( ures, but are more applicable to amplifiers rather than resistive mixers. Hence, there exists a need for a method to benchmark different field-effect transistor (FET) technologies relative to each other regarding their suitability for use in resistive mixers. Traditionally, this is done by extensive -parameter, dc, and preferably also power spectrum measurements, which are used to extract a large-signal model. This model is then used to predict the performance of the designs and benchmark the different available technologies relative to each other. This is a complex and time-consuming process that is realistic only for a limited number different technologies. Omitting potential technologies may result in a nonoptimal choice of technology. In this paper, wave analysis [1] is selected as a tool to benchmark two different HEMT process, one pseudomorphic HEMT (pHEMT) and one metamorphic HEMT (mHEMT) process provided by the same foundry. Wave analysis is a relative simple and straight forward analysis method, which allows the designer , of resistive mixers and simto predict the performance, i.e., ilar designs based on dc measurements, which facilitate the use of the presented method early in the design process. Furthermore, the classical IRM theory found in the literature [2] is developed for IRMs based on active type of mixers where the mixer performs a pure multiplication of the RF and local oscillator (LO) signals. In a resistive mixer, the FET is switched on and off with the LO voltage applied on the gate. Thus, differences in the LO amplitudes are suppressed as long as the LO voltage is sufficiently high for efficient switching. In this paper, wave analysis is applied to FET-based IRMs of the Hartley type in order to extend the classical image reject mixer (IRM) theory. and image rejection The fundamental limitations in terms of ratio (IRR) as a function of amplitude and phase errors together with the applied bias and LO signal are derived. Furthermore, three different versions of a broadband 60-GHz IRM is also presented and compared in this paper. The IRMs are designed and fabricated using either a pHEMT or an mHEMT monolithic-microwave integrated-circuit (MMIC) technology, both commercially available and suitable for mass production. This was done in order to investigate the influence of the selected technology (pHEMT/mHEMT) on the performance of the IRM. Two of those IRMs (IRM1—pHEMT and IRM3—mHEMT) are used in two different versions of a 60-GHz single-chip receiver MMIC developed in our group, i.e., [3] and [4], respectively. One of those IRMs (IRM1) was also described in [5]. The last version of the IRM (IRM2—pHEMT) was designed in order to further investigate

0018-9480/$25.00 © 2007 IEEE

2066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

the effect of the layout on the measured performance of the IRM. IRM1 and IRM3 demonstrate a measured state-of-the-art IRR of 30 dB in the 60-GHz band. II. MMIC TECHNOLOGY For the IRMs in this study, a pHEMT1 and an mHEMT2 MMIC process from WIN Semiconductors, Taiwan, R.O.C., was selected. The two processes are identical concerning the passive devices, but the mHEMT contains a higher indium content in the channel and, hence, shows better high-frequency of performance. The pHEMT/mHEMT devices show a of 183 200 GHz. The pinchoff 88/120 GHz and an voltage was found to be 0.9/ 0.5 V. In circuits like resistive mixers when the FET device operates as a gate–voltage-controlled resistor with zero drain versus is an important parameter. For maxbias, imum conversion efficiency in such circuits, the FET would ideally switch between infinite off-resistance and zero on-resistance. The on-resistance particularly needs to be low since the off-resistance in practical circuits is high enough for efficient switching. The on-resistance for the pHEMT/mHEMT devices mm, respectively. are 1.5/1.2 For effective and fast switching, the total output capacitance seen on the drain when the FET is turned off is also of great importance. This capacitance was extracted in a 50- environment and was found to be 0.51/0.34 pF/mm for the pHEMT/mHEMT device, respectively. A very common and well-established figure-of-merit (FoM) for switches is described by [6] (1) and are the capacitance and resistance seen where on the drain when the FET is turned off and on, respectively. is independent of gate periphery. Recalling that Note that the FET in a resistive mixer operates as a voltage-controlled switch, turning on and off with the LO signal applied on the gate [7], this FoM is also applicable to resistive mixers. Thus, although not very often used among designers of resistive mixers, this FoM gives a good comparison between the ability of efficient switching, i.e., low conversion loss in the case for resistive equals 208/390 GHz for mixers, for different technologies. the pHEMTs/mHEMTs, respectively. It can be concluded that although the mHEMT is more suitable for efficient switching according to this FoM, both technologies are suitable for dein both cases signing resistive mixers at 60 GHz since the are well above this frequency.

Fig. 1. Schematic of the Hartley-type IRM presented in this paper.

suppression of the image must take place before or in the downconverter stage itself. The problem with image is either solved by filtering or image suppression. Filtering is particularly difficult when a small IF is selected since the image then often falls inside the RF band and a very high- tunable filter would be required. A more convenient solution is instead to cancel the image in an IRM, [2]. Furthermore, in most applications, a low overall dc power consumption is an important design parameter. In the IRMs presented in this paper, the important issue of low overall power consumption is addressed by integrating the IF quadrature hybrid on-chip. Normally the image canceling is performed in the digital domain by AD converting the IF signals and then combining them by digital signal processing. However, this conventional method has the drawback of requiring two ultrahighspeed ADCs with very high power consumption to convert the broadband IF signals to the digital domain. By realizing this combination in the analog domain, only one ADC is required. Thus, the overall power consumption of the receiver is reduced by several watts, assuming commercial ultrahigh-speed ADCs.3 To minimize the power consumption further, single-ended resistive mixers were chosen for the actual down conversion. The block diagram of the IRM of Hartley type [2] presented in this paper is found in Fig. 1. However, as already discussed, the combination of the quadrature IF signals is often realized in the digital domain. The Hartley IRM presented in this paper consists of two single-resistive mixers, two RF filters, two IF filters, a Wilkinson power splitter, a branch line coupler (BLC), and an IF quadrature power combiner. Perfect suppression of the image requires perfect phase and amplitude balance in the overall IRM in general and in the in-phase power splitter and the 90 hybrids in particular. Equation (2) describes IRR as follows as a function of amplitude (%), and phase (deg.) errors [8], and is plotted in Fig. 2: (dB)

III. IRM PRINCIPLE OF OPERATION In most radio receivers, it is desirable that the unwanted sideband, the image, is suppressed. Once down converted, there is no way to separate the wanted signal from its image, thus the 1WIN pp15-20 0.15-m pHEMT. [Online]. Available: www.winfoundry.com 2WIN mp15-01 0.15-m mHEMT. [Online]. Available: www.winfoundry.com

(2)

This is a classical formula for IRR (2), but it assumes perfect mixing, i.e., the mixer performs a pure multiplication of the RF and LO signals, something that is not true for a real mixer. In order to derive a more accurate formula, wave analysis will be described and applied on the IRM found in Fig. 1 in Section V. 3For

example: Maxim MAX108. [Online]. Available: www.maxim-ic.com

GUNNARSSON et al.: ANALYSIS AND DESIGN OF MILLIMETER-WAVE FET-BASED IRMs

Fig. 2. IRR (in decibels) as a function of amplitude and phase errors according to (2).

IV. WAVE ANALYSIS APPLIED TO THE SELECTED pHEMT AND mHEMT TECHNOLOGIES Wave analysis is a handy analysis to estimate the performance of resistive mixers and similar designs [1]. In short, wave analysis proves that the power conversion loss of a single-ended re) can be calculated from the first Fourier sistive mixer ( coefficient in the waveform. The waveform is the reflection coefficient seen on the drain of the FET as it is switched on and off by the LO voltage applied to the gate. Furthermore, in , Section V, it will prove convenient to use the inverse of i.e., the power conversion gain ( ) in the calculations. If denotes the first Fourier coefficient, the can be calculated according to

where (3) This very simple expression for is valid for both up and down conversion using single-ended resistive mixers and from can be directly used to explore the influence on waveforms. In the ideal case, resembles a different square wave ranging from 1 to 1 with 50% duty cycle. Acin this case equals , i.e., 3.9 dB. cording to (3), the Naturally, to obtain (3), a number of simplifying assumptions need to be made. The most important one is that the FET device operates in an ideal 50- environment, thus, all possible frequency components are terminated in this impedance. How, it is beneficial to increase the ever, in order to decrease system impedance since this lowers the critical minimum value . An increased system impedance will also decrease the of , but in an actual design, this value is maximum value of typically close to the ideal value of 1 anyway. , the wave analApart from the ideal 50% square wave ysis can also be applied to a more realistic waveform in order to investigate the theoretical limitations in terms of conversion loss for a certain device technology. Ideally, the designer of resistive mixers would like to do this comparison as early as possible in the design process. Hence, there exists a need for a

Fig. 3. Measured and modeled r pHEMT and mHEMT devices.

2067

versus V

(V

= 0:05 V) for 2 2 50 m

simple and quick method to benchmark different processes relative to each other. Besides the FoM described in (1), wave analysis can be used for this benchmarking. In this analysis, is calculated from and, thus, the important parameter is versus , which is extracted from a simple set of dc mea( ) for the pHEMT and mHEMT devices sesurements. lected in this study are plotted in Fig. 3. In order to predict the waveforms in an accurate way, the most important part of . In this region, the curve resembles this curve is for small an exponential curve [1] (4) where is the on-resistance (15/12 for a 2 50 m pHEMT/mHEMT device, respectively) and and are fitting versus together with the modconstants. Fig. 3 shows eled response. ( for pHEMT and 0.06/13.5 for mHEMT, respectively.) From Fig. 3, it is clear that the pHEMT and mHEMT devices require different biases for efficient switching. Furthermore, due to the somewhat steeper rise of the mHEMT curve, one can also assume that the mHEMT device would require slightly lower amplitude for the switching LO signal. By inserting (4) into the definition of reflection coefficient and rearranging, we get

(5) which is plotted in Fig. 4. Assuming a sinusoidal LO input signal of and inserting this LO input signal into (5) yields [1]

(6)

2068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 4. Measured and modeled mHEMT devices. Z = 50 .

0

versus

V

for 2

2 50 m pHEMT and

2 50 m pHEMT and mHEMT devices. = 01:0= 0 0:5 V and V = 0:5 V. Z =

Fig. 5. Modeled 0 versus time for 2

V 50 .

=V

which is plotted in Fig. 5. Clearly, the waveforms resembles a nonideal square wave. The higher on-resistance of the pHEMT device compared to its mHEMT counterpart can be . However, it should noted by the higher minimum value of be noted that the LO voltage is chosen to demonstrate this difference between the two technologies. As will be evident shortly, waveform for both the with a higher LO amplitude, the pHEMT and mHEMT will resemble the same nonideal square wave. The LO signal can be varied by changing its dc value, i.e., bias, and its amplitude. The bias determines the duty the for different biases cycle of the square wave, and can be found in Fig. 6 for the pHEMT device. From [1], it is clear that the lowest conversion loss is obtained for a duty cycle of 0.5, which in the pHEMT case corresponds to a of 1.0 V. Hence, the well-known rule of thumb that the gate should be biased slightly below, i.e., more negative than, the pinchoff voltage of the device in a resistive mixer for lowest conversion loss [9], is confirmed. for different amplitudes of the LO voltage. Fig. 7 shows waveform resembles With increased LO amplitude, the more and more the ideal square wave shape.

Fig. 6. Modeled 0 versus time for a 2 V bias. V = 0:5 V. Z = 50 .

2 50 m pHEMT device at different

2

Fig. 7. Modeled 0 versus time for a 2 50 m pHEMT device at different LO = 1:0 V. Z = 50 . amplitudes, V

0

Fig. 8. Modeled L devices for different V

versus V for 2 2 50 m pHEMT and mHEMT = 0:2; 0:5 and 0:8 V.

Finally, by applying (6) in (3), can be numerically bias and for difcalculated and is plotted in Fig. 8 versus ferent amplitudes of the LO signal. The higher the LO ampliminimum is tude, the lower the conversion loss. The bias. also wider, i.e., less sensitive to the To validate the presented analysis, a basic 60-GHz singleended resistive mixer was manufactured in the selected mHEMT

GUNNARSSON et al.: ANALYSIS AND DESIGN OF MILLIMETER-WAVE FET-BASED IRMs

2069

V. WAVE ANALYSIS OF AN IRM

Fig. 9. 60-GHz mHEMT single-ended resistive mixer used for validation of the wave analysis. The chip measures 1.85 1.5 mm .

2

technology. The device size is the same as was selected in the IRM, i.e., 2 50 m, and a chip photograph of the single-ended resistive mixer can be found in Fig. 9. The RF is applied from the left through a single-section coupled line filter, the LO from the right, and the IF is extracted from below through a quarter-wave dc bias is applied from above through open-stub filter. The a large resistor. is With 10 dBm of applied LO power, the measured 6.1 dB at 60 GHz. When simulated in Agilent ADS EDA software using the relative complicated EEHEMT model provided was predicted to be 6.0 dB with by the foundry, the the same LO power. Using the same simulation setup, the simulated LO amplitude on the gate of the HEMT was 1.1 V and approximately sinusoidal. Using the presented wave analysis, is 5.8 dB. Adding the loss of the the calculated “raw” is 6.6 dB, thus RF and IF filters, the final calculated only 0.5-dB difference compared to the measured value. Furpredicted by wave analysis in Fig. 8 thermore, the optimal for the mHEMT device is 0.5 V. During the measurements, was found to be 0.7 V. Given the simplicity the optimal of the wave analysis and the uncertainties in the measurement, those results are considered to be very accurate and also prove the usefulness of the presented analysis. The analysis also shows that both the selected pHEMT and mHEMT technologies are suitable for the design of resistive mixers. The mHEMT technology shows a potentially better performance, but the difference to its pHEMT counterpart is small as long as both devices are biased correctly and the selected LO power is sufficient for efficient switching.

In an IRM, any difference between the two signal paths will result in degradation in both conversion loss of the IRM ( ) and IRR. From a balance point of view, the IRM in Fig. 1 can be divided into two parts with the mixing element as the divider. As already discussed in the case of an FET-based IRM, if the gate of the FET is driven with sufficiently large will be close to a square wave and, hence, LO power, any amplitude mismatch between the LO signals applied to the two FETs will have a negligible effect on the overall and IRR. From this, it is clear that, contrary to the common belief, the three splitters/combiners are not equally important when it comes to amplitude mismatch, i.e., the 90 LO hybrid does not need to have as small amplitude error as the other two. A Wilkinson splitter is often selected as an in-phase power splitter and a BLC or Lange coupler (LC) is selected as the 90 hybrid. Since the Wilkinson splitter typically exploits smaller amplitude error compared to the BLC or LC, the Wilkinson splitter should be placed at the RF port and one of the former at the LO port and not vice versa, as is sometimes seen. and denote the amplitude error (%) and phase error (deg.) on the drain side, i.e., the RF/IF side of a traditional denotes the phase error single-ended resistive mixer, and on the gate, i.e., LO, side, respectively. The output IF signal is the sum of the IF signals from the upper and lower mixer. If it is assumed that the upper mixer in Fig. 1 possesses both the amplitude and phase error, the resulting IF signal with unity RF signal amplitude and a negligible image power equals (7), is defined by shown at the bottom of this page, where (3). The conversion gain and loss of the overall IRM ( and , respectively) can now be readily obtained from (7) as

(8) and are equally imporFrom (8), it is obvious that versus and tant. Fig. 10 shows for a unity . For a nonunity , the center of Fig. 10 will equal this nonunity , i.e., 3.9 dB in the best

(7)

2070

Fig. 10. Conversion loss (in decibels) for an IRM (L versus  and  . L

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

) for a unity

case when applying an ideal square-wave driven single-resistive mixer according to Section IV. Thus, for the different waveforms, the shape of the plot will be the same, but will increase linearly with an increased . and have a limited From Fig. 10, it is clear that . However, as was shown in Fig. 2, the same effect on errors will degrade the IRR performance for the mixer significantly. In order to derive a more realistic formula compared to (2), the two IF signals can once again be computed as in (7), but the image is now also accounted for. If the IRR is defined as the power rating between the wanted signal and the image, the IRR is found to be (9), shown at the bottom of this page. If is substituted with , this expression is the same as found in [2]. However, the effect of the suppression of amplitude imbalance in resistive mixers is not accounted for in that version of the formula. As we have already seen, it is not the amplitude of the LO signal, but rather the shape and magnitude of the waveform, which affects the loss in a resistive mixer. For a unity , (9) would equal (2) and, thus, Fig. 2 shows IRR versus and in this case. For the different waveforms, the shape of the plot will be the same, but IRR will degrade with a . In Fig. 11, IRR versus for different degraded dB amplitude and phase errors are plotted. Only [1]. is plotted since this is the minimum From the above analysis, it can be concluded that a high IRR depends not only on the amplitude and phase errors within the of the selected mixer cells. For design, but also on the a given set of amplitude and phase errors, the lowest and, thus, also the lowest , gives the highest IRR. For an IRM employing single-ended resistive mixers, the best waveform is symmetric square wave ranging from 1 to 1. Ideally, this requires a square-wave LO voltage, infinite off-resistance and zero on-resistance, and capacitance of the switching device. To obtain an approximate square wave from the more common sinusoidal LO voltage, the amplitude of the applied LO signal can be increased or same type of wave

Fig. 11. IRR (in decibels) versus L

.

shaping circuitry on the gate may be used. However, in a millimeter-wave mixer, this is often not possible to use due to the very fast rise and fall times of such a square wave. characteristics The above analysis requires that the are the same for the wanted signal and its image. In a realistic IRM, this is typically true only when the selected IF frequency is low. With increased IF frequency, it normally exists one optimal bias for lowest and another optimal bias for highest IRR, respectively. This occurs since, when the IF freand matching for the wanted quency is increased, the signal and its image are no longer equal. Furthermore, Section IV proved that the available pHEMT and mHEMT technologies are approximately equally well suited for designing resistive mixers. According to the FoM presented in Section II, both technologies are also well suited for designing resistive mixers at 60 GHz. Thus, according to Fig. 11, both technologies should give approximately the same IRR when used in an IRM. VI. IRM DESIGN Three versions of the IRM were designed and manufactured with the layout as the main difference. Figs. 12–14 show photographs of the different pHEMT/ mHEMT IRMs. All of them have the same topology and consist of two single-ended resistive mixers, two RF filters, two IF filters, a Wilkinson power splitter, a BLC, and an IF quadrature power combiner. All four ports are accessible for testing with coplanar ground–signal–ground (GSG) probes. IRM1 is also prepared for flip-chip tests with additional pads around the design. The size of the 60-GHz MMIC IRMs are 3.3 2.8 mm for IRM1 (pHEMT), 2.0 2.9 mm for IRM2 (pHEMT), and 3.3 2.3 mm for IRM3 (mHEMT). The different versions of the IRM were designed to compare the relative feasibility of the pHEMT and mHEMT technologies to be selected in such designs. Furthermore, the different layouts were designed to

(9)

GUNNARSSON et al.: ANALYSIS AND DESIGN OF MILLIMETER-WAVE FET-BASED IRMs

2071

A. Single-Ended Resistive Mixers Single-ended resistive mixers were chosen for the actual down conversion. The dc-bias network in IRM1 and IRM2 was designed to make it possible to bias the upper and lower mixer separately, but during the measurements, the same gate bias was used. For IRM3, the gate bias was applied to both HEMTs through the LO port with an external bias tee. B. RF and IF Filters

Fig. 12. IRM1 (pHEMT). The chip measures 3.3

2 2.8 mm .

The RF filter is a single-section coupled line filter chosen for its large RF bandwidth and dc blocking structure. The IF filter is realized with open stubs instead of using lumped components since the stub version of the filter possesses lower insertion loss due to less parasitic elements. Another advantage of the former is that the frequency response of this type of filter can be accurately predicted by simple and thus, fast, electromagnetic (EM) simulations. C. Wilkinson and BLCs The RF power splitter is implemented as a 50- Wilkinson power splitter with equal power split. The splitter is meandered in order to reduce its size in the -direction. At the LO port, the 90 hybrid is implemented as a 50- BLC, which also has equal power split of 3 dB over a fairly wide frequency band. A BLC was favored over an LC since the necessary air-bridges in the latter were difficult to implement and EM simulate in the selected processes at the time when the IRMs were designed. D. IF Quadrature Combiner

Fig. 13. IRM2 (pHEMT). The chip measures 2.0

2 2.9 mm .

Fig. 14. IRM3 (mHEMT). The chip measures 3.3

2 2.3 mm .

investigate the influence of internal coupling (caused by the layout) in the mixer on the amplitude and phase balance and thereby the degradation of the IRR.

One of the most critical parts of the 60-GHz IRM is the on-chip combination of the IF quadrature signal since high IF bandwidth was considered to be an important design parameter. Due to the relatively low IF center frequency, i.e., 2.5 GHz, distributed solutions like branch line or LCs are not realistic on-chip. To limit the size of the circuit, a lumped solution is necessary. Furthermore, in order to achieve a high data rate, the relative bandwidth of this IF hybrid must be large. For this reason, lumped BLCs [10] are avoided due to their relatively narrow amplitude bandwidth. Second-order lumped BLCs [11] may be more broadband; however, in the monolithic version, these tend to be lossy due to relatively low- value of inductors. Spiral transformer coupled hybrids [12] also have relatively narrow amplitude bandwidth. Instead, this IRM uses a lumped quadrature power splitter (LQPS) [13] for a combination of the quadrature IF signal. The LQPS includes a lumped Wilkinson combiner with the two inputs attached to a low- and high-pass filter, respectively. The effective area of the LQPS is 720 520 m . The measured amplitude and phase errors are found in Fig. 15. The amplitude and phase errors are less than 0.3 dB 3.5% and 3 , respectively, between 2–3 GHz. The used LQPS was optimized for as small phase and amplitude errors as possible at the 2.5-GHz center frequency. It would, however, be possible to increase the bandwidth of the hybrid even further if a small phase error would be accepted at the center frequency.

2072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 15. Measured balance parameters from breakout of the LQPS. Fig. 17. Conversion loss and IRR of IRM1 versus IF frequency,

57:5 GHz.

Fig. 16. Conversion loss and IRR of IRM1 versus RF frequency, 2:5 GHz.

f

=

VII. MEASURED RESULTS The IRM in its three versions was characterized as down conand IRR. The verters from 53 to 70 GHz with respect to IF frequency was 2.5 GHz for all measurements, except when the IF bandwidth was measured. The LO frequency is below bias points were found the wanted RF signal. The optimal to be 0.95 V for IRM1 and IRM2, while IRM3 was biased at 0.4 V. The same bias was applied for the upper and lower single-ended resistive mixer. and IRR for IRM1 and IRM3 versus The measured RF frequency are plotted in Fig. 16. The IRM1 possesses a 1-dB RF bandwidth of 10 GHz between 55–65 GHz with an optimal of 10.2 and 30 dB of IRR at the 60-GHz center fre, quency. IRM3 possesses approximately 1-dB worse but the same 1-dB RF bandwidth. However, the IRR performance is even more broadband compared to IRM1. The more compact pHEMT counterpart, IRM2 also has approximately compared to IRM1, but the most signif1-dB worse icant difference is the IRR, which is 11 dB at 60 GHz. This is caused by the denser layout and, hence, the increased internal coupling in IRM2 compared to IRM1. As already discussed, the maximum data rate that can be handled by a system is proportional to the IF bandwidth of the reand IRR ceiver and, thus, also the mixer. The measured for IRM1 and IRM3 versus IF frequency is plotted in Fig. 17.

f

=

Both IRM1 and IRM3 show excellent wideband IF performance bandwidth as high as 2 GHz, between with a 1-dB 1.2–3.2 GHz and a IRR better than 20 dB over 1.5 GHz of IF bandwidth, 1.75–3.25 GHz for IRM1. IRM3 possesses the same bandwidth broadband IF characteristic with a 1-dB IF of 1.9 GHz (1.1–3.0 GHz), an equally high IRR as IRM1. To verify the agreement between theory and measurements, the balance parameters of the LQPS are assumed to be the main limitation of the IRR versus IF frequency performance. The amplitude and phase balance is extracted from Fig. 15 at 1.75 and is calculated by extracting the simulated sum 3.25 GHz. . of the losses in the RF and IF paths from the measured The IRR is then calculated using (9) to 23 dB, which should be compared with the measured IRR of 20 dB at those frequencies. This is considered to be a very good agreement since the amplitude and phase balance on the RF side of the mixer were not accounted for in the calculations. Thus, the 3-dB lower IRR in the measurements most likely comes from those unknown amplitude and phase errors. Note also the significant correlaversus IF frequency performance of tion between the the mixer and insertion loss of the LQPS. Over the 2-GHz IF bandwidth, IRM2 once again shows approximately 1 dB worse compared to IRM1 and significantly worse IRR. Comparing the different IRMs, the most remarkable difference is the significantly worse IRR for IRM2. The reason is when designing IRM1, care was taken to avoid coupling by placing the different parts of the IRM far apart, i.e., two adjacent transmission lines were separated by at least four times the width of the widest transmission line. The result is a well performing, but large design. In IRM2, the layout was much denser to save space, but the internal coupling resulted in worse IRR performance. The layout of the IRM3 was more dense compared to IRM1, but not as dense as IRM2, and it demonstrated a performance similar to IRM1. However, when designing IRM3, much care was taken to EM simulate large parts of the final layout. Hence, the negative effects of the coupling could be compensated for in the design. VIII. CONCLUSION From the analysis in Section V, it can be concluded that a high IRR depends not only on the amplitude and phase errors within

GUNNARSSON et al.: ANALYSIS AND DESIGN OF MILLIMETER-WAVE FET-BASED IRMs

Fig. 18. Comparison of IRR versus RF frequency compared to other IRMs found in the open literature.

the design, but also on the conversion loss of the selected mixer ). For a given set of amplitude and phase errors, cells ( and, thus, the lowest conversion loss of the the lowest ), gives the highest IRR. IRM ( The analysis in Section IV described how different FET technologies can be benchmarked versus each other regarding their suitability for use in resistive mixers. This benchmarking is done based on simple dc measurements, which facilitates the use of this method early in the design process. This analysis predicted that the selected mHEMT technology would give an compared to its pHEMT counIRM with a slightly lower terpart (WIN Semiconductors’ 0.15- m mHEMT/pHEMT). However, the measured results show the opposite, which proves that the layout played a more important role than the selected technology for the presented IRMs. Thus, the difference between the different IRMs lies mainly in the layout, and it can be concluded that internal coupling due to dense layout causes increased amplitude and phase mismatch and, therefore, a degraded IRR. IRR versus frequency was thought to be the most important figure and is plotted in Fig. 18 for IRM1 and IRM3 together with different IRMs found in the open literature. It can be clearly seen that the presented IRM1 and IRM3 are very competitive with a state-of-the-art IRR versus operating RF frequency. ACKNOWLEDGMENT The authors acknowledge K. Yhland, Swedish National Testing and Research Institute (SP), Borås, Sweden, and C. Fager, Chalmers University of Technology, Göteborg, Sweden, for fruitful discussions during the creation of this paper. The authors also acknowledge T. Lewin and A. Alping, both with Ericsson AB, Mölndal, Sweden, together with J. Grahn, Chalmers University of Technology, for their shown interest in this study. The authors further acknowledge B. Hansson, Chalmers University of Technology, for organizing their tapeouts. REFERENCES [1] K. Yhland, “Simplified analysis of resistive mixers,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 604–606, Aug. 2007. [2] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998.

2073

[3] S. E. Gunnarsson, C. Kärnfelt, H. Zirath, R. Kozhuharov, D. Kuylenstierna, A. Alping, and C. Fager, “Highly integrated 60 GHz transmitter and receiver MMICs in a GaAs pHEMT technology,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2174–2186, Nov. 2005. [4] S. E. Gunnarsson, C. Kärnfelt, H. Zirath, R. Kozhuharov, D. Kuylenstierna, C. Fager, M. Ferndahl, B. Hansson, A. Alping, and P. Hallbjörner, “60 GHz single-chip front-end MMICs and systems for multiGbps wireless communication,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1143–1157, May 2007. [5] S. E. Gunnarsson, D. Kuylenstierna, and H. Zirath, “A 60 GHz MMIC pHEMT image reject mixer with integrated ultra wideband if hybrid and 30 dB of image rejection ratio,” in Proc. Asia–Pacific Microw. Con., Dec. 2005, vol. 1, pp. 4–7. [6] R. J. Gutmann and D. J. Fryklund, “Characterization of linear and nonlinear properties of GaAs MESFET’s for broadband control applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 5, pp. 516–521, May 1987. [7] S. A. Maas, “A GaAs MESFET mixer with very low intermodulation,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 425–429, Apr. 1987. [8] A. Tasic and W. A. Serdijn, “Concept of spectrum-signal transformation,” in IEEE Int. Circuits Syst. Symp., May 26–29, 2002, vol. 5, pp. V-449–V-452. [9] S. Maas, Nonlinear Microwave and RF Circuits, 2nd ed. Norwood, MA: Artech House, 1997. [10] R. W. Vogel, “Analysis and design of lumped and lumped-distributed element directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [11] Y. C. Chiang and C. Y. Chen, “Design of a wideband lumped element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 476–479, Mar. 2001. [12] F. Ali and A. Podell, “A Wide-band GaAs monolithic spiral quadrature hybrid and its circuit applications,” IEEE J. Solid-State Circuits, vol. 26, no. 10, pp. 1394–1398, Oct. 1991. [13] D. Kuylenstierna, S. E. Gunnarsson, and H. Zirath, “Lumped-element quadrature power splitters using mixed right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2616–2621, Aug. 2005. [14] T. N. Ton, T. H. Chen, K. W. Chang, H. Wang, T. L. Tan, G. S. Dow, G. M. Hayashibara, B. Allen, and J. Berenz, “A -band monolithic InGaAs/GaAs HEMT Schottky diode image reject mixer,” in IEEE Gallium Arsenide Integrated Circuits Symp. Dig., Oct. 4–7, 1992, pp. 63–66. [15] K. Kawakami, M. Shimozawa, H. Ikematsu, K. Itoh, Y. Isota, and O. Ishida, “A millimeter-wave broadband monolithic even harmonic image rejection mixer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 7–12, 1998, vol. 3, pp. 1443–1446. [16] P. Blount, “An LMDS, subharmonically pumped image reject mixer,” in IEEE Gallium Arsenide Integrated Circuits Symp. Dig., Oct. 21–24, 2001, pp. 41–44. [17] M. T. Murphy, “Passive 8–16 GHz MMIC image-reject mixer,” in IEEE Gallium Arsenide Integrated Circuits Symp. Dig., Oct. 7–10, 1990, pp. 117–120. [18] A. Minakawa and T. Hirota, “An extremely small 26 GHz monolithic image-rejection mixer without DC power consumption,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1634–1637, Sep. 1993. [19] K. Nishikawa, K. Kamogawa, R. Inoue, K. Onodera, T. Tokumitsu, M. Tanaka, I. Toyoda, and M. Hirano, “Miniaturized millimeter-wave masterslice 3-D MMIC amplifier and mixer,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1856–1862, Sep. 1999. [20] J.-M. Mourant and S. Jurgiel, “A broadband planar image reject mixer,” in IEEE MTT-S Int. Microw. Symp. Dig., May 23–27, 1994, vol. 3, pp. 1637–1640. [21] D. L. Ingram, L. Sjogren, J. Kraus, M. Nishimoto, M. Siddiqui, S. Sing, K. Cha, M. Huang, and R. Lai, “A highly integrated multi-functional chip set for low cost -band transceiver,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 7–9, 1998, pp. 227–230. [22] T. Saito, N. Hidaka, K. Ono, Y. Ohashi, and T. Shimura, “60-GHz MMIC image-rejection downconverter using InGaP/InGaAs HEMT,” in IEEE Gallium Arsenide Integrated Circuits Symp. Dig., Oct. 29 –Nov. 1 1995, pp. 222–225. [23] K. Fujii, M. Adamski, P. Bianco, D. Gunyan, J. Hall, R. Kishimura, C. Lesko, M. Schefer, S. Hessel, H. Morkner, and A. Niedzwiecki, “A 60 GHz MMIC chipset for 1-Gbit/s wireless links,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2–7, 2002, vol. 3, pp. 1725–1728.

W

Ka

2074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Sten E. Gunnarsson (S’05) was born in Nyköping, Sweden, in 1976. He received the M.Sc. degree in electronic engineering from the Lund University of Technology, Lund, Sweden, in 2003, and is currently working toward the Ph.D. degree at the Chalmers University of Technology, Göteborg, Sweden. He is currently with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology. His main research interest concerns the design of MMICs in general and mixers in particular for wireless systems operating at 60 GHz and beyond. Mr. Gunnarsson was the recipient of the Second Prize in the Student Paper Award Competition of the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), Long Beach, CA. He was the recipient of the Third Prize in the Student Paper Award Competition of the 2005 Asia–Pacific Microwave Conference, Suzhou, China. He was also the recipient of the IEEE MTT-S Graduate Fellowship Award in 2006 and 2007.

Dan Kuylenstierna (S’04–M’07) was born in Göteborg, Sweden, in 1976. He received the M.Sc. degree in physics and engineering physics and Ph.D. degree in nanoscale science and technology from the Chalmers University of Technology, Göteborg, Sweden, in 2001 and 2007, respectively. He is currently with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology. His main scientific interests are oscillators and frequency generating circuits, passive elements for MMICs, packaging, and assembling technologies. Dr. Kuylenstierna was the recipient of the Second Prize in the Student Paper Award Competition of the 2004 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), Fort Worth, TX. He was also the recipient of the 2005 IEEE MTT-S Graduate Fellowship Award.

Herbert Zirath (S’77–M’80) was born in Göteborg, Sweden, on March 20, 1955. He received the M.Sc. and Ph.D. degrees from the Chalmers University of Technology, Göteborg, Sweden, in 1980 and 1986, respectively. He is currently a Professor of high-speed electronics with the Department of Microtechnology and Nanoscience, Chalmers University of Technology. In 2001, he became the Head of the Microwave Electronics Laboratory, which currently has 70 employees. He currently leads a group of approximately 30 researchers in the area of high-frequency semiconductor devices and circuits. He is also a Part-Time Microwave Circuit Expert with Ericsson AB, Mölndal, Sweden. He has authored or coauthored over 220 papers in international journals and conference proceedings and one book. He holds four patents. His main research interests include InP-HEMT devices and circuits, SiC- and GaN-based transistors for high-power applications, device modeling including noise and large-signal models for FET and bipolar devices, and foundry-related MMICs for millimeter-wave applications based on both III–V and silicon devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2075

35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications Jeng-Han Tsai, Student Member, IEEE, and Tian-Wei Huang, Senior Member, IEEE

Abstract—Sub-harmonic modulator and demodulator are presented in this paper using 0.13- m standard CMOS technology for millimeter-wave (MMW) wireless gigabit direct-conversion systems. To overcome the main problem of local oscillator (LO) leakage in direct-conversion systems, the sub-harmonically pumped scheme is selected in this mixer design. An embedded four-way quadrature divider is utilized in the sub-harmonic Gilbert-cell design to generate quadrature-phases LO signals at MMW frequency. For broadband applications, a broadband matching design formula is provided in this paper to extend the operational frequency range from 35 to 65 GHz. To improve the flatness of conversion loss at high frequency, high-impedance compensation lines are incorporated between the transconductance stage and LO switching quad of the Gilbert-cell mixer to compensate the parasitic capacitance. The sub-harmonic modulator 1.5 dB and 7.5 1.5 dB measured and demodulator exhibit 6 conversion loss, respectively, from 35 to 65 GHz. For MMW wireless gigabit applications, the gigabit modulation signal test is successfully performed through the direct-conversion system in this paper. To our knowledge, this is the first demonstration of the MMW CMOS sub-harmonic modulator and demodulator that feature broadband and gigabit applications. Index Terms—CMOS, demodulator, direct conversion, millimeter wave (MMW), modulator, sub-harmonically pumped.

I. INTRODUCTION ECENTLY, the Federal Communications Commission (FCC) announced 7 GHz of unlicensed band in millimeter-wave (MMW) frequency bands from 57 to 64 GHz [1]. The FCC allocation provides the possibility of the wireless gigabit communication services, such as wireless personal area networks (WPANs) [2], wireless gigabit Ethernet [3], and point-to-multipoint MMW fiber-radio communication systems [4]. Furthermore, these 60-GHz short-range links provide extra benefits of security, spatial isolation, and frequency reuse, which results from the significant oxygen absorption at kilometer range [2]. To meet the MMW market demands, many researchers have developed highly integrated MMW monolithic integrated circuits. In the past, this research was dominated by III–V semiconductors. Due to the possibility

R

Manuscript received February 24, 2007; revised May 29, 2007. This work was supported by the National Science Council of Taiwan under Grant NSC 96-2219-E-002-021, Grant NSC 95-2219-E-002-011, Grant NSC 95-2221-E002-084-MY2, and Grant NSC 93-2752-E-002-003-PAE. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei, 106 Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.905497

of low-cost silicon and back-end integration, modern CMOS technology with downscaling of transistor dimensions is an interesting alternative for MMW applications. Today 0.13- m bulk CMOS technology is capable of high-gain amplifications in the 60 GHz [5]. Direct-conversion architecture with advantages of minimal hardware, no image frequency, and wider bandwidths [6] is attractive for broadband MMW applications. Therefore, passive de-modulator and modulator have been reported in various processes [7]–[10] for MMW direct-conversion systems, even gigabit data rate. However, the main problem of local oscillator (LO) leakage must be considered carefully when designing direct conversion transceivers [6]. To minimize the problem, the sub-harmonic pumping technique whose LO frequency is onehalf of the desired RF frequency is considered as a potential solution [11]–[15]. In addition, using relatively low LO frequency can decrease the design challenge of a high-power and low phase-noise LO in MMW frequencies [12]. The mixer is a critical component in the demodulator and modulator designs that translates the RF signals to and from baseband signals. Traditionally, mixers using passive topologies in the MMW regime have high conversion loss and large chip size due to the quarter-wave length matching stubs and baluns [16]. Now the high-performance CMOS devices make it possible to consider the fundamental Gilbert-cell mixer for low conversion loss and compact die size in MMW [17]. However, the CMOS sub-harmonic Gilbert-cell mixer has not been demonstrated in MMW for the following reasons. 1) The switching quad of the sub-harmonic Gilbert-cell mixer consists of four parallel connected transistors introduce a larger parasitic capacitance than the fundamental Gilbert-cell mixer, which limits the operation frequencies and bandwidth in the MMW regime. 2) The lack of accurate quadrature-phase LO signals generator in the MMW limits the operation frequencies of sub-harmonic Gilbert-cell mixers. Furthermore, the MMW CMOS Gilbert-cell-based demodulators and modulators with gigabit direct-conversion capabilities have not been demonstrated. This paper presents an MMW broadband sub-harmonic modulator and demodulator using 0.13- m standard CMOS technology for MMW direct-conversion systems. The sub-harmonically pumped technique is selected to minimize the LO leakage problem. For broadband applications, a broadband matching design formula is provided to extend the operational frequency range. To compensate the parasitic capacitance of the CMOS

0018-9480/$25.00 © 2007 IEEE

2076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

RF port. The mixers can perform the modulation of the LO with the baseband in-phase ( ) and quadrature ( ) modulating signals. Both mixed signals are combined at the output to provide a composite modulated signal. All signals are fully differential, except for the RF and LO input signals. Using the following notation for the LO, in-phase ( ) and quadrature ( ) signals: (1a) (1b) (1c) the mixed signals

and

are

Fig. 1. Block diagrams of the CMOS broadband sub-harmonically pumped modulator and demodulator.

transistor, a high-impedance compensation line is incorporated between the transconductance stage and switching quad to form a third-order Butterworth LC ladder network. In addition, an MMW four-way quadrature divider using a 90 coupler and 180 balun have been implemented in the CMOS process to provide equal amplitude and quadrature-phases LO signals for the sub-harmonically pumped modulator and demodulator. Finally, the experimental results show the MMW CMOS sub-harmonic modulator and demodulator MMICs feature good direct-conversion quality up to the gigabit data rate. II. OPERATION PRINCIPLE The direct-conversion architecture is a promising approach for single-chip transceivers for the following reasons. First, the elimination of the IF circuitry reduces design complexity and minimal hardware. Second, with zero IF, there is no image frequency. Third, the radio channels have wide bandwidths, which make it more suitable for high data-rate systems. However, a well-known problem is the LO leakage because of poor reverse isolation through the mixer. As an upconverter, the LO leakage should be minimized since an unwanted carrier signal at the RF output can degrade the modulation quality. As a downconverter, the LO leakage from the receiver into the antenna becomes an in-band interferer to other nearby receivers tuned to the same band. In addition, LO leakage self-mixing to dc through the mixer cause a more serious dc offset problem in receiver. To minimize these problems, the sub-harmonically pumped technique is considered as a potential solution. With sub-harmonic pumping, the fundamental LO frequency is one-half of the desired RF frequency, therefore, the fundamental component of LO leakage does not appear in the concerned signal frequency bands [13]–[15]. In fact, the LO leakage does not completely eliminate due to the imperfections in the circuit mismatch. However, the sub-harmonically pumped technique is beneficial to reduce LO leakage in the modulator and dc offset in the demodulator. A block diagram of the sub-harmonic modulator and demodulator are shown in Fig. 1. The sub-harmonic modulator consists of a 90 broadside coupler, a Wilkinson power divider, and two sub-harmonically pumped mixers. The Wilkinson power divider is used to provide good isolation between the input ports of two mixers. The broadside coupler provides a 90 phase shifter at the

(2a)

(2b) after the mixed signals and pass through the broadside coupler, the modulated signal is given by (3) However, due to the amplitude and phase imbalance of practical circuit implementation, complete suppression does not occur [6]. The block diagram of the modulator shown in Fig. 1 can also be operated as a demodulator with the replacement of downconversion mixers. Modulated signals entering the 90 phase shifter from the RF port are separated into two equal amplitude components with 90 phase shift and mix with the sub-harmonic LO by the sub-harmonic mixer to produce baseband signals. III. CIRCUIT DESIGN The mixer is a critical component in the demodulator and modulator designs that translates the RF signals to and from baseband signals. Circuit design of the broadband sub-harmonic direct up/downconversion mixer is described here. The quality factors of the matching networks [18] are designed to have a low value for broadband impedance matching. Although a higher quality factor of the matching networks has better conversion loss, the circuits become more narrowband and sensitive to process variations. The sub-harmonic modulator and demodulator are fabricated in a 0.13- m 1P8M bulk CMOS process. This process provides a single poly layer for the gates of the MOS and eight metal layers for inter-connection. Using optimized CMOS topology and deep n-well (DNW), of 90 GHz at this topology provides an of 85 GHz and maximum-transconductance bias. The transmission lines were implemented using thin-film microstrip (TFMS) lines [5]. The TFMS consists of metal 1 (bottom layer) in the 1P8M CMOS process as the ground plane and metal 8 (top layer) as the microstrip signal line with the thick SiO layer as the substrate. The TFMS can be meandered in a very compact area to reduce the circuit size without suffering the coupling effect. In this 0.13- m CMOS process, the TFMS linewidth of 50- characteristic impedance is approximately 10 m. The circuits were simulated with Agilent’s Advanced Design System (ADS).

TSAI AND HUANG: 35–65-GHz CMOS BROADBAND MODULATOR AND DEMODULATOR WITH SUB-HARMONIC PUMPING

2077

Fig. 3. Equivalent circuit of output matching network of the sub-harmonic direct upconversion Gilbert-cell mixer.

Fig. 2. Schematic of the 35–65-GHz CMOS sub-harmonic direct upconversion Gilbert-cell mixer.

The passive components include the discontinuities of the TFMS lines, inductors, and capacitors and were simulated by a full-wave electromagnetic (EM) simulator (Sonnet software) [19]. A. Broadband Sub-Harmonic Direct Upconversion Mixer The sub-harmonically pumped mixing in a direct upconversion mixer is selected in this design. Since the LO leakage of the sub-harmonic mixer does not appear in the RF band and the 2 LO leakage of the sub-harmonic mixer is much smaller than the LO leakage of the fundamental mixer, the signal distortion due to large LO leakage to the RF port can be significantly reduced [13]–[15]. The schematic of the broadband sub-harmonic direct upconversion Gilbert-cell mixer is showed in Fig. 2. The mixer consists of the several passive and active components. The Gilbert-cell configuration is selected for its double-balanced implementation, which offers high spur suppression in a very compact die size. The main transconductor, which converts the baseband input signal to output and (144 m/0.13 current, is composed of nMOS m, which is a 72-finger device with 2 m unit finger length). The sub-harmonic LO switching quad consists of four parallel – (36 m/0.13 m). connected nMOS pairs, shown as Each transistors of the LO switching quad turn on and off alternatively during one period of the applied LO signal, thus, the RF signal can be switched on every quarter cycle of the LO signal, which creates an effective 2 LO signal. In order to minimize the noise of the active mixer, the bias current of the LO switching quad should be small enough to lower the magnitude of noise pulses. Therefore, a current bleeding circuit, which is (400 ) and (400 ) is incorporated to composed of bleed the drain current flowing into main transconductors and reduce the bias current of the LO switching quad [20]. The bias current of the transconductor stage is determined by a current (72 m/0.13 m), mirror source, which is composed of

(12 m/0.13 m), and (2000 ). A single mixer draws total 11.5-mA dc current from a 3.3-V supply voltage. There is and , respectively, from a 4-mA dc current bled through 3.3-V supply voltage. To achieve broadband frequency response at the RF output port, the quality factor of the output impedance matching network is kept low to cover the 35–65-GHz band. The output ( or ) matching networks consist of a shunt inductor ( or ). One terminal and a series dc blocking capacitor is connected to with a bypass capacof the inductor itor . For low quality factor output impedance matching analysis, each output side equivalent circuit of the double-balanced and are resissub-harmonic mixer is shown in Fig. 3. tance and capacitance looking into a drain of LO switching quad (2 pF) is ac short. The transistors. The dc-blocking capacitor load impedance is 50 . The inductor at each output are side along with its equivalent series resistance (ESR) and transformed to a parallel combination of [18] for parallel RLC resonant circuit analysis, where the quality factor of the drain inductor is given by (4) of the parallel RLC resonant circuit The quality factor ) is given by shown in Fig. 3 at the resonant frequency ( (5)

To match the impedance at the output port, should be equal to of 50 . From the definition of the [18], the quality factor of output quality factor was determined to be 1.5 in this matching network study to cover the 35–65-GHz bandwidth. The inductor needs to be 0.055 nH along with its ESR of 1.3 . Finally, the simulation helps in choosing the device size of the LO switching quad transistors. B. Broadband Sub-Harmonic Direct Downconversion Mixer Most direct conversion receivers suffer degradation of signal-to-noise ratio (SNR) performance due to the problems of LO self-mixing. To mitigates the LO self-mixing problem, the sub-harmonically pumped mixing scheme is selected to separate the fundamental LO and RF frequency band. The schematic

2078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. (a) Small-signal model of the transconductor stage with the loading effect of the LO switching quad. (b) High-impedance compensation line is incorporated as inductances between transconductance stage and switching quad.

Fig. 4. Schematic of the 35–65-GHz CMOS sub-harmonic direct downconversion Gilbert-cell mixer.

and are and , respectively. The effect of inductors of the inductors are too small to parasitic shunt capacitances be reflected in calculation of input impedance. An expression of looking into the gate of transistor pairs the impedance or through the inductor can be written as follow [21]: (6)

Fig. 5. Equivalent circuit of input matching network of the sub-harmonic direct downconversion Gilbert-cell mixer.

where is the parasitic capacitor of the transistor or . ) of the series RLC resonant circuit The quality factor ( ) including the shown in Fig. 5 at the resonant frequency ( source impedance is given by [18] (7)

of the sub-harmonic double-balanced direct downconversion Gilbert-cell mixer is shown in Fig. 4. The main transconductor, which converts RF input signal to output current, is and (32 m/0.13 m). The bias composed of nMOS current of the transconductor stage is determined by a current (72 m/0.13 m), mirror source, which is composed of (12 m/0.13 m), and (2400 ). The sub-harmonic LO switching quad consists of four parallel connected nMOS – (12 m/0.13 m). A current bleeding pairs, shown as (400 ) and (400 ), circuit, which is composed of are incorporated to bleed the drain current flowing into main transconductors. With this current bleeding circuit, the drain and can be partially current of the input transistors supplied through it, which reduces the drain current of the switching quad transistors and the voltage drop of load resistors and ). Therefore, the value of these load resistors, ( and (1000 ), can be increased, thus the voltage conversion gain is raised. A source–follower buffer for baseband active matching is used. By selecting the transistor size of (72 m/0.13 m) and (72 m/0.13 m), the baseband modulation bandwidth of the downconversion mixer can be widened up to 1 GHz for gigabit applications. For broadband RF input impedance matching, the quality factor of the input matching network is also kept low to cover the 35–65-GHz band. As shown in Figs. 4 and 5, gate inductors ( or ) and source inductors ( or ) are used of 50 . The ESR of the to transfer the input impedance to

was also The quality factor of output matching network determined to be 1.5 to cover 35–65 GHz. Once is set, the and (32 m/0.13 m) are determined size of transistor by (7). Finally, the simulation helps in choosing the values of and is a the components. The selected size of transistor 16-finger device with a 2- m unit finger length. Both and are minimum length devices (0.13 m). of the transistor or is 0.031 pF in this study. is 0.42 nH with of nH with of 1.2 . 8 and The parasitic capacitance of CMOS technology results in degradation of the performance at a high operation frequency. To compensate the parasitic capacitance and improve the conversion loss at high frequency, a compensation line as inductance is incorporated between transconductance stage and switching quad. Fig. 6(a) shows the small-signal model of the transconductor stage with the loading effect of the following and are the parasitic resistance LO switching quad stage. and capacitance, respectively, of the transconductor stage and are the parasitics of the LO transistor, while switching quad. The gain-bandwidth product (GBW) of this transconductor stage is given by (8) where . As can be observed, large parasitic capacitances limit the GBW of the Gilbert-cell mixer. The switching

TSAI AND HUANG: 35–65-GHz CMOS BROADBAND MODULATOR AND DEMODULATOR WITH SUB-HARMONIC PUMPING

2079

Fig. 8. Proposed MMW LO four-way quadrature divider. Fig. 7. Simulated conversion loss versus RF frequency of the sub-harmonic downconversion Gilbert-cell mixer for different compensation-line lengths.

quad of the sub-harmonic Gilbert-cell mixer especially consists of four parallel connected nMOS pairs, which introduce a larger parasitic capacitance than a fundamental Gilbert-cell mixer. To overcome the problem, a high-impedance compensation line, as shown in Figs. 4 and 6(b), is incorporated as an inductance between the transconductance stage and switching quad to comand . The parasitic capacitance of the transistor pensate is combined with the inductance of the compensation line to form a third-order Butterworth LC ladder network in Fig. 6(b) [22]. The network absorbs the parasitic capacitance at resonant frequency. Therefore, the use of a series inductor between the transconductor and the switching transistors can extend the bandwidth above the limit in (8). In general, it is complicated to calculate the values of the high-impedance compensation line for optimizing the LC ladder network directly. Instead, the simulation helps in optimizing choosing the values of the compensation-line lengths. The simulated conversion loss of the complete mixer is shown in Fig. 7 with various compensation-line lengths from 0 to 400 m and width of 2.5 m. A significant improvement can be achieved for the flatness of conversion loss over frequencies by a proper selection of compensation-line length. Without the compensation line, the conversion loss will slope down from 6 to 11 dB when the RF and LO frequency sweeps from 35 to 65 GHz. For a flat conversion loss over frequency, the compensation-line length of 300 m is selected, the conversion loss will slope down from 5 to 6 dB when the RF frequency sweeps from 35 to 65 GHz. C. MMW Quadrature-Phases LO Generation Scheme in the CMOS Process The phase-shifting network plays an important role in the generation of equal amplitude and quadrature-phases LO signals for the sub-harmonically pumped Gilbert-cell mixer. However, it is difficult to generate the accurate quadrature-phases LO by using an external balanced phase shifter or surface mount balun, particularly in the MMW frequency. Furthermore, using a conventional embedded RC poly-phase filter at the LO signal path attenuates the LO power significantly in the MMW frequency. An additional differential LO buffer amplifiers should

be added between the poly-phase filter and mixer in order to compensate the losses [23]. For the MMW sub-harmonic Gilbert-cell mixer, an embedded LO four-way quadrature divider using a 90 coupler and 180 baluns in the CMOS technology is proposed. The structure and layout of the LO four-way quadrature divider is shown in Fig. 8. A Marchand-type transformer is used as 180 baluns due to its excellent amplitude/phase match and broadband response [10], [24]. Two coupled lines in the Marchand balun are constructed of broadside coupled lines using metal layers 7 and 8 in this 0.13- m CMOS process. These two coupled lines are wound into two coils, shown in Fig. 8, with a width and gap both of 3 m. Port 1 of the 180 balun was connected through two coils to open circuit, and ports 2 and port 3 were connected from ground to coil. At the operation frequency, the signal of port 1 will couple to the top side coils, and port 2 and port 3 will be 180 out-of-phase. For the 90 coupler of the four-way quadrature divider, a broadside coupler with a tight coupling factor of 3 dB is also implemented using the TFMS structure [10]. It is constructed with two strip lines using different metal layers, i.e., metal layer 7 and 8. Since the gap between the edge coupler is too small to be fabricated for the required coupling, metal layer 8 and 7 are used for the coupled lines of the broadside coupler due to the thick dielectric layer. To obtain the appropriate coupling, an additional offset distance of 2 m between metal 7 and 8 is added. The linewidth of the coupled line is 4 m. Its size can be reduced by using meander-like layout. The 90 coupler and 180 baluns are both calculated using the full-wave EM simulator (Sonnet software) [19]. IV. EXPERIMENTAL RESULTS The microphotographs of the modulator and demodulator are shown in Figs. 9 and 10. Overall die size of the modulator and demodulator are 0.98 mm 0.8 mm and 1 mm 1 mm, respectively. The MMIC are tested via on-wafer probing. The measurement results are shown here. A. Modulator Characteristics The key performances of the modulator are suppression, conversion loss, and intermodulation. Fig. 11 shows the measured

2080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 11. Measured output spectrum of the sub-harmonic IQ modulator with an 2 LO frequency of 60 GHz and a baseband frequency of 5 MHz.

3

Fig. 9. Chip photograph of the CMOS sub-harmonic modulator with chip size of 0.98 mm 0.8 mm.

2

Fig. 12. Simulated and measured conversion loss and USB suppression versus the RF frequency from 20 to 70 GHz for the sub-harmonic IQ modulator.

Fig. 10. Chip photograph of the CMOS sub-harmonic demodulator with chip size of 1.0 mm 1.0 mm.

2

output spectrum of the CMOS sub-harmonic IQ modulator with an RF frequency of 60 GHz, an LO frequency of 30 GHz, and a baseband frequency of 5 MHz, where the lower sideband (LSB) is the desired signal and the upper sideband (USB) is the image signal. The measured output power of the modulator signal is 19 dBm with the optimal LO drive power of 7 dBm and baseband input of 14 dBm. The measured USB suppression is 24 dBc, which indicates an amplitude error is within 0.6 dB and a phase error is within 6 [6], [10]. The measured 2 LO suppression is 27 dBc, referring to the desired output power. The LO driver power is 7 dBm at 30 GHz and the 2 LO leakage power is 45.7 dBm, as shown in Fig. 11. Therefore, the 2 LO to RF port isolation (LO leakage) is 52.7 dB. The ) components are less third-order intermodulation ( than 31 dBc and the second-order intermodulation (

) components are less than 40 dBc referring to the desired output power. The simulated and measured conversion loss and USB suppression of the IQ modulator from 20 to 70 GHz is plotted in Fig. 12. The measured conversion-loss results agree well with the simulated results, which demonstrate the conversion loss of 6 1.5 dB from 35 to 65 GHz. The measured USB suppression is better than 20 dBc from 39 to 70 GHz. In addition, the USB suppression has the best performance, i.e., 30 dBc, from 42 to 45 GHz due to the low-imbalance 3 ) (amplitude imbalance 0.5 dB and phase imbalance characteristics of the RF 90 broadside coupler. The simulated and measured 2 LO suppression of the modulator from 20 to 70 GHz is plotted in Fig. 13. The measured 2 LO suppression is better than 24 dBc from 30 to 65 GHz. The measured intermodulation ( and ) of the modulator from 20 to 70 GHz is also plotted in Fig. 13. As can be observed, the third- and second-order intermodulation are better than 28 dBc and 40 dBc, respectively, from 35 to 65 GHz. The measured output is 19 dBm and the

TSAI AND HUANG: 35–65-GHz CMOS BROADBAND MODULATOR AND DEMODULATOR WITH SUB-HARMONIC PUMPING

3

Fig. 13. Simulated and measured 2 LO suppression and measured intermodulation versus the RF frequency from 20 to 70 GHz for the sub-harmonic IQ modulator.

2081

Fig. 15. Measured output spectrum of the sub-harmonic IQ modulator at 60 GHz with a 20-Ms/s QPSK modulation; the channel power is approximately 20 dBm with a channel bandwidth of 20 MHz.

0

Fig. 16. Measured constellation diagram of the sub-harmonic IQ modulator at 60 GHz with a 20-Ms/s QPSK modulation. Fig. 14. Block diagram of the MMW vector signal measurement system for the MMW sub-harmonic modulator.

total dc power consumption of the sub-harmonic modulator is 75.9 mW. To verify the high data rates digital modulation quality of the sub-harmonic IQ modulator, the MMW vector signal measurement system has been set up. The block diagram of the vector signal measurement system is plotted in Fig. 14. The baseband IQ sources are generated using Agilent’s ADS software and downloaded into an arbitrary waveform generator (Agilent E4438C). The baseband IQ signals are fed into our modulator MMIC. The LO source of the modulator is provided by the signal generator (Agilent E8247C). The output spectrum can be observed by using a spectrum analyzer (Agilent E4448A). The spectrum analyzer is also used for high-quality downconversion of the output signal to an IF (70 MHz). This 70-MHz IF signal is fed into a vector signal analyzer (Agilent VSA 89601A) for the analysis of the digital modulation quality. The baseband overall input power, including I , I , Q , and Q , is 14 dBm. At a 2 LO frequency of 60 GHz, the sub-harmonic IQ modulator is evaluated by a quadrature phase-shift keying (QPSK) modulation with a data rate of 20 Ms/s. The measured output spectrum of the IQ modulator is plotted in Fig. 15, which demonstrated a channel power of higher than 20 dBm with a channel bandwidth of 20 MHz and an adjacent channel power ratio (ACPR) of better than 25 dBc. The measured constellation diagram of the IQ modulator at 60 GHz is plotted in Fig. 16. The points

Fig. 17. Measured BPSK output spectrum of the sub-harmonic modulator at 60 GHz with 0.2-, 0.5, and 1.0-Gb/s data rates in PRBS.

in the QPSK constellation can be spread out uniformly into a “square.” The measured error vector magnitude (EVM) of the QPSK modulation is within 6%. For wideband applications, the pseudorandom bit stream (PRBS) with 0.2-, 0.5-, and 1.0-Gb/s data rates is fed in to the sub-harmonic modulator. The differential baseband signals are generated from a pattern generator (Anritsu MP1763C), and the voltage swing of the baseband signal is 0.5 V. The measured binary phase-shift keying (BPSK) output spectrum at 60 GHz is plotted in Fig. 17 with good LO suppression. The spectrum is spread out due to the unfiltered baseband signals, resulting in a sinc-like spectrum.

2082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE I COMPARISON OF MODULATOR IN VARIOUS TECHNOLOGIES AND TOPOLOGIES

Fig. 18. Block diagram of the MMW measurement system for the MMW subharmonic demodulator.

Table I is the comparison of the previously reported modulator in various technologies and topologies. Our CMOS modulator formed by the sub-harmonic double-balanced Gilbert-cell mixer demonstrates smallest conversion loss and best 2 LO to RF port isolation with a compact chip size. B. Demodulator Characteristics The common set of specifications for the direct downconversion demodulator are the conversion loss, intermodulation distortion, 2 LO leakage to the input port, and rejection of the LO at the input port. Fig. 18 shows the block diagram of the measurement system for the MMW sub-harmonic demodulator in this study. The MMW continuous wave (CW) signals, provided by signal generator (Agilent E8267C), are fed into our demodulator MMIC. The LO source of the demodulator is provided by another signal generator (Agilent E8247C). The output spectrum can be measured by using a spectrum analyzer (Agilent E4448A). An oscilloscope is used to observe the I , I , Q , and Q time-domain waveforms. At an RF of 60 GHz and baseband of 10 MHz, the conversion loss is measured as a function of LO power. The optimal LO drive is 8 dBm. Fig. 19 plots the simulated and measured conversion loss versus RF frequency corresponding to I , I , Q , and Q ports from 15 to 70 GHz. As can be observed, the simulation and measurement results have a good agreement. The measured conversion loss

Fig. 19. Simulated and measured conversion loss versus the RF frequency from 15 to 70 GHz for the sub-harmonic demodulator.

is 7.5 dB (to 50- load) with the gain flatness of 1.5 dB and the amplitude imbalance is within 1.5 dB from 35 to 65 GHz. The downconverted I , I , Q , and Q time-domain waveforms are shown in Fig. 20, where the RF unmodulated carrier signal is 60 GHz and the downconverted baseband signal is 10 MHz. Measured isolation between RF to LO port, LO to RF port, and 2 LO to RF port are shown in Fig. 21. The LO to RF port and 2 LO to RF port isolation are better than 45 and 50 dB, respectively, from 35 to 65 GHz. The measured input 1-dB compression point of the mixer is 0 and 5 dBm at an RF of 40 and 60 GHz, respectively. To investigate the intermodulation properties of the mixer, two-tone intermodulation measurements with the frequency offset of 500 kHz are shown in Fig. 22. The typical input third-order intermodulation product (IIP3) is 9 dBm for an RF two-tone of 44.0005 GHz and 43.9995 GHz with an LO input of 21.995 GHz. The typical input second-order intermodulation product (IIP2) is 34 dBm. Fig. 23 is the measured conversion loss versus the baseband frequency from 1 MHz to 3 GHz with an LO frequency of 30 GHz

TSAI AND HUANG: 35–65-GHz CMOS BROADBAND MODULATOR AND DEMODULATOR WITH SUB-HARMONIC PUMPING

Fig. 20. Measured baseband time-domain quadrature signals at 10 MHz for the sub-harmonic demodulator.

2083

Fig. 23. Measured conversion loss versus the baseband frequency of the subharmonic demodulator.

3

Fig. 21. Measured isolation between RF to LO port, LO to RF port, and 2 LO to RF port.

Fig. 24. Measured eye diagram of the 0.5-Gb/s and 1-Gb/s PRBS for the subharmonic demodulator.

Fig. 22. Measured IIP3 and IIP2 for the sub-harmonic demodulator (IF = RF 2 LO, RF = 44 GHz 500 kHz, LO = 21:995 GHz).

0 3

6

for the demodulator. The demodulator features a demodulation bandwidth above 1 GHz. The measured double-sideband noise figure of the demodulator is 14.5 dB at an IF of 100 MHz and

RF of 40 GHz. The total dc power consumption of the sub-harmonic demodulator is 90.8 mW. To verify the high data rates’ digital demodulation quality, the sub-harmonic demodulator is evaluated with 1-Gb/s data rates in a PRBS. The block diagram of the gigabit measurement system for an MMW sub-harmonic demodulator is also plotted in Fig. 18. The baseband signals are generated from a pattern generator (Anritsu MP1763C) with a data rate of 1-Gb/s. The baseband signals are upconvert to the MMW frequency band (in this study, 44 GHz), by a custom design MMW upconverter. The 44-GHz gigabit modulation signal is fed into the sub-harmonic demodulator for a demodulation quality test. The measured eye diagram of the 0.5-Gb/s and 1-Gb/s PRBS demodulation signal are plotted in Fig. 24, while the 50- input of the oscilloscope

2084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

is used as the load. To be observed, the clear eye opening is achieved for the satisfactory recovery of the baseband signal.

V. CONCLUSION The first demonstration of the broadband sub-harmonic CMOS modulator and demodulator using 0.13- m standard MS/RF CMOS technology for MMW wireless gigabit applications has been presented in this paper. The key component, i.e., the four-way quadrature divider of the sub-harmonically pumped mixer, has been embedded to generate the quadrature-phases LO signals in the MMW frequency. For broadband applications, a broadband matching design formula is provided in this paper to extend the operational frequency range from 35 to 65 GHz. To improve the conversion loss at high frequency, high-impedance compensation is incorporated between the transconductance stage and LO switching quad of the mixer to compensate the parasitic capacitance. A significant improvement can be achieved for the flatness of conversion loss over frequencies after adding the compensation line. The modulator exhibits 6 1.5 dB measured conversion loss from 35 to 65 GHz with good sideband and 2 LO suppression. The demodulator exhibits 7.5 1.5 dB measured conversion loss with amplitude imbalance within 1.5 dB from 35 to 65 GHz. Furthermore, for wireless gigabit applications, a digital modulation signal test is performed for the sub-harmonic modulator and demodulator. The experimental results show that the modulator and demodulator feature broadband and gigabit direct-conversion capabilities.

ACKNOWLEDGMENT The chips were fabricated by the TSMC Semiconductors Corporation, Hsinchu City, Taiwan, R.O.C., through the Chip Implementation Center (CIC), Hsinchu City, Taiwan, R.O.C. The authors would like to thank H.-Y. Chang, National Central University, Jhongli City, Taiwan, R.O.C., and P.-S. Wu, National Taiwan University, Taipei, Taiwan, R.O.C., for discussions.

REFERENCES [1] J. Mikkonen, C. Corrado, C. Evci, and M. Progler, “Emerging wireless broadband networks,” IEEE Commun. Mag., vol. 36, no. 2, pp. 112–117, Feb. 1998. [2] H. Ogawa, “Millimeter-wave wireless personal area network systems,” in Proc. Radio Frequency Integrated Circuits Symp., Jun. 2006, pp. 11–13. [3] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, K. Ikeda, and N. Takahashi, “1.25 Gb/s wireless gigabit Ethernet link at 60 GHz-band,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 373–376. [4] S. T. Choi, K. S. Yang, S. Nishi, S. Shimizu, K. Tokuda, and Y. H. Kim, “A 60-GHz point-to-multipoint millimeter-wave fiber-radio communication system,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1953–1960, May 2006. [5] C.-M. Lo, C.-S. Lin, and H. Wang, “A miniature V -band 3-stage cascode LNA in 0.13 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 1254–1263. [6] B. Razavi, RF and Microelectronics. Upper Saddle River, NJ: Prentice-Hall, 1998.

[7] I. Telliez, A.-M. Couturier, C. Rumelhard, C. Versnaeyen, P. Champion, and D. Fayol, “A compact, monolithic microwave demodulator-modulator for 64-QAM digital radio links,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 1947–1954, Dec. 1991. [8] D. S. McPherson and S. Lucyszyn, “Vector modulator for W -band software radar techniques,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1451–1461, Aug. 2001. [9] H.-Y. Chang, T.-W. Huang, H. Wang, Y.-C. Wang, P.-C. Chao, and C.-H. Chen, “Broad-band HBT BPSK and IQ modulator MMICs and millimeter-wave vector signal characterization,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 908–919, Mar. 2004. [10] H.-Y. Chang, P.-S. Wu, T.-W. Huang, H. Wang, C.-L. Chang, and J. G. J. Chern, “Design and analysis of CMOS broadband compact highlinearity modulators for gigabit microwave/millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 20–30, Jan. 2006. [11] G. J. Carchon, D. M. M.-P. Schreurs, W. D. Raedt, P. V. Loock, and B. K. J. C. Nauwelaers, “A direct Ku-band linear subharmonically pumped BPSK and I/Q vector modulator in multilayer thin-film MCM-D,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1374–1382, Aug. 2001. [12] S. Sarkar, D. A. Yeh, S. Pinel, and J. Laskar, “60-GHz direct-conversion gigabit modulator/demodulator on liquid-crystal polymer,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1245–1252, Mar. 2006. [13] L. Sheng, J. C. Jensen, and L. E. Larson, “A wide-bandwidth Si/SiGe HBT direct conversion sub-harmonic mixer/downconverter,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1329–1337, Sep. 2000. [14] M. Goldfarb, E. Balboni, and J. Cavey, “Even harmonic double-balanced active mixer for use in direct conversion receivers,” IEEE J. Solid State Circuits, vol. 38, no. 10, pp. 1762–1766, Oct. 2003. [15] K.-J. Koh, M.-Y. Park, C.-S. Kim, and H.-K. Yu, “Subharmonically pumped CMOS frequency conversion (up and own) circuits for 2-GHz WCDMA direct-conversion transceiver,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 871–884, Jun. 2004. [16] B. M. Motlagh, S. E. Gunnarsson, M. Ferndahl, and H. Zirath, “Fully integrated 60-GHz single-ended resistive mixer in 90-nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 25–27, Jan. 2006. [17] C.-S. Lin, P.-S. Wu, H.-Y. Chang, and H. Wang, “A 9–50-GHz Gilbertcell down-conversion mixer in 0.13-m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 293–295, May 2006. [18] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [19] “Sonnet User’s Manual, Release 9.0,” Sonnet Software Inc., North Syracuse, NY, May 2003. [20] L. A. MacEachern and T. Manku, “A charge-injection method for Gilbert cell biasing,” in Proc. IEEE Can. Electric Comput. Eng. Conf., May 1998, vol. 1, pp. 365–368. [21] A. Bevilacqua and A. M. Niknejad, “An ultrawideband CMOS lownoise amplifier for 3.1–10.6-GHz wireless receiver,” IEEE J. SolidState Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [22] W. Chen, Theory and Design of Broadband Matching Networks. Oxford, U.K.: Pergamon, 1976. [23] R. Svitek, D. Johnson, and S. Raman, “An active SiGe sub-harmonic direct-conversion receiver front-end design for 5–6 GHz band applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 505–508. [24] P.-S. Wu, C.-H. Wang, T.-W. Huang, and H. Wang, “Compact and broadband millimeter-wave monolithic transformer balanced mixer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3106–3114, Oct. 2005.

Jeng-Han Tsai (S’04) was born in Tainan, Taiwan, R.O.C., on December 20, 1980. He received the B.S. degree in electric engineering from National Central University, Chung-Li, Taiwan, R.O.C., in 2002, and Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., in 2007. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include microwave and MMW circuit designs.

TSAI AND HUANG: 35–65-GHz CMOS BROADBAND MODULATOR AND DEMODULATOR WITH SUB-HARMONIC PUMPING

Tian-Wei Huang (S’91–M’98–SM’02) received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1987, and the M.S. and Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1990 and 1993, respectively. In 1993, he joined the TRW RF Product Center, Redondo Beach, CA. From 1998 to 1999, he was with Lucent Technologies, where he was involved with the local multipoint distribution system (LMDS) fixed wireless systems. From 1999 to 2002, he was

2085

involved with RF/wireless system testing with Cisco Systems. In August 2002, he joined the faculty of the Department of Electrical Engineering, National Taiwan University. His current research interests are MMIC/RFIC design, packaging, and RF system-on-chip (SOC) integration. His research has focused on the design and testing of monolithic microwave integrated circuits (MMICs) and RF integrated circuits (RFICs).

2086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology Jacob W. Kooi, Attila Kovács, Matthew. C. Sumner, Goutam Chattopadhyay, Senior Member, IEEE, Riley Ceria, Dave Miller, Bruce Bumble, Henry G. LeDuc, Jeffrey A. Stern, and Tom G. Phillips

Abstract—We report on a 275–425-GHz tunerless waveguide receiver with a 3.5–8-GHz IF. As the mixing element, we employ a high-current-density Nb–AlN–Nb superconducting–insulating– superconducting (SIS) tunnel junction. Thanks to the combined use of AlN-barrier SIS technology and a broad bandwidth waveguide to thin-film microstrip transition, we are able to achieve an unprecedented 43% instantaneous bandwidth, limited by the receiver’s corrugated feedhorn. The measured double-sideband (DSB) receiver noise temperature, uncorrected for optics loss, ranges from 55 K at 275 GHz, 48 K at 345 GHz, to 72 K at 425 GHz. In this frequency range, 1 dB. The intrinsic the mixer has a DSB conversion loss of 2.3 mixer noise is found to vary between 17–19 K, of which 9 K is attributed to shot noise associated with leakage current below the gap. To improve reliability, the IF circuit and bias injection are entirely planar by design. The instrument was successfully installed at the Caltech Submillimeter Observatory (CSO), Mauna Kea, HI, in October 2006. Index Terms—Allan variance, AlN tunnel barrier, broadband waveguide transition, dc break, heterodyne receiver, high current density, IF match, multiple Andreev reflection (MAR), planar bandpass filter, shot noise, superconducting-insulator-superconducting (SIS) mixer, system stability.

I. INTRODUCTION

A

LL THE pre-existing superconducting-insulator-superconducting (SIS) waveguide receivers at the Caltech Submillimeter Observatory (CSO), Mauna Kea, HI, use waveguide tuners to achieve sensitivities a few times the quantum noise limit. Each of these receivers has played a pioneering role in the submillimeter field. However, modern astronomy is demanding more capability in terms of sensitivity, bandwidth, stability, frequency agility, and ease of use. To facilitate these requirements, technological advances of the past decade have enabled receiver designers to construct tunerless receivers with expanded IF bandwidths at sensitivities a few times the

Manuscript received April 9, 2007; revised July 11, 2007. This work was supported in part by the National Science Foundation under Grant AST-0540882. J. W. Kooi, M. C. Sumner, R. Ceria, D. Miller, and T. G. Phillips are with the Submillimeter Astronomy and Instrumentation Group, California Institute of Technology, Pasadena, CA 91125 USA (e-mail: [email protected]; [email protected]; [email protected]; tgp@submm. caltech.edu). A. Kovács is with the Max Planck Institute für Radio Astronomy, 53121 Bonn, Germany (e-mail: [email protected]). G. Chattopadhyay, B. Bumble, H. G. LeDuc, and J. A. Stern are with the Jet Propulsion Laboratory, Pasadena, CA 91109 USA (e-mail: goutam@submm. caltech.edu; [email protected]; [email protected]; Jeffrey.Stern@ jpl.nasa.gov). Digital Object Identifier 10.1109/TMTT.2007.905503

quantum noise limit. Although different in detail and configuration, advanced receiver designs now feature prominently in, for example, the Heterodyne Instrument for the Far-Infrared (HIFI) on the Herschel satellite,1 ALMA,2 the Plateau de Bure interferometer (IRAM),3 the Atacama pathfinder experiment (APEX),4 TELIS,5 and the Harvard–Smithsonian Submillimeter Array (SMA).6 To upgrade the heterodyne facility instrumentation at the CSO, four tunerless balanced-input waveguide receivers have been designed to cover the 180–720-GHz frequency range [1], [2]. These receivers will allow dual-frequency (two-color) observations in the 230/460- and 345/660-GHz atmospheric windows. The IF bandwidth of the CSO receivers will increase from the current 1 to 4 GHz, though in principle, 12 GHz is possible. For spectroscopic studies of distant galaxies, a complementary two-channel 275–425-GHz balanced continuouscomparison (correlation) receiver [3] is also under construction. Balanced configurations were chosen for their inherent local oscillator (LO) amplitude noise cancellation properties, facilitating the use of synthesizer-driven LO chains. Unique to the CSO, broad RF bandwidth is favored, allowing the same science to be done with fewer instruments. To maximize the RF bandwidth, we explore the use of high current-density AlN-barrier SIS technology in combination with a broad bandwidth full-height waveguide to thin-film microstrip transition. Additional advantages of AlN tunnel barriers, compared to AlO -barriers, are enhanced chemical robustness and a low product (increased RF bandwidth). Even if optimal RF product provides a bandwidth is not a requirement, a low more homogeneous frequency response and increased tolerance to errors in device fabrication. To validate the many technologies used in the design process, we have constructed a technology demonstration receiver (“Trex”) to cover the important 275–425-GHz atmospheric windows. The design principles laid out in this paper are directly applicable to the entire set of new CSO receivers. The receiver offers a 43% RF bandwidth, nearly 50% wider than the ALMA bands 7 275–373-GHz specification [4], and limited to a large extent by the corrugated feedhorn characteristics. 1[Online].

Available: http://www.sron.nl/divisions/lea/hifi/ Available: http://www.alma.info/ 3[Online]. Available: http://iram.fr/ 4[Online]. Available: http://www.apex-telescope.org/ 2[Online].

5[Online]. 6[Online].

Available: http://www.sron.nl/ Available: http://sma-www.harvard.edu/

0018-9480/$25.00 © 2007 IEEE

KOOI et al.: 275–425-GHz TUNERLESS WAVEGUIDE RECEIVER BASED ON AlN-BARRIER SIS TECHNOLOGY

2087

II. INSTRUMENT DESIGN A. Broad Bandwidth Waveguide to Microstrip Transition Traditionally the majority of SIS and hot electron bolometer (HEB) waveguide mixers employ planar probes that extend all the way across the waveguide [5]–[9]. An important reason for the popularity of this design is the convenience with which the active device can be biased and the IF signal extracted. Unfortunately, the “double-sided” (balanced) probe exhibits a rather poor RF bandwidth ( 15%), when constructed in a full-height waveguide. When the height of the waveguide is reduced by 50%, the probe’s fractional bandwidth improves dramatically to a maximum of approximately 33%. These results can be understood in that the popular double-sided probe is essentially a planar variation of the well known Eisenhart and Khan waveguide probe [10]. Borrowing from Withington and Yassin’s assessment [11], the real part of the probe’s input impedance is influenced in a complex way by the parallel sum of individual nonpropagating modal impedances, and as such, is frequency dependent. By lowering the height of the waveguide, the effect of nonpropagating modes may be reduced [12]–[15]. An alternative approach is to use an asymmetric probe that does not extend all the way across the waveguide. For this type of probe, the modal impedances add in series. The real part of the input impedance depends only on the single propagating mode and is relatively frequency independent. These probes are typically implemented in full-height waveguide, which minimizes conduction loss and reduces the complexity of fabrication. A rectangular version of the “one-sided” probe has been used quite extensively by microwave engineers [16], [17], was introduced to the submillimeter community by Kerr and Pan [18] in 1990, and is currently part of the baseline design for ALMA band 3 and 6 [19], [20]. The radial probe described here represents an attempt to extend the use of radial modes to the waveguide coupling problem [21]. From a practical point of view, the radial probe can be quite naturally made to feed a thin-film microstrip or coplanar wave (CPW) line that has a small linewidth and thin insulator [22], [23]. If very broadband operation is desired, a further improvement in the probe’s performance can be achieved by adding a simple capacitive waveguide tuning step in front of the probe (Fig. 1). Typically, a 15% reduction in waveguide height is adequate to tune out most of the probe’s residual reactance. The length of the step is on the order of the waveguide height dimension. Since some of the reactance in the probe is tuned out by the step, the distance between the substrate and backshort must be increased by 1.5%–2.0% . This increase in distance represents an added advantage to using a waveguide step, as it reduces machining difficulty. Fig. 2 depicts the radial probe input return loss and impedance locus. To simplify the way the IF signal is extracted, it is possible to go across the waveguide with an inductive quarter-wavelength meandering transmission line [24]–[26]. In this case, care must be taken not to excite modes that result in high- resonances in the probe’s passband. Through extensive simulations [29], we find that going across the waveguide in this manner reduces 7%, which is symmetrically the transition bandwidth by likely to be acceptable for many applications. To match the very

Fig. 1. Isometric view of the waveguide transition. The 90 radial probe couples very efficiently to the fundamental TE waveguide mode. It is important to have the probe situated on a suspended dielectric, as this maximizes the width of the substrate and makes room for the radial fan. Widening of the substrate channel around the first section of the RF choke serves to reduce the imaginary component of the probe impedance. To help reduce the probe’s input return loss and increase the RF bandwidth even further, the addition of a small capacitive waveguide tuning step in front of the radial probe is found useful. Dimensions are provided in Table I. TABLE I PARAMETERS USED FOR THE 270–430-GHz DESIGN

Fig. 2. Input return loss of the fixed tuned full-height waveguide transition. The fractional bandwidth of the 350-GHz full-height waveguide radial probe is 45%, 95% of a standard TE fundamental waveguide band. The impedance locus of the probe is 47 + i3 .



large instantaneous RF bandwidth afforded by an AlN-barrier twin-junction SIS matching circuit, we have opted in our design for the 100% asymmetric radial probe.

2088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 3. 350-GHz junction layout and simulated LO pumping parameter . The radial probe antenna is visible on the left side. The IF signal is taken out via a microstrip RF choke (on 300-nm SiO,  = 5:6) that connects to a high-impedance CPW transmission line (inductive) and integrated shunt capacitor (C ). This L–C mechanism provides a  tuning network with the combined capacitance of the probe, twin-junction RF tuning structure, and microstrip RF matching network (C ). The passband is optimized to cover 1–13 GHz. To minimize gain compression, the integrated shunt capacitor also serves to terminate out-of-band broadband noise. The IF impedance presented to the twin SIS junctions is 14 , 2:7 R .



Fig. 4. 350-GHz mixer chip mounted in the IF channel with the radial probe extruding into the waveguide. Note the capacitive waveguide step directly in front of the probe. Wire bonds are used to provide the ground reference. TABLE II DEVICE PARAMETERS

B. New Set of SIS Junctions Circuit design of the high current-density niobium SIS junctions (four frequency bands) was done at the California Institute of Technology, Pasadena, with fabrication at the Jet Propulsion Laboratory (JPL). The new SIS tunnel junctions all share the same 50- m-thick quartz wafer. The design employs twin SIS junctions with AlN barriers and a current density of 25 kA cm . In our design, we have used Supermix [27], [28], a flexible software library for high-frequency harmonic-balanced mixer and superconducting circuit simulation, in combination with extensive 2-D and 3-D electromagnetic (EM)-field analysis of the RF and IF embedding circuitry [29], [30]. Based on extensive simulation, the twin-junction RF matching network was found to exhibit a slightly larger RF bandwidth then the more common single-junction RF matching network [31], [32]. product is 164 GHz, The AlN-barrier SIS junction similar to the bandwidth afforded by the thin-film waveguide transition, and high enough to avoid the Body–Fano bandwidth limitation. As part of the AlN-barrier characterization process at the JPL, the specific junction capacitance is estimated at ), 80 fF m . To minimize saturation ( while maintaining reasonably sized junction areas, we decided on a 5.4- twin junction normal state resistance. The calculated ) between 0- and 300-K loads is bias voltage variation ( 80 V rms, assuming a 160-GHz RF noise bandwidth. From the curvature of the measured total-power response, we estimate . the saturation is realized on-chip Matching to an IF impedance of 20 (Fig. 3). The choice of this impedance is dictated by the limited available real estate, and the need to minimize gain compression [33]. To transform the 20- mixer-chip IF output impedance to a 50- load, an external matching network/bias tee is employed (Section II-E). The mixer design has been optimized for minimum noise temperature and optimal conversion gain from 275 to 425 GHz, while simultaneously regulating the RF and 8 dB. The latter is important, as reIF input return loss to flections from the RF or IF port can lead to mixer instability

(Section III-D). In Fig. 4, we show a photograph of the mixer chip positioned in the waveguide. Short parallel wire bonds provide the ground contact. The tunnel junction under discussion is from batch B030926. product of 7.6 m , a junction area It has a measured ratio of 13.8 at 2.0-mV bias, and a of 1 0.7 m , 5.32- normal-state resistance. At the CSO, on top of Mauna Kea, HI, a lower LHe bath temperature (3.67 K) results in a ). For subgap leakage current reduction of 10% ( the two successful batches produced by the JPL, the specifications are shown in Table II. C. Nb/Aln –Al/Nb Junction Fabrication Devices are fabricated on -cut crystalline-quartz wafers 250- m thick, 76 mm in diameter, and polished on both sides. Magnetron sputter deposition and room-temperature nitride growth is done in-situ. For this we use a load-locked ultrahigh vacuum system with a typical base pressure of 2 10 Pa. The trilayer is deposited by a liftoff process employing a multilayer photolithographic technique using PMMA under AZ5214 photoresist. Optical lithography is accomplished by means of a GCA model 6300 i-line (365 nm) wafer stepper/aligner tool. The resulting undercut structure allows for clean liftoff of the sputtered films. This step forms the ground-plane structure with layers of 180-nm Nb base, 6-nm Al, AlN , and 80-nm Nb counter electrode. AlN barrier formation is done with a pure N low-pressure plasma. The substrate is held at ground potential and an opposing electrode is driven by a 13.5-MHz source to create the N plasma. A rectangular junction mesa,

KOOI et al.: 275–425-GHz TUNERLESS WAVEGUIDE RECEIVER BASED ON AlN-BARRIER SIS TECHNOLOGY

the smallest being 1.0 m 0.5 m in area, is defined by direct-write electron-beam lithography in a 100-nm-thick PMMA stencil. Chromium is deposited through the PMMA stencil and serves as an etch mask over 500 nm of polyamide. Contact regions of the trilayer are then protected by adding a photoresist photoresist/polyamide stencil. The combined chromium structure is etched using an oxygen reactive ion etch (RIE). The polyamide remaining defines an isolation window and junction mesa for subsequent Nb RIE. To achieve Nb etch directionality, CF O . we utilize a gas mixture of 62% CCl F Electrical isolation of the base electrode from the microstrip wire layer is provided by thermal evaporation of 300 nm of SiO. Samples are rotated at a slight tilt angle during SiO deposition to assure good isolation and self-aligned liftoff with the polyamide. Liftoff is then accomplished by dissolving the polyamide in a resist stripper containing NMP. The waveguide probe and wire layer is formed by a blanket deposition of 400-nm Nb. RIE etching with an OiR620 photoresist stencil defines this pattern. Gold contacts are patterned by liftoff of films done by evaporation. As a final step, the substrates are diced into near cm size chips and diagnostic sites are tested. The thick chips were lapped by Ron Kehl Engineering,7 down to 50- m thickness, with the individual mixer elements diced out at the JPL using a Disco saw and diamond/Ni blade. D. Multiple Andreev Reflection (MAR) It is found, not surprisingly, that high current-density ( ) AlN-barrier tunnel junctions exhibit a larger leakage current than commonly used lower AlO tunnel junctions ( ratios of 10–14 versus 20–35). To investigate the contribution of the higher subgap leakage to the intrinsic mixer noise, we apply a technique described by Dieleman et al. [34]. In that analysis, the noise spectral density below the energy gap is modeled by summing the thermalized single-electron tunnel current ) with a charged quantum transport current ( ) that re( sults from MARs through pinholes in the barrier. We find that (1) with by defining

mV for our AlN junctions. Rearranging (1) with ) gives (2)

The noise contribution of the junction to the IF output is then given by (3) where (4) 7Ron

Kehl Engineering, San Jose, CA.

2089

Fig. 5. Measured mixer output noise compared to single-electron and MARenhanced shot noise. A 10% MAR current accounts for all of the excess subgap noise, indicative that nearly all of the shot noise is due to single-electron transport in the barrier. The total intrinsic shot MAR noise in the junction at 2-mV bias is 8.9 K, 45% of the derived mixer noise (Section III-B).



+

is the IF gain, is the IF bandwidth, is the differential resistance obtained from the measured unpumped curve, and is the IF impedance. To properly account for all the subgap mixer output noise, we need a 10% MAR current (Fig. 5). When compared to an idealized junction with , we conclude that 90% of the enhanced subgap noise is due to single-electron tunneling. From this the net increase in mixer noise is estimated to be 8.9 K. In Section III-B, we calculate the IF noise contribution by biasing the junction above the gap. In this case, the current will may then be be entirely due to single-electron tunneling. found in the traditional way (5)

E. Planar 4–8-GHz IF Matching Network, DC Break, Bias Tee, and Electromagnetic Interference (EMI) Filter In a practical mixer configuration, the active device is terminated into a desired IF load impedance, the bias lines filtered and injected via a bias tee, and the output dc decoupled. The dc block is usually accomplished with a small surface-mount capacitor contacted in series by either a soldered contact or wire bond. As a consequence, parasitic resonances at the upper end of the IF band are easily excited. Moreover, since the dc-blocking capacitor passes the mixer IF output current, a failure would be catastrophic. Indeed, component failure can occur in may ways, the most obvious perhaps due to mechanical stress from repeated cryogenic thermal cycles. As an alternative, we investigate the use of parallel-coupled suspended microstrip lines [35]–[37]. An important advantage of this planar approach is that it affords accurate modeling with 3-D EM field-simulation software [29]. As shown in Fig. 6, the suspended coupled microstrip lines act as a compact bandpass filter. For this filter to work, the ground plane directly underneath the filter needs to be removed. The IF board is optically positioned on top of a machined cutout (resonant cavity) by a set of alignment holes. The advantages of this technique are simplicity of design (only one lithography

2090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. IF configuration with a 3–9-GHz passband response. The combined IF match, dc-break, bias tee, and EMI filter are planar by design. Design parameters are provided in Table III. TABLE III COUPLING PARAMETERS OF FIG. 6 Fig. 7. Simulated and measured coupling efficiency into a 50- load (see also Fig. 6). Inset: simulated complex impedance towards the SIS chip (20 ) and IF output (50 ).

H

W W

L

denotes the substrate height, the width of the coupled lines, their length, the spacing, the cavity depth, the air height above the the cavity length, and the cavity width. Center frequency is substrate, 6 GHz.

S

L

H

H

step) and improved reliability. The disadvantage at lower IF frequencies is size, . To secure the alumina board8 to the Au plated brass mixer block, we use an indium alloy paste.9 Repeated thermal cycles have proven successful, an indication that the thermal expansion of the dissimilar materials does not pose a significant problem. Details of the blocking filter are summarized in Table III. The set the coupling. Tolerance spacing , and cavity depth values should be held to 5%. Performance curves for IF coupling efficiency and impedance are shown in Fig. 7. In principle, the twin-junction SIS design affords a 1–13-GHz IF passband response. However, due to availability of low noise cryogenic components, we have chosen a design to match the 4–8-GHz low-noise InP amplifier from Chalmers University, Gotëborg, Sweden (Section II-F) and the 4–8-GHz cryogenic isolator.10 F. 4–8-GHz Low-Noise Cryogenic Amplifier In collaboration with Chalmers University and the National Aeronautics and Space Administration (NASA)’s JPL, we have acquired extremely low noise (2.2 K) 4–8-GHz indium phosphide (InP) cryogenic HEMT amplifiers [38], [39]. The dc power consumption is 10 mW, allowing the amplifier to be mounted on the LHe stage, and the input return loss 18 dB. The amplifier gain is 25 dB (two stages). To add additional gain at the 4-K stage, we use a cryogenic GaAs monolithic microwave 8American

Technical Ceramics, Huntington Station, NY. Paste, #290, Indium Corporation of America, Singapore. [Online]. Available: www.indium.com 9Indalloy

10Pamtech,

Camarillo, CA.

Fig. 8. Mixer, off-axis elliptical mirror, 4–8-GHz isolator, and LNA are all situated on the LHe stage. The IR Laboratory’s Dewar is mounted upside down in the Cassegrain focus of CSO.

integrated circuit (MMIC) from Prof. Weinreb’s group at the California Institute of Technology [40] as a second low-noise amplifier (LNA). This amplifier offers excellent performance, with a gain of 25 dB and a noise temperature of 5 K. G. Cooled Optics The receiver noise temperature is critically dependent on optical loss in front of the mixer. This can be understood from (6) is the double-sideband (DSB) mixer gain, the the optics noise front-end optics transmission coefficient, temperature, is the IF noise temperature, and the intrinsic mixer noise. We have minimized the optics noise by careful selection of the infrared (IR) blocking filters and vacuum window, and by using a cooled off-axis elliptical mirror. Fig. 8 depicts some of the receiver hardware mounted on the cryostat

KOOI et al.: 275–425-GHz TUNERLESS WAVEGUIDE RECEIVER BASED ON AlN-BARRIER SIS TECHNOLOGY

2091

TABLE IV MEASURED AND CALCULATED RECEIVER PARAMETERS. REFER TO (6) FOR INCLUDES 9 K OF MAR-ENHANCED SHOT NOISE DETAILS. T

LHe stage. For optimal RF bandwidth and performance, we use a corrugated feedhorn11 with 43% fractional bandwidth. The design is based on numerical simulations of a 180–280-GHz feedhorn with 64 sections by Lamb [41]. Calculated input return loss of the horn is better than 18 dB, the cross-polar component less than 32 dB, and the phase front error of 0.1. At 345 GHz, the horn has a beam divergence. The optics is designed to provide a 11.8-dB frequency-independent illumination of the telescope’s secondary mirror [42]. To check the level of cross-polarization and off-axis aberration of the elliptical mirror (M6) design, a physical optics calculation12 was done by Jellema and Finn [43]. In our design, the second ) focus of the elliptical mirror is positioned at the 77-K ( stage of the cryostat. This allows the use of a 32-mm-diameter pressure window (7 ). The IR blocking filters on the 4- and 77-K stages are made of one layer (100 m) G104 and one layer (200 m) G108 Zitex (30%–60% porous Teflon13), separated 40 m vacuum via a precision-cut circular high-density by polyethylene (HDPE) spacer. This design has a better than 99% transmission from 280 to 420 GHz, while photon scattering leads to a loss of 98.5% per sheet in the thermal IR [44]. The vacuum window is made of 715- m HDPE that is antireflection coated with one layer (150 m) G106 Zitex on one side, and one layer (200 m) G108 on the other. Calculated transmission is better than 98% across the 280–420-GHz frequency band. As a “glue” to make the porous Teflon stick to the HDPE, we used 50- m-thick low-density polyethylene (LDPE).14 This material has a melting point just below that of HDPE, and under pressure on a hot plate, the “sandwich” of Zitex–LDPE–HDPE–LDPE–Zitex fuses together. Fourier transform spectrometer (FTS) transmission measurements confirm the excellent transmission properties of these windows. To inject the LO signal,15 we use a 25- m quasi-optical Mylar beamsplitter with a calculated reflection of 4.9% at 345 GHz in Table IV). Standing waves between the telescope sec( ondary mirror and cryostat are minimized by tilting the vacuum window and IR blocks at 5 angles. 11Custom

Microwave Inc., Longmont, CO. Engineering, Copenhagen, Denmark. [Online]. Available: http:// www.ticra.com 13Saint-Gobain Performance Plastics Inc., Wayne, NJ. 14Carlisle Plastics Company Inc., New Carlisle, OH. 15Virginia Diodes Inc., Charlottesville, VA. 12TICRA

Fig. 9. FTS measurement superimposed with the mixer conversion gain and “Supermix” simulation (no optics/waveguide). The RF bandwidth is limited, 20 GHz on either side, by the frequency response of the corrugated feedhorn. The waveguide cutoff frequency is 250 GHz.

III. RECEIVER PERFORMANCE A. FTS Measurements To investigate the coupling to the twin SIS junction RF matching network, we have measured the direct-detection response of the mixer with an FTS. The result, overlaid with the derived mixer conversion gain and Supermix simulation, is shown in Fig. 9. A few points are noteworthy. First, the response bandwidth is limited by the corrugated feedhorn ( 43% fractional bandwidth), more or less 20 GHz symmetrically on either side. Second, the direct-detection response is centered on 350 GHz. This argues for the accuracy of the computer simulations and quality of the device fabrication. B. Heterodyne Results and Discussion In Fig. 10, we show the measured heterodyne response and associated LO pumped and unpumped curves at 280, 345, and 424 GHz. Optimal mixer bias occurs between 2.1–2.2 mV for all frequencies (see also Fig. 11). Optimal LO pump current is 80–85 A, which is 55–60 A over the dark current. From at 345 GHz, this we calculate that corresponding to an available LO power at the two junctions of 160 nW. The magnetic field required to suppress the Josephson current to a first minima (one flux quantum) results in an unexpectedly good receiver noise temperature at frequencies below 370 GHz. This effect is understood to be due to ac-Josephson oscillations mixing with the third harmonic of the LO signal. By softening the gap with a stronger magnetic field (second null), the harmonic conversion efficiency is reduced, alleviating the problem. The generation of significant amounts of harmonic content may be characteristic of high current-density AlN-barrier SIS junctions. Operating the mixer at the second Josephson 1.5 dB, and null reduces the mixer conversion gain by has a minimal impact on the receiver noise temperature. The input loads ( , ) are defined using the Callen and Welton formalism [46], [47], where the vacuum zero-point fluctuation noise is included in the blackbody radiation temperature. At the frequencies of interest, this approaches the Rayleigh–Jeans limit.

2092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 11. (top) Y -factor (sensitivity) as a function of mixer bias and LO pump current. Optimal sensitivity occurs around 2.1 mV and 82 A. (bottom) Conversion gain (linear) versus mixer bias and LO pump current. Maximum conversion gain (IF power) occurs at 1.9-mV bias and 125 A of LO pump current. Contour lines are in steps of 0.1, and the LO pump frequency is 345 GHz. Biased at optimal sensitivity, the mixer gain drops from 0.9 to 0.6 ( 2.3 dB).

0

Fig. 10. Measured heterodyne response at 280, 345, and 424 GHz at the CSO (4200 m). Optimal bias ranges from 2.1 to 2.2 mV with an LO pump current of 57–60 A over the leakage current (25 A). At 345 GHz, this corresponds to eV =h! = 0:64. The combined twin-junction normal-state resistance (R ) equals 5.32 and the resistive subgap-to-R ratio 15.



'

As a general principle, the receiver should not be biased for , which occurs when the IF output power is opmaximum timized. Rather, the mixer should be biased for optimal sensitivity (Fig. 11). This in effect is similar to “noise matching,” as opposed to “power matching” of low noise amplifiers. To characterize the IF noise contribution, Rudner et al. [48], and Woody et al. [5] proposed to use the unpumped junction above the gap voltage as a calibrated shot noise source [see (5)]. Studies by Dubash et al. [49], [50] quantitatively verified that the noise current of an unpumped SIS junction above the gap is, in fact, the shot noise associated with the direct current. Using this technique, the IF noise contribution and mixer conversion gain were computed as explained by Wengler and Woody [51].

To understand the optics loss in front of the mixer, we employ a technique, commonly known as the “intersecting-line technique,” described by Blundell et al. [45] and Ke and Feldman [52]. We find between 280–424 GHz a front-end equivalent noise temperature ( ) of 19–30 K. This includes thermal noise injected via the room-temperature 25- m Mylar beamsplitter. The uncorrected optical efficiency ( ), referred to 290 K, is found to range from 0.29 dB at 280 GHz to 0.46 dB at ), the optical 424 GHz. Correcting for the beamsplitter loss ( efficiency of the pressure window, IR blocks, and cooled optics is, therefore, 0.14 dB ( 96.7%), which is consistent with the optics design outlined in Section II-G. It should be noted that the “intersecting-line technique” is likely to include some small correction factors because the mixer is not perfectly matched and/or operating in true DSB mode [52]. From fits to our data, the magnitude of this factor is found experimentally . to be The measured receiver noise temperature at 345 GHz is 48 K DSB. From the shot noise calculations, we obtain at 345 GHz an overall mixer conversion gain of 2.3 dB, and mixer noise temperature of 19.8 K. Of this, 9 K is due to leakage current in the AlN tunnel barrier. The obtained IF noise temperature is 3.8 K and the IF noise contribution to the receiver budget is 7.0 K. These values are in good agreement with simulation. A detailed breakdown of the noise budget is provided in Table IV. In Fig. 12, we show the heterodyne response from 275 to 425 GHz, as measured in the laboratory and at the observatory on top of Mauna Kea, HI. For the balanced heterodyne receivers currently under development, the DSB receiver response is expected to improve in sensitivity by 9–20 K in the 275–424-GHz

KOOI et al.: 275–425-GHz TUNERLESS WAVEGUIDE RECEIVER BASED ON AlN-BARRIER SIS TECHNOLOGY

Fig. 12. Measured receiver and mixer noise temperature in the laboratory and at the telescope. The dotted line represents the simulated result. All noise temperatures are uncorrected for optical loss. The atmospheric window for 1 mm includes, due to leakage of precipitable water is shown for reference. T current below the gap, 9 K of MAR-enhanced shot noise. In the calculations, the radiometric hot and cold blackbody temperatures are assumed to be in the Rayleigh–Jeans limit.

2093

Fig. 14. Measured total-power (continuum) Allan variance on a room-temperature blackbody as a function of integration time with the instrument mounted on the telescope. From the Allan variance analysis, we derive a drift slope of 0.66 and a noise-fluctuation bandwidth (B ) of 4.6 GHz. At 1 s, a factor of 1.5 is lost in the total-power integration efficiency. For reference, this corresponds to approximately 100 s in a typical 2-MHz spectrometer channel.

IF bond wire. Since the on-chip IF matching circuit is highly tuned, a slight mistuning in one or more of its components results in a double-peaked passband response. Computer simulations show a mixer IF passband peak at 4 GHz, and a 3-dB dip at 8 GHz. Fortunately this issue is easily solved with the addition of extra ground contacts in the vicinity of the integrated capacitor (Fig. 3) and a reduction of the IF bond wire length. Due to this effect, the 4–8-GHz receiver noise temperature is slightly weighted toward the 3.5–6-GHz range (Table IV). D. Stability

Fig. 13. Receiver noise temperature across the IF band. The rise at 7 GHz is attributed to a slight mistuning of the junction’s double-tuned IF response. Computer simulations suggest that this can be corrected with additional wire bonds to ground at the integrated capacitor, and reduction in the IF contact wire-bond length (currently 1 mm). The equivalent noise bandwidth of the mixer 5 GHz. is



frequency range due to a reduction in thermal noise and LO amplitude noise. C. IF Response The 345-GHz IF response of the mixer is shown in Fig. 13. The data was obtained in a 30-MHz resolution bandwidth by scanning a YIG filter16 from 3.5 to 8 GHz at 15-MHz intervals. At each frequency, the hot and cold response was measured using an automated chopper wheel. This technique allows efficient integration of the noise in a time frame less than the Allan variance stability time of the instrument ( 9 s in a 30-MHz IF channel bandwidth). Between 7–8 GHz, we see the noise rise from 50 to 70 K DSB. Extensive EM field simulations [29], [30] compared to measured IF output data, point to a problem in the assumed “infinite” CPW ground plane and 1-mm-long 16Micro

Lambda Wireless Inc., Fremont, CA.

In general, receiver instabilities lead to a loss in integration efficiency and poor baseline quality [53]. Throughout the design process, attention has been given to the multiplicity of factors that degrade the stability of the instrument. These include improved SIS and LNA bias electronics, voltage-divider networks in the SIS mixer and cryogenic LNAs, enhanced thermal design of the room-temperature IF amplifiers, careful elimination of all ground loops, and the use of twisted-pair wires in the cryostat to minimize microphonic pickup. The resulting Allan variance stability plot is shown in Fig. 14. It has been found [54], [55] that fluctuations with a power spectrum show up in the Allan variance plot as with defined as the integration time. If we let , the shape of the Allan variance is found to follow (7) , where , , and are constants. The first term, with represents radiometric (white) noise. In a log–log plot, it has a slope of 1 (Fig. 14). This type of frequency-independent (uncorrelated) noise integrates down with the square root of time according to the well-known radiometer equation [56] (8) Here, is the measured detector IF output signal in the time domain, and is the equivalent IF noise-fluctuation bandwidth

2094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

our optics design, fits to beam measurements on the telescope’s secondary mirror give a Gaussian illumination with 11.5-dB edge taper. From this and knowledge of the primary surface roughness (20 m), we estimate a main-beam efficiency of 75%. The weather conditions were poor during our engi) neering run with a 225-GHz zenith atmospheric opacity ( . At 345.796 GHz, this translates into an air-mass corrected on-source opacity ( ) of 0.92 for Orion and 1.02 for W3 [57]. ) are consisThe measured SSB system temperatures ( tent with those obtained from theory (10)

!

Fig. 15. Test spectra taken at the CSO in October 2006 of the hot core around 2. Due to time constraints and poor Orion IRC2 and W3 in CO J = 3 weather, pointing was nonoptimal. Line identifications based on Schilke et al. [59] and Helmich and van Dishoeck [60].

of the system. The last term in (7) represents drift noise. In between these two limits, a certain amount of gain fluctuation or noise power spectral distribution may flicker noise with a exist ( ). The intercept between radiometric and drift noise is the Allan minimum time of the system ( ). From our measured data, we calculate a total-power Allan minimum time of 2.5 s and a noise-fluctuation bandwidth of 4.6 GHz, consistent with the IF passband shown in Fig. 13. If the stability were to be limited by drift noise alone, the Allan variance time would scale with IF bandwidth as (9) is the measured Allan variance time in a noise-fluctuation is the expected Allan stability time in bandwidth , and bandwidth . Note that for optimal observing efficiency, integrations time should be kept well below the Allan minimum stability time of the system. In our case, a 50% loss in integras( GHz). Using tion efficiency is incurred at (9), this corresponds to approximately 100 s in a 2-MHz spectrometer channel noise bandwidth. These results are measured with the instrument mounted on the telescope and are a factor 6–8 times better than the existing facility heterodyne receivers. For comparison, the ALMA [58] specified goal for total-power ) at 1 s is 10 . The results presented here gain stability ( ) of equate to a normalized total-power gain stability ( 1.5 10 . E. Observations In October 2006, we observed the CO (345.796 GHz) transition in the hot core regions around Orion IRC2 and W3 at the CSO (Fig. 15). The single-sideband (SSB) system temperature, air mass, and integration times were 1380 K and 1.31 and 8.4 min for the Orion observation, and 1430 K and 1.45 and 10.1 min for the W3 observation. Consistent with

, the hot spillover efficiency, is 90% and was obtained from sky-dip measurements in July 2006. The physical temperature ) is estimated to be 275 K. Given a 50-K of the sky ( DSB receiver noise temperature (Fig. 12), we obtain a theoretof 1260 K for Orion-KL and 1450 K for W3. This inical cludes a respective SSB atmospheric noise contribution of 825 and 970 K. In the event an SSB receiver with 10-dB sideband had been used rejection ratio (ALMA) and for the observations, the SSB system temperatures are estimated to have been 820 and 940 K. Though much improved over the single-ended DSB receiver (a factor of 2.4 in integration time), the system temperature would still be limited by the sky. IV. CONCLUSION We have discussed the design, development, and installation of a 275–425-GHz tunerless heterodyne receiver. By combining AlN-barrier high-current-density (25 kA cm ) SIS technology with a full-height waveguide to thin-film microstrip transition, we are able to achieve an unprecedented 43% instantaneous bandwidth, limited primarily by the mixer corrugated feedhorn. From 275 to 425 GHz, we measure a receiver noise temperature of 40–72 K DSB. In this frequency range, the mixer gain is relatively constant at 2.3 1 dB. The optimal mixer bias is found between 2.1–2.2 mV, with an LO pumped SIS current of 55–60 A over the 25- A leakage current at all frequencies. These parameters allow for easy automation of the instrument. The high current-density AlN-barrier devices are found to have a somewhat higher leakage current then is commonly observed in lower current-density AlO tunnel junctions. We find that below the gap, 90% of the leakage current induced shot noise is via single-electron tunneling, with only 10% due to MARs. The total MAR enhanced shot noise is 8.9 K. This accounts for 45% of the obtained 19.8-K intrinsic mixer noise temperature. To optimize integration efficiency and baseline quality, a significant effort has been expended to achieve maximum instrument stability. The measured total-power stability on the telescope is 2.5 s in 4.6 GHz, or approximately 100 s in a 2-MHz noise-fluctuation bandwidth. This is a factor 6–8 times better than the existing facility heterodyne instrumentation. ACKNOWLEDGMENT The authors wish to thank J. Lamb, OVRO Millimeter Group, California Institute of Technology, Pasadena, for help with

KOOI et al.: 275–425-GHz TUNERLESS WAVEGUIDE RECEIVER BASED ON AlN-BARRIER SIS TECHNOLOGY

modeling the corrugated feedhorn, W. Jellema, Netherlands Institute for Space Research SRON, Groningen, The Netherlands, and T. Finn, National University of Ireland, Maynooth, Ireland, for their physical optics calculations on M6, R. Chamberlin, Caltech Submillimeter Observatory (CSO), Hilo, HI, for his help in setting up the PLL, for CSO manpower allocation, and for test spectra, J. Groseth, California Institute of Technology, for laying out the needed bias electronics PCBs, D. Warden, California Institute of Technology, and P. Nelson, CSO, for their diligence in assembling the many bias boxes and cryostat, A. Guyer, CSO, and E. Bufil, CSO, for help with the mounting hardware, N. Wadefalk and P. Starsky, both with the Chalmers University of Technology, Göteborg, Sweden, for their help and advice with the IF microwave electronics and for supplying the 2.2 K LNAs, S. Weinreb, Jet Propulsion Laboratory and California Institute of Technology, for making available the cryogenic low noise MMICs, T. Vayonakis, California Institute of Technology, for his help with AR coating of the infrared and pressure windows, F. Rice, California Institute of Technology, for support of Supermix, P. Dieleman, Netherlands Institute for Space Research SRON, for his advice on modeling MAR in our AlN-barrier SIS junctions, and J. Zmuidzinas, California Institute of Technology, for general advise and insight. REFERENCES [1] J. W. Kooi, A. Kovács, S. Kaye, J. Dama, J. Zmuidzinas, and T. G. Phillips, “Heterodyne instrumentation upgrade at the Caltech Submillimeter Observatory,” Proc. SPIE, vol. 4855, pp. 265–278, Feb. 2003. [2] J. W. Kooi, A. Kovács, B. Bumble, G. Chattopadhyay, M. L. Edgar, S. Kaye, R. LeDuc, J. Zmuidzinas, and T. G. Phillips, “Heterodyne instrumentation upgrade at the Caltech Submillimeter Observatory II,” Proc. SPIE, vol. 5498, pp. 332–348, Jun. 2004. [3] C. R. Predmore, N. R. Erickson, G. R. Huguenin, and P. Goldsmith, “A continuous comparison radiometer at 97 GHz,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 1, p. 1267, Jan. 1985. [4] D. Maier, A. Barbier, B. Lazareff, and K. F. Schuster, “The ALMA band 7 mixer,” in Proc. 16th Int. Space Terahertz Technol. Symp., Göteborg, Sweden, May 2005, Paper S08-02. [5] D. P. Woody, R. E. Miller, and M. J. Wengler, “85–115 GHz receivers for radio astronomy,” IEEE Trans. Microwave Theory Tech., vol. MTT-33, no. 2, pp. 90–95, Feb. 1985. [6] B. N. Ellison and R. E. Miller, “A low noise 230 GHz SIS receiver,” Int. J. Infrared Millim. Waves, vol. 8, pp. 609–625, Jun. 1987. [7] J. W. Kooi, M. Chan, T. G. Phillips, B. Bumble, and H. G. Leduc, “A low noise 230 GHz heterodyne receiver employing 0.25 m area Nb/AlO /Nb tunnel junctions,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 5, pp. 812–815, May 1992. [8] C. K. Walker, J. W. Kooi, M. Chan, H. G. Leduc, P. L. Schaffer, J. E. Carlstrom, and T. G. Phillips, “A low-noise 492 GHz SIS waveguide receiver,” Int. J. Infrared Millim. Waves, vol. 13, pp. 785–798, Jun. 1992. [9] J. H. Kawamura, T. R. Hunter, C.-Y. E. Tong, R. Blundell, Q. Zhang, C. A. Katz, D. C. Papa, and T. K. Sridharan, “First image with the CfA superconducting HEB receiver: The protostellar outflow from IRAS ),” Pubs. Astronom. Soc. Pacific, vol. 20126 4104 in CO (J 111, pp. 1088–1094, Sep. 1999. [10] R. L. Eisenhart and P. J. Khan, “Theoretical and experimental analysis of a waveguide mounting structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 8, pp. 706–717, Aug. 1971. [11] S. Withington and G. Yassin, “Analytical expression for the input impedance of a microstrip probe in waveguide,” Int. J. Infrared Millim. Waves, vol. 17, pp. 1685–1705, Nov. 1996. [12] C.-Y. E. Tong, R. Blundell, and S. Paine, “Design and characterization of a 250–350-GHz fixed-tuned superconductor–insulator–superconductor receiver,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 9, pp. 1548–1556, Sep. 1996.

+

= 706

2095

[13] Y. Delorme, M. Salez, B. Lecomte, I. Péron, F. Dauplay, A. Féret, J. Spatazza, J. -M. Krieg, and K. F. Schuster, “Space-qualified SIS mixers for Herschel Space Observatory’s HIFI band 1 instrument,” in Proc. 16th Int. Space Terahertz Technol. Symp., Göteborg, Sweden, May 2005, Paper S08-05. [14] C. F. J. Lodewijk, M. Kroug, and T. M. Klapwijk, “Improved design for low noise Nb SIS devices for band 9 of ALMA (600–720 GHz),” in Proc. 16th Int. Space Terahertz Technol. Symp., Göteborg, Sweden, May 2005, Paper S03-05. [15] B. D. Jackson, G. de Lange, T. Zijlstra, M. Kroug, J. W. Kooi, J. A. Stern, and T. M. Klapwijk, “Low-noise 0.8–0.96- and 0.96–1.12 THz superconductor–insulator–superconductor mixers for the Herschel Space Observatory,” IEEE Trans. Microw. Theory Tech., vol. MTT-54, no. 2, pp. 547–558, Feb. 2006. [16] Y.-C. Leong and S. Weinreb, “Full-band waveguide-to-microstrip probe transitions,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 13–19, 1999, pp. 1435–1438. [17] J. H. C. van Heuven, “A new integrated waveguide-microstrip transition,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 3, pp. 144–147, Mar. 1976. [18] A. R. Kerr and S. K. Pan, “Some recent developments in the design of SIS mixers,” Int. J. Infrared Millim. Waves, vol. 11, no. 10, pp. 1169–1187, Nov. 1990. [19] A. R. Kerr, “Elements for E -plane split-block waveguide circuits,” NRAO, Charlottesville, VA. [Online]. Available: http://www.alma. nrao.edu/memos/html-memos/alma381/memo381.pdf [20] S. -K. Pan, A. R. Kerr, M. W. Pospiezalski, E. F. Lauria, W. K. Crady, N. Horner, Jr., S. Srikanth, E. Bryerton, K. Saini, S. M. X. Claude, C. C. Chin, P. Dindo, G. Rodrigues, D. Derdall, J. Z. Zhang, and A. W. Lichtenberger, “A fixed-tuned SIS mixer with ultra-wide-band if and quantum-limited sensitivity for ALMA band 3 (84–116 GHz) receivers,” in Proc. 15th Int. Space Terahertz Technol. Symp., Northampton, MA, Apr. 2004, pp. 62–69. [21] S. Withington, G. Yassin, J. Leech, and K. G. Isaak, “An accurate expression for the input impedance of one-sided microstrip probes in waveguide,” in Proc. 10th Int. Space Terahertz Technol. Symp., Charlottesville, VA, Mar. 1999, pp. 508–518. [22] J. W. Kooi, G. Chattopadhyay, S. Withington, F. Rice, J. Zmuidzinas, C. K. Walker, and G. Yassin, “A full-height waveguide to thin-film microstrip transition with exceptional RF bandwidth and coupling efficiency,” Int J. Infrared Millim. Waves, vol. 24, no. 3, pp. 261–284, Sep. 2003. [23] A. Navarrini, B. Lazareff, D. Billon-Pierron, and I. Peron, “Design and characterization of 225–370 GHz DSB and 250–360 GHz SSB full height waveguide SIS mixers,” in Proc. 13th Int. Space Terahertz Technol. Symp., Cambridge, MA, Mar. 2002, pp. 33–40. [24] C. Risacher, V. Vassilev, A. Pavolotsky, and V. Belitsky, “Waveguide-to-microstrip transition with integrated bias-T,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 262–264, Jul. 2003. [25] C. Risacher, V. Belitsky, V. Vassilev, I. Lapkin, and A. Pavolotsky, “A 275–370 GHz SIS receiver with novel probe structure,” Int. J. Infrared Millim. Waves, vol. 26, no. 6, pp. 867–880, May 2005. [26] F. P. Mena, J. W. Kooi, A. M. Baryshec, D. F. J. Ledewaijk, R. Hesper, and W. Wild, “Construction of a sideband separating heterodyne mixer for band 9 of ALMA,” in Proc. 17th Int. Space Terahertz Technol. Symp., Pasadena, Ca., Mar. 2007, to be published. [27] J. Ward, F. Rice, and J. Zmuidzinas, “Supermix: A Flexible software library for high-frequency circuit simulation, including SIS mixers and superconducting components,” in Proc. 10th Int. Symposium on Space Terahertz Technology, Charlottesville, VA, Mar. 1999, pp. 269–281. [28] F. Rice, M. Sumner, J. Zmuidzinas, R. Hu, H. LeDuc, A. Harris, and D. Miller, “SIS mixer design for a broadband millimeter spectrometer suitable for rapid line surveys and redshift determinations,” in Proc. SPIE, Kona, HI, 2002, vol. 4855, pp. 301–311. [29] HFSS. Ansoft Corporation, Pittsburgh, PA, 2005. [30] SONNET. Sonnet Software, Liverpool, NY, 2005. [31] J. W. Kooi, M. Chan, B. Bumble, H. G. LeDuc, P. L. Schaffer, and T. G. Phillips, “180–425 GHz low noise SIS waveguide receivers employing tuned Nb/AlO /Nb tunnel junctions,” Int. J. Infrared Millim. Waves, vol. 15, no. 5, pp. 783–805, May 2004. [32] D. Maier, S. Devoluy, M. Schicke, and K. F. Schuster, “230 GHz SSB SIS mixer for band 3 of the new generation receivers for the Plateau de Bure interferometer,” in Proc. 16th Int. Space Terahertz Technol. Symp., Göteborg, Sweden, May 2005, Paper S03-02. [33] A. R. Kerr, “Saturation by noise and CW signals in SIS mixers,” in Proc. 13th Int. Space Terahertz Technol. Symp., Cambridge, MA, Mar. 2002, pp. 11–22.

2096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[34] P. Dieleman, H. G. Bukkems, T. M. Klapwijk, M. Schicke, and K. H. Gundlach, “Observation of Andreev reflection enhanced shot noise,” Phys. Rev. Lett., vol. 79, pp. 3486–3489, Nov. 1997. [35] W. Menzel, L. Zhu, K. Wu, and F. Bögelsack, “On the design of novel compact broadband planar filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 364–370, Feb. 2003. [36] G. de Lange, SRON, NL, private communication, 2003. [37] H. Golstein, SRON, NL, private communication, 2003. [38] N. Wadefalk, A. Mellberg, I. Angelov, M. Barsky, S. Bui, E. Choumas, R. Grundbacher, E. Kollberg, R. Lai, N. Rorsman, P. Starski, J. Stenarson, D. Streit, and H. Zirath, “Cryogenic, wide-band, ultra-lownoise IF amplifiers operating at ultra-low DC-power,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1705–1711, Jun. 2003. [39] N. Wadefalk, private communication, California Inst. Technol., Pasadena, CA, 2005. [40] N. Wadefalk and S. Weinreb, “Very low-noise amplifiers for very large arrays,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005. [41] J. Lamb, private communication, California Inst. Technol., Pasadena, CA, 2003. [42] P. F. Goldsmith, Quasioptical Systems. Piscataway, NJ: IEEE Press, 1998. [43] W. Jellema and T. Finn, SRON, NL, private communication, 2005. [44] D. J. Benford, M. C. Gaidis, and J. W. Kooi, “Transmission properties of ZITEX in the infrared to submillimeter,” in Proc. 10th Int. Space Terahertz Technol. . Symp, Mar. 1999, pp. 402–411. [45] R. Blundell, R. E. Miller, and K. H. Gundlach, “Understanding noise in SIS receivers,” Int. J. Infrared Millim. Waves, vol. 13, no. 1, pp. 3–26, Jan. 1992. [46] H. B. Callen and T. A. Welton, “Irreversibility and generalized noise,” Phys. Rev., vol. 83, no. 1, pp. 34–40, Jul. 1951. [47] A. R. Kerr, M. J. Feldman, and S. K. Pan, “Receiver noise temperature, the quantum noise limit, and the role of the zero-point fluctuations,” Electron. Div., NRAO , Charlottesville, VA, Internal Rep. 304, Sep. 1996. [48] S. Rudner, M. J. Feldman, E. Kollberg, and T. Claeson, “Superconducting-insulator-superconducting mixing with arrays at millimeterwave frequencies,” J. Appl. Phys., vol. 52, pp. 6366–6371, 1981. [49] N. B. Dubash, G. Pance, and M. J. Wengler, “Photon noise in the SIS detector,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 716–725, Apr. 1994. [50] N. B. Dubash, M. J. Wengler, and J. Zmuidzinas, “Shot noise and photon-induced correlations in 500 GHz SIS detectors,” IEEE Trans. Appl. Supercond., vol. 5, no. 2, pp. 3308–3311, Jun. 1995. [51] M. J. Wengler and D. P. Woody, “Quantum noise in heterodyne detection,” IEEE J. Quantum Electron., vol. QE-23, no. 5, pp. 613–622, May 1987. [52] Q. Ke and M. J. Feldman, “A technique for noise measurements of SIS receivers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 752–755, Apr. 1994. [53] J. W. Kooi, G. Chattopadhyay, M. Thielman, T. G. Phillips, and R. Schieder, “Noise stability of SIS receivers,” Int J. Infrared Millim. Waves, vol. 21, no. 5, pp. 689–716, May 2000. [54] R. Schieder and C. Kramer, “Optimization of heterodyne observations using Allan variance measurements,” Astron. Astrophys. 373, pp. 746–756, Jul. 2001. [55] V. Ossenkopf, “A unified Allan variance computation scheme,” Physik. Insitut, Univ. Köln, Cologne, Germany, Jul. 2003. [Online]. Available: http://www.ph1.uni-koeln.de/ftpspace/users/ossk/preprints [56] J. D. Kraus, Radio Astronomy. New York: McGraw-Hill, 1966, ch. 3 and 7. [57] J. R. Pardo, J. Cernicharo, and E. Serabyn, “Atmospheric transmission at microwaves (ATM): An improved model for mm/submm applications,” IEEE Trans. Antennas Propag., vol. 49, no. 12, pp. 1683–1694, Dec. 2001. [58] M. A. Holdaway, “effects of atmospheric emission fluctuations and gain fluctuations on continuum total power observations with ALMA,” NRAO, Charlottesville, VA, ALMA Memo 490, Mar. 30, 2004. [59] P. Schilke, T. D. Groesbeck, G. A. Blake, and T. G. Phillips, “A line survey of Orion KL from 325 to 360 GHz,” Astrophys. J., ser. 108, pp. 301–337, Jan. 1997, suppl. [60] F. P. Helmich and E. F. van Dishoeck, “Physical and chemical variations within the W3 star-forming region, II. The 345 GHz spectral line survey,” Astrophys. J., ser. 124, pp. 205–253, Aug. 1997, suppl.

Jacob W. Kooi, photograph and biography not available at time of publication.

Attila Kovács, photograph and biography not available at time of publication.

Matthew. C. Sumner received the A.B. degree in physics and astronomy from Harvard University, Cambridge, MA, in 1996, and is currently working toward the Ph.D. degree in physics from the California Institute of Technology, Pasadena. His doctoral dissertation will make use of the unique capabilities of the new generation of wideband submillimeter receivers to perform unbiased surveys of molecular-line emission from low-mass protostars. He is currently with the California Institute of Technology, where he works on submillimeter astronomy and instrumentation. He has been involved with the installation, testing, and use of two prototype receivers at the Caltech Submillimeter Observatory (CSO), Mauna Kea, HI.

Goutam Chattopadhyay (S’93–M’99–SM’01) received the B.E. degree in electronics and telecommunication engineering from the Bengal Engineering College, Calcutta University, Calcutta, India, in 1987, the M.S. degree in electrical engineering from the University of Virginia, Charlottesville, in 1994, and the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, in 1999. From 1987 to 1992, he was a Design Engineering with the Tata Institute of Fundamental Research (TIFR), Pune, India, where he designed LO systems for the Giant Meterwave Radio Telescope (GMRT) project. In January 1993, he joined the University of Virginia. In September 1994, he joined the California Institute of Technology, Pasadena. He is currently a Senior Member of the engineering staff of the Jet Propulsion Laboratory (JPL), California Institute of Technology, and a Visiting Faculty with the Department of Physics, California Institute of Technology. His research interests include microwave, millimeter- and submillimeter-wave heterodyne and direct detector receivers, frequency sources and mixers at terahertz frequencies, antennas, SIS mixer technology, direct detector bolometer instruments, and high-frequency radars. Dr. Chattopadhyay is member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and Eta Kappa Nu. He was the recipient of the 1987 Best Undergraduate Gold Medal presented by the University of Calcutta, the 1992 Jawaharlal Nehru Fellowship Award presented by the Government of India, the 1997 IEEE MTT-S Graduate Fellowship Award, the Award of Excellence from the Jet Propulsion Laboratory (2001, 2003, 2005), and six NASA invention Awards presented by the National Aeronautics and Space Administration (NASA) (2005–2007).

Riley Ceria, photograph and biography not available at time of publication.

Dave Miller, photograph and biography not available at time of publication.

Bruce Bumble, photograph and biography not available at time of publication.

Henry G. LeDuc, photograph and biography not available at time of publication.

Jeffrey A. Stern received the B.S. degree in physics from the Rensselaer Polytechnic Institute, Troy, NY, in 1983, and the Ph.D. degree in applied physics from the California Institute of Technology, Pasadena, in 1991. He is currently a Senior Member of the Technical Staff with the Jet Propulsion Laboratory (JPL), Pasadena, CA. His research has focused on a number of superconducting sensors, which includes fabricating superconducting heterodyne mixer chips for the 1200-GHz band of the HIFI instrument on the Herschel Space Observatory. He has also supplied superconducting mixers chips for a number of ground-based observatories for frequencies ranging from 200 to 1400 GHz. Most recently, he has focused on fabricating HEB-based mixers using NbN and NbTiN and on high-speed single photon detectors using these same materials.

Tom G. Phillips photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2097

Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves Vladimir S. Vountesmery and Youry V. Vountesmery Abstract—Main characteristics of broadband helicon isolators for the metric and decametric wave ranges are discussed. With the use of equivalent circuits of the isolator, temperature dependencies of the backward attenuation and forward loss are analyzed. The agreement between the experiment and theory supports the validity of the circuit model. Index Terms—Helicon waves, isolators, magnetic microwave devices, nonreciprocal wave propagation, temperature stability.

I. INTRODUCTION ONRECIPROCAL passive devices based on ferrite medium with the tensor parameter of permeability (isolators, circulators, etc.) are widely used in microwave engineering. In the decimeter, centimeter, and millimeter-wave ranges, these devices are realized based on distributed circuits. The low-frequency limit of applicability of this type of ferrite devices is 50–100 MHz, but in this range of frequencies, the devices are realized as circuits with lumped parameters. At lower frequencies, application of nonreciprocal ferrite devices presents difficulties arising from absence of ferrite materials with the necessary properties. In the metric and decametric range of wavelengths, the helicon devices, and isolators in particular, may serve as a substitute for ferrite nonreciprocal devices. The main point is that the helicon devices use the gyroelectric medium instead of the gyromagnetic one.

N

Fig. 1. Plane-parallel semiconductor plate placed into a strong magnetic field, also known as “helicon resonator.”

II. PHYSICAL BACKGROUND The helicon isolators use the nonreciprocal effect of propagation of a circularly polarized helicon wave in the solid-state plasma [1]–[7]. The recently discovered helicon waves [1] represent a variety of electrokinetic waves emerging in the solidstate plasma in the presence of external static magnetic field. Semiconductors with high mobility of charge carriers, such as -type indium antimonide ( –InSb), can be used in helicon isolators. The propagation constants for right- and left-handed polarized helicon waves are defined by [5]

Fig. 2. Nonreciprocal transformer structure. (1) Magnetic core. (2) Constant magnets. (3) Helicon resonator with two excitation inductance coils.

and

(2) (1) where Manuscript received January 9, 2007; revised June 12, 2007. V. S. Vountesmery is with the Department of Radioengineering, National Technical University of Ukraine “Kiev Polytechnic Institute,” 03056 Kiev, Ukraine (e-mail: [email protected]). Y. V. Vountesmery is with the Department of Physical and Biomedical Electronics, National Technical University of Ukraine “Kiev Polytechnic Institute,” 03056 Kiev, Ukraine (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.905489 0018-9480/$25.00 © 2007 IEEE

circular frequency; magnetic constant; material conductivity; concentration of electrons;

2098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 3. Calculated frequency responses of tensor components of effective permeability of a helicon resonator. (a) Concentration of donor dopant is 10 (b) Concentration of donor dopant is 10 m .

,

mass and charge of electrons correspondingly; relaxation time; electron mobility; magnetization factor of the semiconductor plasma.

When the external magnetic fields are absent ( ), the propagation constants describe damped electromagnetic waves in a semiconductor under ordinary skin-effect conditions, but in the presence of a static external magnetic field, in the semiconductors with high mobility of charge carriers, the helicon waves are propagating with weak attenuation and have very low phase velocity. In indium antimonide, for example, the phase velocity of helicon waves is 10 000 times less than the light speed. This permits us to obtain the half-wave resonance of a helicon wave in a plane-parallel plate approximately 1-mm thick in the metric and decametric range of wavelengths. Such a plate, with its diameter at least 3–5 times larger than its thickness, represents a “helicon

m

.

resonator” (Fig. 1). The circularly polarized helicon waves are excited in the resonator by orthogonal inductance coils (Fig. 2). All dimensions of the resonator in our case are less than the wavelength in the free space. This makes it possible to regard the helicon resonator with excitation coils as a system with lumped parameters. Therefore, we can pass to integral characteristics of interaction of the inductance coils with the helicon resonator. Since the interaction occurs in the magnetic fields, it is desirable to illustrate the interaction between magnetic fields of the excitation coils and the average field of the standing wave in the resonator through the tensor parameter of effective relative permeability, the latter being expressed in terms of the tensor of effective magnetic susceptibility (3) where, for the case shown in Fig. 1, (4)

VOUNTESMERY AND VOUNTESMERY: TEMPERATURE CHARACTERISTICS OF BROADBAND HELICON ISOLATORS

2099

(5)

(6) and is the half-thickness of the helicon resonator. Since the tensor has complex conjugate off-diagonal elements, the inductance coils are related to each other by the magnetic field of the circularly polarized helicon wave. Therefore, the helicon resonator with the inductance coils shown in Fig. 2 represents a unified nonreciprocal passive device—the nonreciprocal transformer with nonreciprocal phase shift equal to radian ( in the direct and reverse directions). The rate of coupling between the inductance coils and the resonator depends on the filling of coils’ cross section, factor of the resonator, and the number of turns in the inductance coils.

III. PROBLEM OF TEMPERATURE STABILITY To make the isolators suitable for practical applications, their characteristics, such as forward loss and backward attenuation, must be stable within the working range of temperatures. The integral temperature characteristics of the isolator depend primarily on temperature stability of the resonator material and isolator circuitry. A. Optimization of the Material The temperature characteristics of equivalent parameters of the helicon resonator depend on relevant temperature characteristics of the semiconductor material used for fabrication of the resonator. Two parameters of the material are of importance—the concentration of charge carriers and their mobility. Based on the above, the most appropriate semiconductor at the current time is the -type indium antimonide doped with tellurium ( –InSb). The temperature characteristics of equivalent parameters of helicon resonators, made of –InSb with various concentrations of donor dopant, were studied in [8]. As expected, the higher the donor concentration, the better the temperature stability. However, at a fixed induction of the external magnetic field, an increase in concentration of donor impurity results in diminishing the electrons’ mobility and, hence, in the resonator quality factor. All this will cause the isolator forward loss to increase. The temperature characteristics of the helicon resonators’ parameters were considered for a narrowband isolator [3]. In Fig. 3, we can see the frequency responses [calculated by (4)] of the real and imaginary parts of the relative effective permeability of a helicon resonator. The plotted curves cover a temperature range from 50 C to 70 C for donor impurity concentrations 10 m [see Fig. 3(a)] and 10 m [see Fig. 3(b)]. The analysis of the characteristics shows that, for the normal operation of the isolator in a range of temperatures from 50 C to 50 C, the optimal concentration of the donor dopant may vary from 10 to 10 m .

Fig. 4. Nonreciprocal filters with a helicon isolator. (a) Nonreciprocal low-pass filter. (b) Nonreciprocal high-pass filter. (c) Nonreciprocal band-rejection filter. (d) Nonreciprocal bandpass filter.

Fig. 5. Equivalent circuit of the nonreciprocal transformer.

B. Helicon Isolator Circuitry With various interconnection circuits of the nonreciprocal transformer with other circuit elements, we can implement our isolators as nonreciprocal filters of four well-known types (Fig. 4).

2100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. Temperature and frequency characteristics of the backward attenuation for some schematic realizations of helicon isolator. Concentrations of the donor dopant are 2.1 1 10 M (left) and 4.3 1 10 M (right). (a) Nonreciprocal low-pass filter [see Fig. 4(a)]. (b) Nonreciprocal high-pass filter [see Fig. 4(b)]. (c) Nonreciprocal bandpass filter [see Fig. 4(d)].

In [1]–[3], isolators were designed based on a nonreciprocal low-pass filter circuit [see Fig. 4(a)]. In [5], isolators were implemented based on the nonreciprocal high-pass filter circuit [see Fig. 4(b)]. In their principle of operation, these isolators may be classified as phase isolators: the signals at their inputs represent a superposition of signals having the same or opposite phases. Due to this, the band of working frequencies for these isolators is narrow enough, and at a level of backward attenuation 15 dB 10%. never exceeds 7 The isolators of this type are characterized with a strong temperature dependence on their parameters. Stability of temperature characteristics of helicon isolators has been improved in recently designed wideband proto-

types comprising a circuit of nonreciprocal bandpass filter [see Fig. 4(c)] and of nonreciprocal band-rejection filter [see Fig. 4(d)] [7]. A more detailed inquiry in the helicon isolator temperature characteristics was carried out based on its schematic model. The model has to adequately map the wave processes when the helicon waves are propagating over the helicon resonator, and to correspond closely to schematic models of external circuits with lumped parameters. IV. MODELING OF THE HELICON ISOLATOR We have developed a model of the broadband helicon isolator. We considered the parasitic parameters of the circuit, temperature characteristics of the helicon resonator material, dimen-

VOUNTESMERY AND VOUNTESMERY: TEMPERATURE CHARACTERISTICS OF BROADBAND HELICON ISOLATORS

2101

sional effects, the impact of minority carriers, and some other issues [7]. The main element of the model represented is the nonreciprocal transformer shown in Fig. 2 and characterized by the matrix of inductances with nonequal off-diagonal elements. The inductance matrix for the nonreciprocal transformer is calculated according to the formula

(7) where and are inductances of single-turn coils whose physical dimensions are dictated by the helicon resonator size, and denote the number of turns of the inductance while coils. The matrix of -parameters can be written as follows:

Fig. 7. Simulated frequency responses of the forward loss and backward attenuation of the helicon isolator [see Fig. 4(c)] at several working temperatures. Experimental results are denoted as dots.

(8) As can be seen, the off-diagonal elements of the resistance matrix differ in their sign. Now we can pass to the conductivity matrix. It is used as a matrix representation of the nodal model, as is customary in the common circuit theory. We can supplement this model with any parasitic or additional circuit elements inherent in various implementations of the isolator. After normalization of the -matrix, we can pass to the scattering matrix of the isolator and use it for the microwave circuitry applications. The equivalent circuit of the nonreciprocal transformer, with an allowance for finite conductivity of the coils and their spurious capacitance, is shown in Fig. 5. Based on the chosen model, we have calculated the main characteristics of the isolator (direct loss and reverse attenuation) in the prescribed range of temperatures and frequencies. In our calculations, we considered the frequency responses and temperature characteristics of the helicon resonator material, the helicon resonator geometry, physical parameters and dimensions of the inductance coils, characteristics of insulation between the coils, parameters of the transformer filling, electrical parameters of the circuit components, etc. V. SIMULATION AND EXPERIMENTS Fig. 6 illustrates the results of computer simulation of the isolators’ temperature characteristics. The isolators taken for simulation were built in accordance to Fig. 4(a), (b), and (d). The calculated backward attenuation in decibels as a function of frequency and operation temperature is presented here in the form of contour-tonal maps in three coordinates. The concentration of the donor dopant for the left-hand images is 2.1 10 m , and 4.3 10 m for the right-hand ones (the electron concentrations and their mobility were determined according to [9]). As can be seen, the isolator characteristics within the outlined range of temperatures are unstable even for high concentrations of the donor impurity.

Fig. 8. Calculated and measured temperature dependencies of the operation band plotted from Fig. 7 at backward attenuation levels 15 and 20 dB. Experimental results are denoted as dots.

The temperature stability of the isolator corresponding to Fig. 4(c) is high due to a wider bandwidth of the operation frequencies. Fig. 7 shows the results of simulation of the backward attenuation and forward loss for the wideband helicon isolator built as a nonreciprocal band-rejection filter [see Fig. 4(c)]. The characteristics were calculated at the temperatures 50 C, 20 C, and 50 C for the resonator made from a semiconductor with the concentration of donor dopant 4.3 10 m . As we can see, has a weak dependence on temperature. Due the direct loss to this, the temperature range is chosen based on the condition within the prescribed of the required backward attenuation frequency range. There is an optimal value of coupling between the inductance coils and the helicon resonator such that the backward attenuation at the middle frequency is maximum. Under the isolator’s setting conditions, this value roughly corresponds to the middle of the temperature range with a slight shift to its upper end. Fig. 8 illustrates the band of working frequencies at the 15and 20-dB levels in a range of temperatures from 50 C to

2102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

100 C. The experimental results are denoted as dots. Comparison with the experiment prove the adequacy of the selected model. As can be seen from this figure, the isolator keeps its performance characteristics within a range of temperatures from 50 C to 50 C. For temperatures below 50 C, and even at the liquid-nitrogen temperature, the isolator characteristics remain unchanged. Heating to temperatures beyond 50 C leads to a considerable narrowing of the device bandwidth. VI. CONCLUSION The inquiries in temperature characteristics of helicon resonators and isolators on their basis show that in order to ensure thermal stability of parameters of narrowband helicon isolators, we have to select a semiconductor material with a high concentration of the donor dopant. However, the loss in the forward direction increases. For broadband helicon isolators, we may choose a material with a lower concentration of the donor dopant, making it possible to obtain appropriate technical characteristics. The narrowband isolators can be applied in transmitters designed for the metric and decametric range waves, particularly for decoupling between the transmitters and antenna, when several transmitters are working with the same antenna, and for reducing the intermodulation distortion arising from closely spaced transmitters. The broadband isolators, similar to those shown in Fig. 4(c), have a somewhat larger direct loss, and can be used to diminish the radiation of heterodynes in receivers and in the measuring or test benches—for decoupling between the generator and deviceunder-test. REFERENCES [1] J. Gremillet, “Propagation des ondes metriques et decametriques dans les semi-conducteurs et presence d’une induction magnetique continue. Effect ‘Helicon’,” Ann. Radioelect., no. 76, pp. 122–150, 1964, no. 77, pp. 232–256, 1964. [2] V. Joshi, “Ein halbeleiter-isolator für den ZF-Bereich,” Nachrichtentech. Z, vol. 11, pp. 645–649, 1967.

[3] R. B. Tolutis, “About properties of semiconductor HF-isolators on effect of a dimensional resonance of electromagnetic magneto-plasma waves,” Radiotech. Electron., vol. 23, no. 3, pp. 608–613, 1978. [4] A. J. DiNardo, Y. Klinger, F. R. Arams, and K. Siegel, “Passive nonreciprocal HF helicon devices,” IEEE Trans. Electromagn. Compat., vol. EMC-10, no. 2, pp. 270–272, Jun. 1968. [5] G. P. Krasilich, “Semiconductor isolator for meter waves,” Izv. Vuzov—Radioelectron., vol. 19, no. 3, pp. 122–123, 1976. [6] V. S. Vountesmery, “Isolator,” U.S.S.R. Patent 1626280 BI 5, Feb. 7, 1991. [7] V. S. Vountesmery and Y. V. Vountesmery, “Model of helicon isolator for meter and decameter wave ranges,” in Proc. XIV Int. Microw., Radar, Wireless Commun. Conf., Gdansk, Poland, May 20–22, 2002, vol. 2, pp. 451–454. [8] L. V. Laurinavichus, R. B. Mazhejka, and R. B. Tolutis, “Temperature characteristics of helicon isolators based on doped indium antimonide,” Izv. Vuzov—Radioelectron., vol. 24, no. 7, pp. 86–88, 1981. [9] Y. V. Vountesmery, “Temperature responses of equivalent parameters of helicon resonators,” Electron. I Svjaz, no. 5, pp. 80–81, 1998. [10] V. S. Vountesmery and Y. V. Vountesmery, “Temperature characteristics of broadband helicon isolators,” in Proc. XVI Int. Microw., Radar, Wireless Commun. Conf., Krakow, Poland, May 22–26, 2006, vol. 2, pp. 648–650.

Vladimir S. Vountesmery was born in Tomakovka, Ukraine. He received the Eng. degree and Ph.D. degree in radioengineering from the Kiev Polytechnic Institute, Kiev, Ukraine, in 1964 and 1966, respectively. He is currently an Associate Professor with the Department of Radioengineering, National Technical University of Ukraine “Kiev Polytechnic Institute.” His research has included the electrodynamics of anisotropic materials and microwave designing.

Youry V. Vountesmery was born in Kiev, Ukraine, in 1974. He received the M.Sc. degree in physical electronics from the Kiev Polytechnic Institute, Kiev, Ukraine in 1997. He is currently an Assistant Professor with the Department of Physical and Biomedical Electronics, National Technical University of Ukraine “Kiev Polytechnic Institute.” His research has included the computer simulation of electronic processes in microwave devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2103

Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain Michal Wiktor and Michal Mrozowski, Senior Member, IEEE

Abstract—A systematic approach to the construction of hybrid time- and frequency-domain algorithms derived from finite-difference operators is presented. The idea originates from projection formalism in a finite-dimensional vector space. We show that various algorithms can be obtained by an appropriate transformation of finite-difference operators. In the developed formalism, a transformation can be applied to the entire or a part of the computational domain, which can be easily employed to construct hybrid algorithms that combine, for instance, multiresolution techniques with eigenfunction expansion or finite differences. The stability of the developed time-domain hybrid schemes is shown. Numerical examples are given, illustrating different issues related to presented algorithms. Index Terms—Finite differences, hybrid algorithms, multiresolution analysis.

variables is carried out using Yee’s mesh, which leads to the finite-difference time-domain (FDTD) [6], [7] or finite-difference frequency-domain (FDFD) algorithms [8]. One of the recent trends in computational electromagnetics is to create hybrid methods that combine the MoM with the finite-difference method to achieve greater computational efficiency. Examples include the finite differences combined with the eigenfunction expansion [9], [10] or multiresolution analysis [11]. A common factor in these techniques is that the MoM approach is used in some parts of the computational domain, while Yee’s mesh and discrete finite-difference operators are used in other parts. The objective of this paper is to develop a framework in which such hybrid algorithms can be developed in a systematic way. To achieve this, it is necessary to present the projection perspective for operators defined in infinite and finite dimensional spaces.

I. INTRODUCTION A. Projection in Infinite and Finite Dimensional Space ROJECTION methods are a cornerstone of computational electromagnetics. The most common projection technique is the method of moments (MoM) [1]. In this method, projection converts an infinite dimensional operator problem to a matrix problem. Different choices of the underlying operator and a set of basis and testing functions have led to a wide range of techniques with different numerical properties. The choice of operator and basis and testing functions affects symmetry, sparsity, and spectral properties of the matrix, and hence, also the computational efficiency of the method. While the MoM has historically been used for frequency-domain formulations, it has recently also been applied for time-domain analysis. Examples include the partial eigenfunction expansion [2], selected formulations of the time-domain finite-element method [3], or variants of the multiresolution time-domain (MRTD) technique [4], [5]. Another powerful approach to the numerical solution of electromagnetic problems involves discretized differential operators. The discretization is achieved by replacing the derivatives with finite-difference formulas. Often the discretization of space

P

Manuscript received June 14, 2007; revised July 13, 2007. This work was supported by the Polish Ministry of Science and Higher Education under Grant KBN T11F03730. The authors are with the Faculty of Electronics Telecommunications and Informatics, Gdansk University of Technology, 80-952 Gdansk, Poland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906538

For an infinite dimensional space, let us consider a classical problem with a linear operator defined in a Hilbert space (1) with being the unknown function and denoting an excitation. The approximate solution is sought in a form of a series of , , basis functions (2) with being the expansion coefficients. Their values are found by requesting a residual (3) to be orthogonal to the space spanned by testing functions . This condition yields a set of equations

,

(4) where elements of matrix products

0018-9480/$25.00 © 2007 IEEE

and vector

are given by scalar

(5a) (5b)

2104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Various choices of basis and testing functions lead to different techniques. In the context of computational electromagnetics algorithms considered in this paper, of particular importance will be the functions of the form (6) (7) where is called a “mother” function and is an integer shift. Such functions applied to the first-order differential operator allow one to define finite differences [4], [12] or various types of multiresolution schemes [5]. An analogous procedure to that presented for operator can be applied in the finite dimensional case, where the initial operator is a matrix. In that case, basis and testing functions become vectors. Let us consider a numerical solution of a system [13] (8) where and . If matrix is large, direct solution methods cannot be applied and projection techniques have to be used. The most efficient techniques developed over recent years find an approximate solution in a form of a linear vectors that span the so-called combination of Krylov space [13]. An approximate solution is sought in the form (9) where is a matrix collecting vectors and vector of coefficients. This yields a system

is the (10)

Since , the above system is overdetermined and the solution in nonunique. In order to determine the coefficients collected in vector , it is required that the residual vector (11) be orthogonal to the space spanned by formally written as

vectors. This can be (12)

where matrix and (5b), i.e.,

and vector

are defined analogously to (5a) (13a) (13b)

The above equations can be rewritten in a compact matrix notation as (14a) (14b) Columns of matrices and collect vectors and , respectively. Since , the projected system is much smaller than the original one and can be solved using direct methods. A similar technique can be applied to eigenvalue

problems [14] giving rise to iterative methods such as Lanczos, Arnoldi, or Jacobi–Davidson. Projection techniques have also been applied to solve a large systems of state-space equations [15], leading to the model order reduction algorithms that have recently been applied in computational electrodynamics both for frequency- and time-domain formulations [16]–[20]. In one variant of the model order reduction approach [17], [19], all discrete Maxwell equations are projected and the transfer function is constructed by inverting the projected system. The projection vectors are found using the Lanczos, bi-Lanczos, or Arnoldi process. To reduce the numerical cost involved, the Krylov space is spanned by vectors generated from a noninverted system matrix. To achieve a good approximation of the transfer function in the low-frequency region, many iterations are required with each iteration generation of one projection vector. For practical reasons, the projection vectors cannot be stored so, although the process yields the transfer function, the fields inside the structure cannot be found [18]. Another approach is to use the Krylov-space-based process to inverted finite-difference operators defined for a fragment of the structure—this approach is known as macromodeling [16], [18]. Here, the projection matrices are smaller and can be stored so the fields inside the structure can be recovered. On the other hand, macromodels have a form of dense matrices. This adversely affects the computational efficiency of the approach. The basis vectors used to form the projection spaces can be generated using a different iterative process (e.g., Lanczos and Arnoldi), but the goal remains the same, i.e., to reduce the size of the matrix operator before applying a direct solver. Although there is a striking similarity in the classical MoM used in computational electromagnetics and the projection techniques used in numerical algebra or model order reduction schemes, the bases for projection are constructed in both cases in an entirely different way. In the Krylov space methods, they are generated in a numerical process involving the system matrix, while in the MoM approach, used for inverting infinite dimensional operators, the basis functions are known beforehand. The main idea presented in this paper is to project the matrix derived from the finite-difference discretization of Maxwell’s equations on an extremely fine Yee’s mesh using the projection matrices constructed from the vectors consisting of discretized functions used in the classical MoM. While the projection is carried out in the same way as is done in the Krylov space methods [14] or model order reduction [16]–[19], [21], the projection matrices are not generated iteratively, but known a priori. By a suitable selection of basis and testing functions for different regions in computational space, one can easily develop a hybrid algorithm, which has the following features: • reduced size of the projected problem; • reduced norm of the projected matrix, which results in better convergence of iterative solvers and allows one to use a longer time step in time-domain formulation; • sparsity of a projected matrix; • accuracy comparable to the very fine mesh solution. Additionally, for orthogonal projection matrices, the stability of the resulting hybrid time-domain scheme is guaranteed. Finally, unlike in Krylov-space-based methods, the vectors for projection matrices are derived from known functions.

WIKTOR AND MROZOWSKI: PROJECTION FRAMEWORK FOR HYBRID METHODS DERIVED FROM FINITE-DIFFERENCE OPERATORS

Consequently, one does not have to store projection matrices—something that would be completely impractical in 3-D for a very fine mesh. Each projection vector can be generated whenever it is needed, be it for defining entries of the projected matrix or for finding the field distribution inside the structure.

2105

way between them in points that form the so-called dual mesh. Equation (19) allows one to define matrix operator

(20) II. 1-D FORMULATION OF DISCRETE PROJECTION In Section I, a general formulation of projection in a Hilbert space has been outlined for finite and infinite dimensional spaces. Although these two cases are very close in context of abstract algebra, their implementation differs radically. Here, we shall explore them in a context of projection finite-difference operators. We start from the analysis of a simple example, namely, calculating resonant frequencies in a 1-D resonator. Assuming homogeneity of the medium Maxwell’s equations for this problem can be written as

Depending on the mesh arrangement, the size of matrix (20) can slightly differ. In this example, its size equal to is assumed. The argument of is a vector containing field samples taken at primary mesh nodes. The transpose of (20) represents the derivative for the dual mesh [8]. Thus, the second-order discrete operator, representing the second derivative in (17), can , which is known as the Chebyshev matrix. be found as Consequently, (17) can be rewritten using finite-difference approximation as (21)

(15a) (15b) with the boundary conditions . Symbols and are normalized electric and magnetic fields, respectively, and represents the speed of light in vacuum. The normalization of the field quantities is introduced for convenience in further considered formulations, and the normalized and physical fields and are related as follows: (16a)

. The eigenvectors where the th element of is equal to are samples of harmonic functions (18) taken at grid of nodes. This means that discrete projection (14) (22) with samples of (18) as basis and testing functions, i.e., (23) leads to a diagonal matrix with elements given by [22]1

(16b)

(24)

In order to examine the relation between (5a) and (14) in the context of finite-difference operators, consider the second-order wave equation derived from (15) for harmonic field variation

They converge toward eigenvalues of (17) as the mesh resolution increases.

(17) The simplest projection (5a) of the second derivative operator utilizes its eigenfunctions as both basis and testing functions (18) Such a trivial projection leads to diagonal matrix with the (squared and normalized) resonant frequencies on diagonal. The corresponding problem defined in a discrete space employs finite-difference approximation of (15). It is done by substituting the spatial derivative with the following approximation: (19) To calculate (19), the function must be known at discrete points called primary grid nodes. The result is defined half

A. Relation Between Inner Product in Finite and Infinite Dimensional Space The correspondence between a discrete and continuous projection visible in the previous example is not accidental. Beside the fact that both projections are identical in terms of Hilbert space can be conspace formalism, the inner product in an sidered as an approximation of the inner product in an infinite and , the dimensional space. Given two functions following approximation is valid:

(25) Note that the right-hand side of (25) is equivalent to the inner product of vectors consisting of samples of (scaled by a factor ) and in a finite dimensional vector space. Moreover, the equation that defines coefficients of a wide class of mesh methods [4], [12], [23], i.e., the inner product of a 1The

nth resonant frequency is related to 

as f =

p

c  =2 .

2106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

derivative of a basis function and a testing function can be approximated in the following way:

remain undefined for a while. Stability can be Let matrix , proguaranteed for any symmetric and semidefinite matrix in (31) or (30) satisfies [24] vided the time step

(26) (32) provided and contain samples of and primary and dual mesh nodes, respectively.

taken at

B. Discrete Projection in Time Domain Thus far, a discrete projection was discussed for time harmonic fields. However, the technique can also be introduced for time-domain analysis. To this end, let us rewrite (15) assuming finite-difference discretization both in time and space dimensions. For general inhomogeneous media, we get a familiar FDTD scheme written in a matrix form (27a) (27b) where and denote field samples placed at nodes of the primary and dual mesh, normalized as in (16) using discretized material parameters and . For the considered 1-D example, matrix is related to (20) with the equality (28) For a multidimensional case, an identical normalization can be applied with replaced by a discrete curl operator [8]. The normalization guarantees the symmetry of the corresponding second-order problem. Consider the projection of (27) using and matrices. Substituting (29a) (29b) and multiplying the first equation in (27) by by , one gets

and the second

(30a) (30b) In order to get an explicit marching in the time scheme, analoand . gous to FDTD, we have to invert matrices This problem disappears if the projection matrices are orthogonal. In this case, the left-hand sides of (30) contain only and vectors. Thus, the orthogonality of projection matrices is a very desirable feature and, as will be shown below, it can be easily achieved.

and are orthogonal, i.e., satisfy If projection matrices and , with denoting the identity marepresenting the second-order problem (31) detrix, then rived from (30) can be found as (33) The above matrix is symmetric and positive semidefinite by construction so the time step determined by (32) guarantees stability , the of schemes (31) and (30). Moreover, if projected system will operate with a longer time step than the underlying FDTD algorithm. D. Projection With Orthogonal Matrices The foregoing discussion demonstrated advantages of using orthogonal projection matrices and for a discrete projection in the time domain. Orthogonality is also advantageous for frequency-domain analysis. If the discrete projection is applied to the discretized version of (15) written for time harmonic and are orthogonal, the corresponding fields, and both wave equation has the form (34) Since projection matrices are orthogonal, we obtain a standard eigenvalue problem. Moreover, (33) is symmetric and semidefinite, which implies that efficient numerical procedures can be applied. Also in the case of the iterative solution of (34), the convergence rate depends on the norm of the matrix [14]. condition makes the solver Once again, the converge faster than for the nonprojected system [10]. Having explained the advantages related to orthogonality of projection matrices, we shall now restrict our discussion to a few systems of functions that lead to orthogonal projection matrices. III. 1-D EXAMPLES WITH ORTHOGONAL PROJECTION MATRICES Consider an oblique discrete projection of the 1-D problem and . The discussed in Section II with projection matrices simplest functions that can be used for projection of Maxwell equations are pulse functions otherwise.

(35)

This function is discontinuous, thus, in order to compute the inner product (5a), we define the basis and testing functions as

C. Stability Considerations To complete this introduction of a time-domain algorithm involving discrete projection, it remains to discuss the stability of scheme (30). To this end, (30) is converted to an equivalent second-order scheme (31)

(36a) (36b) The mother function (35) is shifted by for basis functions and for testing functions. Typically, ; however, the

WIKTOR AND MROZOWSKI: PROJECTION FRAMEWORK FOR HYBRID METHODS DERIVED FROM FINITE-DIFFERENCE OPERATORS

2107

TABLE I COMPARISON OF THE RESULTS OF DISCRETE PROJECTION OBTAINED FOR DIFFERENT BASIS FUNCTIONS. ERROR IN PERCENT WITH RESPECT TO ANALYTICAL VALUES IS SHOWN

Fig. 1. Plot of Haar scalet (x) and wavelet (x) and corresponding discrete vectors u and u .

same value of (5a) is obtained for all between 0–1. Applying (36) functions to (5a) for the first derivative, one obtains a matrix (20) [4]. For now, this matrix is assumed to be identical to square, i.e., the number of primary and dual mesh nodes is equal. Since the problem is defined in a homogeneous medium, we can interchange matrices (28) and .2 The corresponding vectors for a discrete projection are defined in a similar way. We first define a mother vector being the discrete version of (35). It has the length and its elements are equal (see Fig. 1) (37) Vector is defined only for the support of (35). In order , this vector has to construct the projection matrices using to be shifted. For instance, consider the projection of (17) disnodes using (37) for . The cretized onto vectors. A projected domain is spanned by nonprojected system on a background mesh can also be written with being the identity matrix in a projected form with of size . Each entry in the identity matrix corresponds to , 20 mesh points, a single background mesh point. For (identity) matrix, are represented by 20 columns of the initial replaced by one projection vector. The location of these replaced . As mesh points in the identity matrix defines the shift of vectors. a result, the projected domain is spanned by is then related to (37) as Matrix

..

(38)

.

(40) and this projection matrix is also identical to the matrix obtained by direct discretization for coarse mesh. What is important to , the results are not valid note is if we take does not for the considered set of basis functions. Matrix modify vectors from testing subspace, but its kernel is the entire orthogonal complement of testing subspace. More formally, for for

and (39) 2The

Matrix is identical to (38), except for the first and last submatrix, which are equal to 0 in order to force zero boundary values. While the field can be assumed zero in the middle of the basis function [12], the side effect of such a projection is that the computational domain is shorter by ten background mesh nodes and is 1000 50 and obat each boundary. The size of viously and . Formally, except for the are idenentries corresponding to boundary regions, and tical, but one has to bear in mind that vectors being columns of act on a primary background mesh, while columns of create vectors acting on a dual mesh, which implicitly results in the shift between basis and testing functions, present in the continuous projection (36). Here, the shift is equal to a half of the background mesh cell size. Applying these projection maof size , we get of size trices to operator . The results of numerical analysis of a 1-D resonator background nodes and the matrices and using defined above are shown in the second column of Table I. It is interesting to note that, for the projection vectors of the and are form (37), the projected matrices identical to the matrices corresponding to finite-difference operators obtained for the mesh, which is times coarser than the background mesh. The second-order matrix, used to solve an eigenvalue problem, has the following form:

result is scaled by a constant c = (")

.

(41)

plays an important role in the discrete proThus, factor jection even if second-order problems are considered. This cor-

2108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

responds to the fact known from the continuous space, where it is impossible to project a second-order differential operator using discontinuous functions. As a natural extension of pulse functions, Haar wavelets can be considered. They are used to develop an MRTD scheme [5]. Here we shall derive its discrete frequency-domain versions. The simplest version of this scheme uses the zeroth-order Haar (35), which makes wavelet only, identical to pulse function the scheme equivalent to finite differences. This scheme can be intuitively extended by adding the first-order Haar Wavelet, defined as (42) otherwise. Function vector as

can be discretized defining the

Fig. 2. Plot of discretized first- and second-order polynomial for P = 9.

mother

(43)

Vectors and are orthogonal to each other (provided is even). Appropriate matrices for discrete projection can be submatrix in (38) with defined easily by replacing each , containing samples of both and . Thus, (44) Boundary conditions are satisfied by forcing the first columns of (44) to be 0 in external entries of the matrix. Due to orthogonality of each submatrix, the projection matrix is orthogonal. As in the case of resampling the finite-difference problem, this identical to that obtained in set of vectors also yields matrix the traditional way [5]. The formulation of the projection in the matrix algebra framework allows us to easily extend the set of functions used. Functions are compactly supported and preferred because of the low numerical cost of their orthogonalization. What is worth noting is that similar techniques are used in shaped-beam antennas synthesis [25]. For our considerations, a good candidate are orthogonal polynomials. Vector (37) represents the zeroth-order Legendre or Chebyshev polynomial. To get a new algorithm, it is in (44) with conenough to replace mother vector taining samples of the first-order Legendre polynomial (as seen in Fig. 2) (45) Choosing the normalization constant and scalar shift appropriately, one can achieve orthogonality of vectors (45) in a discrete space. This new basis can be used instead of Haar wavelets to solve the problem. Thus, the projection matrix is constructed , defined analogously to (44), by simply replacing from with . Orthogonality of Legendre polynomials in function space does not guarantee orthogonality of their samples in vector space. However, for polynomials of order 2 and less, the cost of the orthogonalization can be neglected.

Having defined the projection matrices, we can apply them to solve the 1-D problem, defined previously. Table I shows the results of such analysis for 50 multiresolution cells, obtained by projection of an original finite-difference problem of size . Each foreground cell became a first-level multiresolution cell. Since two functions are used within each mesh cell, the application of the same meshing as in previous example rebasis functions (minus two due to boundary sults in conditions). The results for both Haar- and polynomial-based projection vectors are seen in Table I. IV. INTRODUCTION TO HYBRID ALGORITHMS The results of Haar multiresolution analysis or those obtained using the Legendre vectors shown in Table I are quite inaccurate. The origin of this problem lies in poor approximation of boundary conditions. This problem was recognized in [11] and a combined Haar multiresolution with finite-difference scheme analysis has been proposed as a remedy. The construction of a Haar multiresolution finite-difference hybrid algorithm is very simple in the proposed projection framework. To achieve the appropriate projection matrices, one should assemble them both (39) and Haar (44) submatrices. using “pulse” This way, the hybrid multiresolution finite-difference scheme, identical to [11], is constructed automatically. Analogously, the polynomial-based scheme can be mixed with Haar wavelets, finite differences, or harmonic functions. In other words, in order and to create a hybrid algorithm, the projection matrices should be assembled from vectors corresponding to different basis functions used in different regions of the computational domain and sampled on a dense background mesh. As an illustration, once again, the 1-D resonator will be considered. Let us transform the finite-difference problem of size into a smaller one, with 50 foreground cells. Two cells, nearest to each boundary, are resampled into a coarse finite-difference scheme, while in the inner part, 46 cells long, is transformed into two previously discussed schemes, namely, Legendre and Haar. The projection matrix has the structure identical to (38), , Two external submatrices at each corner were chosen as for Haar expansion and while the rest were chosen as for polynomial expansion. As expected, the solutions, shown in Table II, are much more accurate. The polynomial-

WIKTOR AND MROZOWSKI: PROJECTION FRAMEWORK FOR HYBRID METHODS DERIVED FROM FINITE-DIFFERENCE OPERATORS

TABLE II COMPARISON OF ACCURACY OF HYBRID MULTIRESOLUTION–FINITEDIFFERENCE ALGORITHMS. ERRORS IN PERCENT WITH RESPECT TO ANALYTICAL VALUES ARE SHOWN

2109

The discrete version of zeroth- and first-order wavelets are deand given by (37) and (43). fined by mother vectors Four corresponding mother vectors for 2-D are then (49a) (49b) (49c) (49d) If mother vectors are assembled in a submatrix, as in (39), one can generate the entire projection submatrix as (50)

based scheme gives a slight improvement over the Haar scheme for fast varying fields (higher modes).

This gives a matrix. In general, the functions applied in the - and -direction do not have to be the same. The number of support points can also be different for both directions. A general formulation for a 2-D projection submatrix using functions and as prototypes along and with support measured in background mesh nodes can be written as

V. EXTENSION TO 2-D AND 3-D

(51)

The extension of the projection formulation (14) in order to create hybrid algorithms in 2-D or 3-D is discussed here. The construction of the hybrid algorithm can be split into two steps: generation of the projection vectors (submatrices) for a modified domain, and then assembling the global projection matrix from these vectors and an identity matrix representing the background mesh. 1) 2-D and 3-D Projection Submatrices: In a continuous space, a 2-D basis function is generated as a product of 1-D functions. For concreteness, let us consider basis functions constructed from zeroth- and first-order Haar wavelets and defined by (35) and (42), respectively. A combination of these functions in 2-D gives four basis functions defined as follows [23]: (46a) (46b) (46c) (46d) In a discrete space case, each basis function becomes a vector so the discrete basis function cannot be generated by simply taking samples of the above prototype functions, as it would lead to matrices. The proper way to define the discrete basis vectors derived from the 1-D basis functions is to apply a tensor product [22]. Given two vectors and with elements

(47a) (47b) a tensor product

is an

vector with the elements

.. .

(48)

Similarly, for a 3-D region containing background mesh points and assuming using , , and functions in three directions, the general form of a projection submatrix is (52) What is important to note is that the projection submatrices are orthogonal if the mother vectors are orthogonal. For orthogonal sets of vectors and , a direct calculation of the corresponding inner product, denoted as , gives

(53) From orthogonality of sets

and

, one gets (54)

From (53) and (54), it is seen that the tensor product of orthogonal vectors and yields orthogonal basis. In other words, one can inexpensively generate orthogonal multidimensional basis vectors using previously described ones based on Haar wavelets, Legendre polynomials, or harmonic functions. 2) Global Projection Matrix: According to the previous paragraph, one can define an orthogonal set spanning the field samples at a given rectangle or cuboid. The second issue related to the projection algorithm is to utilize it to generate a global projection matrix. To illustrate this, we discuss a construction 6 node background of projection basis for a simple 2-D 6 mesh, shown in Fig. 3. The black points are to be modified, while the rest of the domain will be kept unchanged. Suppose has been generated an appropriate projection matrix according to the scheme discussed in the previous paragraph. 6 domain, the Haar basis is to be used over a In our 6 4 4 square. The projection submatrix for such a domain and

2110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 4. View of the waveguide loaded with electric posts [26].

TABLE III PARAMETERS OF THE SIMULATION FOR DIFFERENT EXPANSION PATTERNS COMPARED WITH PURE FINITE-DIFFERENCE ANALYSIS Fig. 3. Nonzero entries of the projection matrix modifying a 4 side a 6 6 domain.

2

2 4 square in-

assumed order of expansion vectors has size 16 4. As in the 1-D case, the starting point for the definition of the projection matrix is the identity matrix of the size corresponding to the 36. The projection background mesh. In our case, it is 36 matrix is formed by removing the columns corresponding to the region for which the new basis vectors are defined and replacing the removed columns with the projection submatrix. In our case, 16 columns are replaced by four vectors formed from the rows of the projection submatrix . Each row of the submatrix is put at the row corresponding to the modified variable. In our case, columns 1–4, 7–10, and 13–16 are replaced with four columns of projection submatrix. Subsequent submatrix are placed at rows 1–4, 7–10, and rows of 13–16 of the global projection matrix, as shown in Fig. 3. To sum up, the construction of such a matrix can be split into the following two stages: • definition of an identity matrix of the size equal to the domain’s size; • replacement of selected columns with the rearranged projection submatrix . VI. EXAMPLES OF SIMULATIONS Thus far, the discrete projection has been illustrated for a very simple problem. Here, we shall discuss more complex examples and compare the results with measurement and reference data computed by means of other techniques. The examples cover a wide variety of electromagnetic problems, including timeor frequency-domain analysis for transmission and eigenvalue problems. A. Waveguide Loaded With Dielectric Posts As a first advanced example employing discrete projection concepts, the analysis of a rectangular waveguide loaded with dielectric posts, shown in Fig. 4, is presented. The results of mode-matching analysis and measurements of this structure are taken from [26]. The structure consists of ten full-height posts of placed in the diameter 4 mm, and relative permittivity

WR-90 waveguide. Post pairs are 15.7 mm apart, and centered in the transverse and spaced 7.8 mm in the longitudinal direction. Such a configuration allows us to simplify the analysis and apply a scalar 2-D formulation. The initial (background) finite-difference discretization utilized 100 500 Yee cells. By means of discrete projection, homogeneous parts of the structure were modified into multiresolution cells. Three sets of basis vectors were considered, namely, the Legendre polynomials and the Haar wavelets of the zeroth-order and first . The finite-difference simulation, seen in the order second column of Table III, is taken as a reference. The background mesh was left unchanged in regions 32 32 finite-difference cells around each post. Additionally, a few slices near each port were expanded using samples of harmonic functions (18). This allowed us to treat the corresponding variable as the amplitude of waveguide’s mode. All simulations were formulated as the frequency-domain wave equation with system matrix (33) and an excitation term on the right-hand side. The resulting matrix system was solved using a direct method. Table III collects the most important parameters used in simulations. Fig. 5 shows the comparison of the computed scattering characteristics with measurements [26]. The frequency characteristics obtained for all tests are in good agreement with [26]. Table III shows that projection gives approximately a twofold increase in computational speed without impacting the accuracy. For the fastest simulation, with zeroth-order Haar wavelets as a basis, the speedup is an even ten, but this basis gives some perturbation in the low transmission region. An interesting question is how the interfaces between expanded and nonexpanded regions influence the overall result.

WIKTOR AND MROZOWSKI: PROJECTION FRAMEWORK FOR HYBRID METHODS DERIVED FROM FINITE-DIFFERENCE OPERATORS

Fig. 5. Transmission characteristic of waveguide structure loaded with dielectric posts. The measurement data are from [26].

To give some idea regarding this aspect, all tests were repeated for an empty waveguide. The numerical reflection from the boundary conditions for the background mesh was at a level of 90 dB. The reflections introduced by various expansion functions are shown in the last row of Table III. The efficiency and very low reflection interface of polynomial expansion is visible. Poor performance of the zeroth-order Haar expansion can be explained by the fact that this expansion corresponds to coarsening the mesh by a factor of 4 : 1. Such coarsening introduces the reflection of approximately 20 dB [27].

2111

Fig. 6. Resonator with conductive wedge [28]. The shadow areas show the regions transformed into multiresolution analysis.

TABLE IV PARAMETERS AND RESULTS OF SIMULATION OF CONDUCTIVE WEDGE RESONATOR USING DIFFERENT BASIS FUNCTIONS IN EXPANDED REGIONS

B. Metal Resonator With a Conductive Wedge As the next example, the resonator with a thin metal iris, shown in Fig. 6, was chosen. This structure was investigated in [28] and corresponds to the one considered in [29], where poor accuracy of wavelet-based algorithms in the presence of perfect boundary conditions was discussed. A problem that one faces analyzing this structure by means of finite differences is strong field nonlinearity near the edge of the iris. To obtain the desired accuracy using finite-difference analysis without a subcell scheme algorithm [28], a very dense mesh is needed; however, only in a small portion of the computational domain. Thus, as in the previous example, projection techniques were used in order to coarsen the mesh in homogeneous parts of the structure. The idea is to have a high resolution background mesh only near the iris. In the numerical tests, this mesh was defined as large as 192 160 Yee cells. Our point of interest are resonant frequencies of two modes and , for which the singularity in the field near the iris occurs, resulting in large errors in the finite-difference simulation. The finite-difference simulation using the above-mentioned background mesh gives a solution error below 1%. The solution of the corresponding 2-D vector eigenproblem lasts over 3 min. In order to accelerate the computations without loosing accuracy, subregions measuring 144 80 background 10 multiresolution regions. cells were transformed into 18 As before, both Haar and Legendre polynomial expansion was used. The results are collected in Table IV. Resonant frequencies were obtained by solving a vector eigenproblem using the Jacobi–Davidson method [14]. As seen, all results are in good agreement. It is worth noting that polynomial expansion resulted

in an almost identical result as pure finite-difference analysis; however, the results were obtained three times faster. C. Metal Resonator Loaded With Dielectric Puck We now turn to an advanced example of time-domain analysis involving a full-wave 3-D formulation. The view of the analyzed structure is presented in Fig. 7. For this structure, both simulation results [10], [30], [31] and measurements [30] are reported. To begin with, we have repeated FDTD analysis, reported in [31], with a similar mesh density, i.e., 50 cells in each direction. The dielectric puck was approximated in a way described in [31]. In terms of Yee’s cells, free space under the puck was 12 cells high, and the space over it was 24 cells high. A fine mesh defined for this structure is needed only for regions close to dielectric interfaces, while the remainder of the domain can be coarsened without significant loss of accuracy. To achieve this, the region below the 11th slice and above the 27th slice3 was replaced by multiresolution cells. This way, the lower part was converted into a 5 5 2 multiresolution domain. Similarly, the region above the puck was transformed into a 5 5 6 domain. Each multiresolution cell was represented by polynomial or Haar functions. The results are presented in Table V. The third column refers to simulation with polynomial (45) of the and . This way, zeroth and first order for 256 background nodes was represented by eight variables. In the next column, a similar simulation is described, but the order 3A

slice is understood as a horizontal plane.

2112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 7. Resonator with a dielectric puck [30]. Fig. 8. View of the resonator with perturbed rotational symmetry [32]. TABLE V RESULTS OF SIMULATIONS OF A RESONATOR LOADED WITH DIELECTRIC PUCK. THE REFERENCE VALUE IS 4.153 [30]

Fig. 9. Division of the computational domain into regions.

of polynomials used was increased to 2, which resulted in 27 variables per cell. Such an expansion also affected the number of nonzero elements in the global matrix. While the results of both simulations are in good agreement with FDTD analysis and measurements, the last two tests take twice as long as the first one. In these tests, the importance of matrix sparsity is visible. Simulation with second-order polynomial-based vectors, seen in the fourth column of Table V, results in the largest number of nonzero elements and, consequently, the computational efficiency is lower than for other analyses. For all simulations, the time step, determined by the size of s. The the smallest background cell, was equal to overall simulation time, on a 3-GHz PC machine, was approximately 20 min for FDTD simulation and proportionally less for other computations. As discussed in Section II-C, the iterative scheme with orthogonal projection matrices is stable by construction and, indeed, no instability was observed for any of the hybrid techniques used in this example. D. Dual-Mode Cylindrical Resonator As a last example, a time-domain analysis of a dual-mode resonator [10], [32] is discussed. The structure is presented in Fig. 8. A small notch of dimensions 1 mm 1 mm perturbs the axial symmetry, and brings about two resonances whose frequencies differ by approximately 0.7% [32]. To distinguish these two resonances, a long simulation period is required in the time domain. The background Yee’s mesh was defined in the cylindrical coordinate system and measured 50 120 50 cells along the -, -, and -direction, respectively. For the purpose of analysis, the structure has been divided into six regions, as shown in Fig. 9. Region 1 is a cylinder of mm and extends from to mm. radius Region 2 is also a cylinder of radius mm extending

mm to mm. The space between these from regions was further divided into a cylinder described by mm and mm mm, defining region 3, a tube mm and mm mm (region 4) and an unclosed ring mm mm, mm mm, (region 5). The remaining volume in the and vicinity of the notch has the size 5 20 11 Yee’s cells. Three simulations were performed for different sets of basis and testing functions used in regions 1–5. These functions are listed in Table VI.4 In all, tests over 100 000 iterations were performed, and no instability has been observed, as predicted in Section II-C. The reference frequencies, given in [32]. are equal to 5.45 and 5.48 GHz. While they are given with two-digit precision only, a traditional FDTD analysis using the background mesh was carried out and the results were taken as a reference for other tests. The comparison is given in Table VII. It is seen that advanced projection can significantly reduce the number of variables and nonzero elements. For the set of basis and testing functions used in simulation III, we could increase the number of iterations per second by a factor of 25 to 625. Additionally, since the norm of the projected operator decreased, the time step s to 4 10 s, i.e., by a could be increased from 2.5 10 factor of 16, giving the hybrid algorithm additional speedup over the FDTD analysis. Pure FDTD analysis of the structure in a cylindrical mesh is inefficient due to the extremely small time step required. On the other hand, the geometry of the resonator suggests that it would be the most convenient to formulate the problem in cylindrical coordinates. The FDTD algorithm combined with the harmonic expansion allowed us to take advantage of the non-Cartesian mesh without sacrificing the computational efficiency. Such a 4Vectors derived from harmonic functions were supported on the entire circle

; z = const; thus, P = 120 for all simulations.

WIKTOR AND MROZOWSKI: PROJECTION FRAMEWORK FOR HYBRID METHODS DERIVED FROM FINITE-DIFFERENCE OPERATORS

TABLE VI EXPANSION PATTERNS USED FOR DIFFERENT REGIONS OF STRUCTURE (SEE FIG. 9). L DENOTES LEVEL OF FUNCTIONS USED, P THE SUPPORT SIZE FOR POLYNOMIAL BASES

2113

finite-difference operators allows one to locally change the finite-difference formulation into a scheme based on arbitrary selected basis functions. An alternative definition of multiresolution or partial eigenfunction expansion algorithms, as well as completely new schemes, have been presented. A general and low-cost framework for combining finite differences with other algorithms has been developed. Numerical examples, starting from simple scalar formulations to advanced electromagnetic simulations introduced step by step the concepts of the method and validated this technique for electromagnetic analysis. REFERENCES

TABLE VII RESULTS OF SIMULATION FOR THE LOADED RESONATOR

Fig. 10. Field patterns related to two modes at a cross section of the dielectric puck. Red (in online version) denotes large energy density.

formulation, in the frequency domain, has been considered in [10]. In the time domain. this (test I) analysis gives an overall speedup of approximately 200 times over pure FDTD. Using more advanced multidimensional expansion (simulations II and III) further gives almost twofold improvement. Finally, in order to illustrate the difference between the proposed projection scheme and the model order reduction approach, where, in most cases, it is impossible to store the projection matrices, Fig. 10 shows field patterns for two modes and the expansion patterns no. III. As in all projection schemes using differential operators and scalar basis functions, spurious modes may occur [4], [23]; however, no such modes have been observed in any of the tests presented in this paper within the band of interest. VII. CONCLUSIONS A new technique for creating hybrid algorithms, based on the matrix formulation of the finite-difference scheme, was prespace to sented. A Rayleigh–Ritz projection, applied in an

[1] R. F. Harrington, Field Computation by Method of Moments. New York: Macmillan, 1968. [2] M. Mrozowski, “A hybrid PEE-FDTD algorithm for accelerated time domain analysis of electromagnetic waves in shielded structures,” IEEE Microw. Guided Wave Lett., vol. 4, no. 10, pp. 323–325, Oct. 1994. [3] J. Lee, R. Lee, and A. Cangellaris, “Time domain finite element methods,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 430–441, May 1997. [4] G. W. Pan, Wavelets in Electromagnetics and Device Modelling. Hoboken, NJ: Wiley, 2003. [5] T. Dongaru and L. Carin, “Application of Haar wavelet based multiresolution time domain schemes to electromagnetic scattering problems,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 774–784, Jun. 2002. [6] A. Taflove, Computational Electrodynamics FDTD Method, 2nd ed. Norwood, MA: Artech House, 2000. [7] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equation in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–307, Mar. 1966. [8] T. Weiland et al., “Maxwells’s grid equations,” Frequenz, vol. 44, pp. 9–15, Jan. 1990. [9] M. Wiktor and M. Mrozowski, “Discrete projection for finite difference methods,” in 20th Annu. Rev. Progress in Appl. Comput. Electrodynam., Syracuse, NY, Apr. 2004, vol. 2, Paper S04P08. [CD ROM]. [10] M. Wiktor and M. Mrozowski, “Fast numerical analysis of dielectric resonators with perturbed rotational symmetry,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 9, pp. 516–518, Sep. 2004. [11] C. D. Sarris and L. Katehi, “An efficient numerical interface between FDTD and Haar MRTD—Formulation and applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1146–1156, Apr. 2003. [12] M. Krumpholz and P. Russer, “A field theoretical comparison of FDTD and TLM,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 8, pp. 1935–1990, Aug. 1995. [13] J. Saad, Iterative Methods for Sparse Linear Systems. Boston, MA: PWS, 1996. [14] Z. Bai, J. Demmel, J. Dongarra, A. Ruhe, and H. Vorst, Templates for the Solution of Sparse Eigenvalue Problems. Philadelphia, PA: SIAM, 2000. [15] R. W. Freund, “Passive reduced-order modeling via Krylov-subspace methods,” in IEEE Int. Comput.-Aided Control Syst. Design Symp., Sep. 25–27, 2000, pp. 261–266. [16] L. Kulas and M. Mrozowski, “Reduced order model in FDTD,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 10, pp. 422–424, Oct. 2001. [17] A. C. Cangellaris, M. Celik, S. Pasha, and L. Zhao, “Electromagnetic model order reduction for system level modelling,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 840–850, Jun. 1999. [18] B. Denecker, F. Olyslager, L. Knockaert, and D. Dezutter, “Automatic generation of subdomain models in 2-D FDTD using reduced order modeling,” IEEE Microw. Guided Wave Lett., vol. 10, no. 8, pp. 301–303, Aug. 2000. [19] R. F. Remis and P. M. van den Berg, “A modified Lanczos algorithm for the computation of transient electromagnetic wavefields,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2139–2149, Dec. 1997. [20] A. C. Cangellaris and L. Zhao, “Rapid FDTD simulation without time stepping,” IEEE Trans. Microw. Theory Tech., vol. 9, no. 1, pp. 4–6, Jan. 1999. [21] Y. Zhu and A. Cangellaris, “A new finite element model for reduced order electromagnetic modeling,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 5, pp. 211–213, May 2001.

2114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[22] C. D. Meyer, Matrix Analysis and Applied Linear Algebra. Philadelphia, PA: SIAM, 2000. [23] M. Krumpholz and L. Katehi, “MRTD: New time domain schemes based on multiresolution analysis,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 555–571, Apr. 1996. [24] M. Mrozowski, “Stability condition for the explicit algorithms of the time domain analysis of Maxwell’s equations,” IEEE Microw. Guided Wave Lett., vol. 4, no. 8, pp. 279–281, Aug. 1994. [25] F. Vipiana, G. Vecchi, and M. Sabbadini, “A multiresolution approach to contoured-beam antennas,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 684–697, Mar. 2007. [26] R. Lech and J. Mazur, “Propagation in rectangular waveguides periodically loaded with cylindrical posts,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 177–179, Apr. 2004. [27] M. Celuch-Marcysiak and J. Rudnicki, “On the effect of total reflection on subgridded FDTD meshes,” in IEEE AP-S Int. Symp., 2004, vol. 1, pp. 65–68. [28] P. Przybyszewski and M. Mrozowski, “A conductive wedge in Yee’s mesh,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 66–68, Feb. 1998. [29] M. Peschke and W. Menzel, “Investigation of boundary algorithms for multiresolution analysis,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1262–1268, Apr. 2003. [30] X. P. Liang and K. A. Zaki, “Modelling of cylindrical resonators in rectangular waveguides and cavities,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2174–2181, Dec. 1993. [31] N. Kaneda, B. Houshmand, and T. Itoh, “FDTD analysis of dielectric resonators with curved surfaces,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 9, pp. 1645–1648, Sep. 1997. [32] D. Baillargear, S. Verdeyme, M. Aubourg, and P. Guillon, “CAD applying the finite-element method for dielectric-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 10–17, Jan. 1998.

Michal Wiktor was born in Gdansk, Poland, in 1976. He received the M.Sc.E.E. and Ph.D. degrees (with honors) from the Gdansk University of Technology (GUT), Gdansk, Poland, in 2000 and 2006, respectively. His research interests include computational electromagnetics and numerical methods.

Michal Mrozowski (S’88–M’90–SM’02) received the M.S.E.E., Ph.D., and D.Sc. degrees in microwave engineering (with honors) from the Gdansk University of Technology (GUT), Gdansk, Poland, in 1983, 1990, and 1994, respectively. Since 2001, he has been a Full Professor with GUT. He has authored or coauthored over 50 reviewed journal papers and two monographs on computational electromagnetics and guided electromagnetic waves. His research interests are computational electromagnetics and field theory. Prof. Mrozowski is a member of the Electromagnetics Academy. He was chairman of the Polish Joint Aerospace and Electronic Systems (AES)/Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) Chapter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2115

Analysis of Circular Cavity With Cylindrical Objects Rafal Lech and Jerzy Mazur

Abstract—This paper presents the analysis of electromagnetic wave scattering by cylindrical objects located arbitrarily in a circular cavity. The exact full-wave theory based on the mode-matching method is applied to analyze the structures. The resonance frequencies of the investigated resonators are accurately determined. The validity and accuracy of the approach are verified by comparing the results with those obtained from alternative numerical methods and the authors’ experiment. Index Terms—Circular cavity, orthogonal expansion method, resonators.

I. INTRODUCTION

T

HIS PAPER presents the analysis of electromagnetic wave scattering by cylindrical objects located arbitrarily in a circular cavity. The general configuration of the resonators to be investigated is shown in Fig. 1. The structures of the posts can be either homogenous along the height of the resonator or homogenous along their circumference. The former is composed of a metallized dielectric, cylindrical rod, or fragments of metallic cylinder, as depicted in Fig. 1(a), while the latter is a corrugated cylinder with a dielectric rod [see Fig. 1(b)]. The analysis presented in this paper is an extension of the analysis in [1] where only metallic posts with up to three metallic strips were considered. In recent years, along with an increase in processor capacity, professional full-wave simulators have become increasingly popular. For analysis, they use space-discretization techniques, which enable the investigation of structures with an arbitrary cross section of profile. However, despite their flexibility and versatility, when the examined structures are complex, an extremely fine discretization is needed, which results in a very slow performance of algorithms. The analytical methods, on the other hand, are characterized by high accuracy and efficiency. The disadvantage of these methods is the lack of versatility as they are only applicable to a few regularly shaped waveguide discontinuities. Additionally, professional full-wave simulators used today for the synthesis and design of microwave systems

Manuscript received March 8, 2007; revised June 21, 2007. This work was supported in part by the Polish State Committee for Scientific Research and by the Foundation for Polish Science under the Domestic Grants for Young Scientists Scheme. R. Lech is with the Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 80-952 Gdansk, Poland (e-mail: [email protected]). J. Mazur is with the Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 80-952 Gdansk, Poland, and also with the Gdansk Division, Telecommunication Research Institute, 80-952 Gdansk, Poland (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906486

Fig. 1. Analyzed structures. (a) Metallized dielectric, cylindrical post in circular cavity. (b) Corrugated metallic cylinder in circular cavity.

mainly utilize various optimization algorithms. These algorithms use the results of a specific boundary problem solution to specify the cost function. In the case of complex structures, a significant extension of time needed for numerical evaluations may lead to a significant extension of time or even exceed the time intended for optimization. Hence, in order to increase the algorithm efficiency for the investigation of electromagnetic wave scattering and propagation in structures containing material objects, various attempts of physical description of these phenomena are undertaken. As a consequence, understanding the behavior of electromagnetic fields in complex structures and environments seems to be essential for further development of microwave systems. It was pointed out in this paper that the proposed structures are characterized by a high unloaded factor and, therefore, can be used as a key building elements of low-loss, narrowband, and high-selectivity microwave filters [2]–[5]. In order to combine several cylindrical-enclosure resonators, one can use an approximate model [6] of coupling between the cylindrical-enclosure combline resonators. However, this model does not take into account the influence of the higher order modes that exist near the coupling iris between adjacent resonators. On the other hand, some numerical methods based on space-discretization techniques (finite-difference time-domain (FDTD), finite-element method) can be used, but the low efficiency of discrete methods make their use for filter design impractical. As a solution to this problem, one can use a procedure based on the mode-matching technique proposed for the analysis of waveguide bandpass filters employing circular resonators [7], a procedure based on the generalized admittance matrix (GAM) technique proposed for the analysis of the four-port junction ( -junction) between two rectangular waveguides and a circular waveguide [8], or exact full-wave theory based on the mode-matching method, which was applied to the analysis of

0018-9480/$25.00 © 2007 IEEE

2116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 2. General configuration of the inner posts. (a) Metallized dielectric. (b) Corrugated metallic cylinder type I. (c) Corrugated metallic cylinder type II.

couplings between cylindrical combline resonators [2], which are key building elements of combline filters. The first structure can also be analyzed as a ridged circular waveguide, finding application in dual-mode microwave filters and polarizers [9]. It is possible to vary the frequency response of the microwave device equipped with the objects depicted in Fig. 1(a) by simply rotating them. This effect can be utilized to compensate for material defects and improper dimensions or other mechanical inaccuracies of the structure. The other advantage of using the proposed shape for the object is that there is no need to introduce additional tuning elements, which would require some design modifications. This effect was utilized to design tunable waveguide filters with half-cylinder metallic posts [11], or bow-tie metallic posts [10], and can be utilized in circular cavities to create tunable resonators. In both cases, the exact full-wave theory based on the modematching method is applied to analyze the structures. Both TE and TM modes are considered simultaneously in the analysis. Additionally, to eliminate the phenomenon of relative convergence when the sharp metallic edges are present, the analysis includes the edge condition. A set of integral equations in the tangential electric fields at the interfaces is derived and solved with the use of the basis functions, which contain as much information on the behavior of these fields at all sharp metallic edges [12], [13] as possible. The inclusion of this information allows for an efficient and accurate analysis of the spectrum of the system and ensures numerical efficiency and fast convergence of the method. The resonance frequencies of the investigated resonators are accurately determined. The validity and accuracy of the approach is verified by comparing the results with those derived from the commercial FDTD simulator Quick-Wave 3D [14], finite-element method, and experiment.

of the inner posts are depicted in Fig. 2. Despite the difference in the shape of the posts, the boundary problems and the basis functions are similarly defined in both cases. For the sake of clarity, each structure will be described separately below. A. Strip-Metallized Dielectric Post The dielectric inner rod of the post has radius , while the . The range of the metallic metallization thickness equals , where is the number of strips. In strips is order to determine the resonance frequency of the resonator, the structure is divided into the following three regions of investigation, as shown in Fig. 2(a). —inner rod. 1) Region I: —between strips 2) Region II

3) Region III: —outer section. In all regions, the electromagnetic fields can be derived from , Hertzian electric and magnetic vector potential functions which satisfy the following Helmholtz equation: (1) where the superscript denotes TM modes and denotes TE modes. In each region, the axial components of the electric and magnetic fields are expanded in a series of modes. The modes satisfy all the boundary conditions, except for those at the interface between the regions and over the metallic surface. The general solution to this equation can be found using a separation of variables. , the In all regions Hertzian electric and magnetic vector potential functions are described as follows:

II. THEORY

(2)

The general arrangement of the resonators with post configurations are presented in Fig. 1. The cylindrical cavity radius and height are and , respectively. The general configurations

(3)

LECH AND MAZUR: ANALYSIS OF CIRCULAR CAVITY WITH CYLINDRICAL OBJECTS

The -, -, and -dependent field eigenfunctions in all the regions are defined as follows:

2117

. Additional relations between the magnetic where field components at both interfaces are described by (13) (14)

(4)

and Expanding functions basis functions with unknown coefficients assuming the number of terms in expansion

in a series of and and , one gets

(5) (15) (6)

A set of basis functions satisfying the conditions at the sharp metallic edges of the ridge is taken from [12] and described by

(16) (7) (8)

(9) and are the th order of the Bessel where function of the first and second kind, respectively, and . If is less than 0, and should be replaced by and , where and are the th-order modified Bessel function of the first and second kind, respectively. For the electric fields, , while for the magnetic fields, . In regions I and III, and , respectively. , for the electric field, , In region . while for the magnetic field, , and . , , , , and are unknown coefficients of the fields in all three regions. The boundary conditions at the interfaces between adjacent and should be satregions and of electric fields isfied. The tangential components and , reare assumed as unknown functions spectively, leading to the following relations:

(10)

(11)

(12)

where for function , and for function . , the Satisfying the boundary conditions at interface unknown coefficients are eliminated and the coupling relation between the fields in regions is obtained. By applying the obtained relation to the continuity conditions at interface , the unknown coefficients in regions are eliminated and the demanded relation between the incident and scattered coefficients is defined as follows: (17) Matrix is calculated for a given . All the submatrices are of dimension . Matrices and describe the relation between electric and magnetic field and coefficients in the outer region, respectively, while describe the mutual relation between the fields. It is worth noticing that, in the case when the inner rod of the post is made and equal 0. of metal, the submatrices B. Corrugated Post The general configurations of the corrugated post to be investigated are shown in Fig. 2(b) and (c). The first kind of post [see Fig. 2(b)] is extended over the entire height of the resonator. The other one, depicted in Fig. 2(c), is smaller, which creates a gap between the top corrugation and the top wall of the resonator. The element in Fig. 2(b) is composed of a cylindrical inner rod of height , which may be a dielectric, conductor, ferrite, or a pseudochiral medium, and are metallic rings attached to this rod. The post configuration in Fig. 2(c) consists of conducting cylinders joined by cylinders of smaller radii, which may be a dielectric or conductor. The analysis of both structures is similar despite the differences in their geometries. The following analysis will be conducted for the case of the post depicted in Fig. 2(b) and only the modifications essential for the analysis of the second case will be outlined toward the end of this section.

2118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

The post has inner radius , outer radius , and heights and . In this case, the structure is also divided into the following three regions of investigation. —inner rod. 1) Region I: 2) Region II: — th region of corrugation. —outer section. 3) Region III: Similarly to Section II-A, the electromagnetic field can be derived from Hertzian electric and magnetic vector potential func. Using the separation of variables again, we can tions define vector potential functions for all the regions as follows: (18) (19)

isfied. Similarly, as in the case of the strip-metallized dielectric and of electric fields are post, the tangential components and , respecassumed as unknown functions tively, as shown in the following relations:

(26) (27)

(28) , , and . Additional relations where between the magnetic field components at both interfaces are described by (29)

where

(30) (20)

Once more, the unknown functions and are expanded in a series of basis functions with unknown coand . Sets of basis functions satisfying the efficients conditions at sharp metallic edges are described by

(21) (31) (22) (32)

(23)

(24)

(25)

where for function , and for function . For the case of the post depicted in Fig. 2(b), the above field description can be simplified. As the inner cylindrical rod does not extend over the entire height between the electric walls, there is no need to distinguish region I. The sharp metallic edges of the ridge at the interface between adjacent regions no longer exist, thus, the boundary conditions can be enclosed substituting unknown coefficients into the fields in region with (33)

where and are the th order of the Bessel function of the first and second kind, respectively, and , , . is less than 0, and should be replaced by If and , where and are the th order of the modified Bessel functions of the first and second kind, respec. For tively. For all the fields, , while for the magnetic the electric fields, fields, . , , , , and are unknown coefficients of the fields in all three regions. The boundary conditions at the interfaces between adjacent and should be satregions

where is defined for the dielectric (34) and (35) or metallic (36) and (37) joining cylinder as follows:

(34)

(35) (36) (37)

LECH AND MAZUR: ANALYSIS OF CIRCULAR CAVITY WITH CYLINDRICAL OBJECTS

2119

Fig. 3. Example configurations used for numerical calculations and measurements.

where

In the case of multiple objects in a circular cavity, the iterative scattering procedure [16] is utilized to obtain the aggregated transmission matrix of the structure. D. Resonant Frequencies

Satisfying the boundary conditions at interface and , we obtain the demanded relation then at interface between the incident and scattered coefficients in the following form:

In order to calculate the resonance frequencies of the cavity additional boundary condition at the metallic surface of the resonator, must be enforced as follows:

(38)

(41)

Matrix is calculated for a given . Physical interpretation of the submatrices is similar to the one conducted in Section II-A.

Satisfying these boundary conditions and substituting the obtained relation (39) or the aggregated transmission matrix for multiple objects, the following equation in matrix form for the for electric field, and given ( for magnetic field) is obtained:

C. Single and Multiple Objects in the Cavity The field expansions were introduced in the previous sections for the case when the post is placed in the center of the cavity. The displacement of the post requires the utilization of the additional theorem for Bessel functions [15]. For a single post in a cavity, it can be easily introduced by multiplying its transmission matrix by the transformation mato obtain trix (39) Matrix is a transformation matrix of Bessel functions from global coordinates to the local coordinates of the post. The transformation procedure and the transformation matrix are thoroughly described in [16].

(40)

(42) where (43) where is matrix (17) transformed to the global coordinate system originating in the center of the cavity

2120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE I RESULTS FOR THE CONFIGURATION FROM FIG. 3(a). CAVITY RADIUS R = 30 mm AND HEIGHT H = 100 mm. PARAMETERS OF THE = 60 ,  = 0 . METALLIC FRAGMENT: r = 10 mm, RESONANCE FREQUENCIES ARE IN GIGAHERTZ

TABLE II RESULTS FOR CONFIGURATION FROM FIG. 3(b). CAVITY RADIUS R = 30 mm AND HEIGHT H = 100 mm. PARAMETERS OF THE POST: r = 5 mm, " = 5, r = 10 mm, = = 60 ,  = 110 AND  = 290 . RESONANCE FREQUENCIES ARE IN GIGAHERTZ

and

are the diagonal matrices of dimension with Bessel functions of the first and second kind and their . derivatives. In order to find the resonance frequencies of the cavity, we need to set the determinant of matrix (43) to zero and solve the equation (44)

III. NUMERICAL RESULTS A few configurations of the metallized and corrugated post in the circular cavity have been calculated and the results have been compared with those obtained from the commercial FDTD simulator Quick-Wave 3D, finite-element method, and the authors’ experiment. The experiment was performed using the Wiltron 37269A network analyzer. When the results of the resonance frequencies are compared with those obtained from alternative numerical methods, they are presented in tables. When the change of the resonance frequencies versus any parameter of the resonator is to be shown, the results are illustrated in figures. Unloaded quality factors of first few modes of chosen single- and double-post configurations were calculated utilizing the FDTD technique with Prony’s method [17] and are presented in tables. As for a material of the cylindrical cavity and S/m) was used. posts, brass (conductivity: As the first example, a fragment of a metallic cylinder placed centrally in the cavity has been analyzed [see Fig. 3(a)]. The resonant frequencies for the TE and TM modes along with the dimension of the structure are presented in Table I. Another example is a dielectric cylinder with two metallic strips placed in the cavity, as illustrated in Fig. 3(b). The resonant frequencies for the TM modes and the dimensions of the

Fig. 4. Change of chosen resonance frequencies with the rotation of the post described in Table II ( =  + 180 ).

structure are presented in Table II. The post is placed in the center of the cavity and is displaced by 10 mm from the center. For both of the above examples, we obtained a good agreement with the calculations of the FDTD method. The displacement of the post from the center of the cavity lowers the resonance frequencies of the cavity. When the post is displaced from the center, its rotation also affects the resonance frequencies, which is depicted in Fig. 4. This effect can be utilized to create tunable resonators and as key building elements of microwave combline filters [2]. The structures of metallized cylindrical posts, or fragments of conducting cylinders, as distinct from common cylinders or inductive and capacitive irises and stubs, enable us to vary the resonance frequency by a simple rotation of the post. In cascade filters with several posts, their rotation and shift influence the coupling between the filter resonators, which allows for the tuning of the circuit to the demanded frequency. This effect enables us to compensate for the material defects and improper dimensions or other mechanical inaccuracies of the structure, which have an effect on the length of the cavities. The other advantage of using the proposed shape of resonators in the filter structures is that there is no need to introduce additional tuning elements, which would require some design modifications.

LECH AND MAZUR: ANALYSIS OF CIRCULAR CAVITY WITH CYLINDRICAL OBJECTS

TABLE III RESULTS FOR THE CONFIGURATION FROM FIG. 3(c). CAVITY RADIUS R = 30 mm AND HEIGHT H = 100 mm. PARAMETERS OF THE POST: r = 5 mm, " = 5, r = 10 mm, h = 10 mm, h = 30 mm, h = 50 mm AND h = 90 mm. RESONANCE FREQUENCIES ARE IN GIGAHERTZ

2121

TABLE IV COMPARISON OF THE ANALYSIS AND MEASUREMENTS FOR THE POST IN FIG. 3(d). CAVITY RADIUS R = 30 mm AND HEIGHT H = 86 mm. POST PARAMETERS: r = 9 mm, h = 65 mm AND d = 0 mm

TABLE V COMPARISON OF THE ANALYSIS AND MEASUREMENTS FOR THE POST IN FIG. 3(e). CAVITY RADIUS R = 30 mm AND HEIGHT H = 86 mm. POST PARAMETERS: r = 5 mm, r = 10 mm, h = 25 mm, h = 40 mm, h = 65 mm AND d = 0 mm

Fig. 5. Change of resonance frequencies for TE modes with the height of the post from Fig. 3(d). Cavity radius R = 30 mm and height H = 100 mm. Radius of the post is r = 10 mm. Displacement d = 0 mm.

Fig. 7. Manufactured resonator.

Fig. 6. Change of resonance frequencies for TE modes with the displacement of the post from Fig. 3(d). Cavity radius R = 30 mm and height H = 100 mm. Radius and height of the post are r = 10 mm and h = 70 mm.

Next, the example of a corrugated post with a dielectric rod presented in Fig. 3(c) is analyzed. The analysis has been conmm and mm. The ducted for post displacements results and dimensions of the structure are presented in Table III. In the next example, the metallic cylinder from Fig. 3(d) has been analyzed. The change of chosen resonance frequencies for TE modes with the change of the cylinder height is presented in Fig. 5. The metallic cylinder is placed in the center of the cavity.

The dimensions of the structure are presented in the table. The change of chosen resonance frequencies for TE modes with the displacement of the cylinder is presented in Fig. 6. In this case, the cylinder is of a fixed height. The dimensions of the structure are presented in the table. As can be seen from the above results, the change of the height of the post affects the resonance frequencies slightly. The displacement of the post from the center of the cavity causes the separation of the resonance frequencies, which are degenerated when the post is placed in the center. The measurements of the metallic post from Fig. 3(d) have been conducted and the results were compared with the ones obtained from the proposed approach and the FDTD method. The comparison of resonance frequencies for the post in Fig. 3(d) is presented in Table IV.

2122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE VI RESULTS FOR THE CONFIGURATION FROM FIG. 3(f). CAVITY RADIUS R = 30 mm AND HEIGHT H = 86 mm. PARAMETERS OF THE POSTS: r = 2 mm, r = 4 mm, h = 20 mm, h = 50 mm, AND THE POSTS LOCATION: xy = [ 20; 0] mm, xy = [15; 0] mm. RESONANCE FREQUENCIES ARE IN GIGAHERTZ

0

TABLE VII RESULTS FOR THE CONFIGURATION FROM FIG. 3(g). CAVITY RADIUS R = 30 mm AND HEIGHT H = 86 mm. PARAMETERS OF THE POSTS: r = 4 mm, h = 50 mm, AND THE POSTS LOCATION: xy = [ 20; 0] mm, xy = [15; 10] mm. RESONANCE FREQUENCIES ARE IN GIGAHERTZ

0

TABLE VIII RESULTS FOR THE CONFIGURATION FROM FIG. 3(h). CAVITY RADIUS R = 30 mm AND HEIGHT H = 86 mm. PARAMETERS OF THE = 90 , AND THE METALLIC FRAGMENTS: r = 6 mm, POSTS LOCATION: xy = [ 20; 0] mm, xy = [10; 0] mm. RESONANCE FREQUENCIES ARE IN GIGAHERTZ

0

The measurements of the metallic corrugated post from Fig. 3(e) have also been conducted and the results were compared with the ones obtained from the proposed approach and the FDTD method. This comparison is presented in Table V. A photograph of the manufactured resonator is illustrated in Fig. 7.

TABLE IX CUTOFF FREQUENCIES OF THE CIRCULAR WAVEGUIDE WITH CONFIGURATION FROM FIG. 3(b). CUTOFF FREQUENCIES ARE IN GIGAHERTZ. PARAMETERS: r = 5 mm, r = 10 mm, = = 60 ,  = 0 ,  = 180 , METALLIC INNER ROD

TABLE X CONVERGENCE OF RESONANCE FREQUENCY OF A QUARTER-CYLINDER POST OF RADIUS r = 10 mm PLACED IN THE CENTER OF CIRCULAR CAVITY OF RADIUS R = 30 mm AND HEIGHT H = 100 mm FOR p = 1 AND M = 15. TERMS IN SUMS. RESONANCE FREQUENCIES ARE IN GIGAHERTZ

The last three examples of resonator structures are doublepost configurations, as presented in Fig. 3(f)–(h). The analysis of the structures have been conducted for arbitrary posts positions in the cavity. The results and dimensions of the structures are presented in Table VI for the configuration from Fig. 3(f), in Table VII for the configuration from Fig. 3(g), and in Table VIII for the configuration from Fig. 3(h). Several cutoff frequencies of the TM modes of the circular waveguide with the metallic post from Fig. 3(b) have been calculated and the results were compared with the finite-element method analysis. The comparison is presented in Table IX. The above-presented results show that, by using our method, a very good agreement with the calculations of alternative numerical methods (FDTD, finite-element method), as well as with the measurements, was obtained. For numerical investigation, the infinite sums used in the mathematical formulations were replaced by finite sums. From the simulations, we have obtained a good convergence for a and basis functions . number of eigenfunctions This effect is depicted in Table X, where the convergence of the numerical solution as the number of basis functions is increased is presented. The chosen example is a quarter-cylinder post placed in the center of the circular cavity. It is seen from the results that only a few basis functions are needed to obtain and , the good convergence. With the chosen numbers computation of a single frequency point for a fragment of a

LECH AND MAZUR: ANALYSIS OF CIRCULAR CAVITY WITH CYLINDRICAL OBJECTS

metallic cylinder needs approximately 0.03 s using MATLAB on an Intel Pentium 4 3.4-GHz PC. IV. CONCLUSION The analysis of scattering in a cylindrical resonator and waveguide by metallized cylinders and corrugated posts has been developed using a mode-matching method and basis functions including edge conditions. The inclusion of the edge conditions in the basis functions at the sharp metallic edges of the ridges makes the approach numerically efficient. The validity and accuracy of the method have been verified by comparing our results with the ones obtained from alternative numerical methods and measurements. REFERENCES [1] R. Lech and J. Mazur, “Circular resonator with corrugated cylinders,” in 15th Int. Microw., Radar, Wireless Commun. Conf., Krakow, Poland, May 22–26, 2006, pp. 600–603. [2] Y. Rong and K. A. Zaki, “Full-wave analysis of coupling between cylindrical combline resonators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1721–1729, May 1999. [3] X.-P. Liang and K. A. Zaki, “Modeling of cylindrical dielectric resonators in rectangular waveguides and cavities,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2174–2181, Dec. 1993. [4] C. Wang, K. A. Zaki, A. E. Atia, and T. G. Dolan, “Dielectric combline resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2501–2506, Dec. 1998. [5] F. Alessandri, M. Chiodetti, A. Giugliarelli, D. Maiarelli, G. Martirano, D. Schmitt, L. Vanni, and F. Vitulli, “The electric-field integral-equation method for the analysis and design of a class of rectangular cavity filters loaded by dielectric and metallic cylindrical pucks,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1790–1797, Aug. 2004. [6] R. Levy, “Improved single and multiaperture waveguide coupling theory including explanation of multi interactions,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 4, pp. 331–338, Apr. 1980. [7] A. Melloni, M. Politi, and G. G. Gentili, “Mode matching analysis of TE mode waveguide bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2109–2116, Sep. 1995. [8] G. G. Gentili and A. Melloni, “Analysis of the -junction between two rectangular waveguides and a circular waveguide,” IEEE Microw. Guided Wave Lett., vol. 7, no. 8, pp. 245–247, Aug. 1997. [9] J. Bornemann, S. Amari, J. Uher, and R. Vahldieck, “Analysis and design of circular ridged waveguide components,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 330–335, Mar. 1999. [10] R. Lech and J. Mazur, “Tunable waveguide filter with bow-tie metallic posts,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 151, no. 2, pp. 156–160, Apr. 2004.

X

2123

[11] R. Coccioli, A. Morini, G. Pelosi, and T. Rozzi, “Design of tolerancecorrected filters employing half-cylinder posts,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 116–118, Jan. 1998. [12] S. Amari, S. Catreux, R. Vahldieck, and J. Bornemann, “Analysis of ridged circular waveguides by the coupled-integral-equations technique,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 479–493, May 1998. [13] R. Lech, M. Polewski, and J. Mazur, “Scattering in junction by posts consisting of a segment of conducting cylinder,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 998–1002, Mar. 2003. [14] QuickWave 3D. QWED, Warsaw, Poland, 2006. [Online]. Available: http://www.qwed.com.pl [15] M. Abramovitz and I. Stegun, Handbook of Mathematical Functions. New York: Dover, 1970. [16] M. Polewski, R. Lech, and J. Mazur, “Rigorous modal analysis of structures containing inhomogeneous dielectric cylinders,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1508–1516, May 2004. [17] J. A. Pereda, L. A. Vielva, A. Vegas, and A. Prieto, “Computation of resonant frequencies and quality factors of open dielectric resonators by a combination of the finite-difference time-domain (FDTD) and Prony’s methods,” IEEE Microw. Wireless Compon. Lett., vol. 2, no. 11, pp. 431–433, Nov. 1992. Rafal Lech was born in Elblag, Poland, in 1977. He received the M.Sc.E.E. and Ph.D. degrees (with honors) from the Gdansk University of Technology (GUT), Gdansk, Poland, in 2001 and 2007, respectively. He is currently with the Faculty of Electronics, Telecommunications and Informatics, GUT. His main research interests are electromagnetic-wave scattering, numerical methods, filter design, complex materials, metamaterial applications at microwave frequencies, and electromagnetic analysis of periodic structures. Dr. Lech was a recipient of a 2007 Domestic Grant for Young Scientists Award funded by the Foundation of Polish Science.

Jerzy Mazur was born in Brno, Czech Republic, in 1946. He received the M.Sc.E.E., Ph.D., and D.Sc. degrees from the Gdansk University of Technology (GUT), Gdansk, Poland, in 1968, 1976, and 1983, respectively. He is currently a Full Professor with GUT. Since 1992, he has also been a consultant with the Telecommunication Research Institute, Gdansk, Poland. His research interests concern electromagnetic field theory and integrated circuits for microwave and millimeter-wave applications.

2124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

A Calibration Approach for the Segmentation and Analysis of Microwave Circuits Marco Farina, Member, IEEE, Antonio Morini, Member, IEEE, and Tullio Rozzi, Life Member, IEEE

Abstract—This paper introduces a general method for the analysis of the individual blocks forming a complex circuit by measurements or simulations carried out on the whole. This is useful in those situations where the analysis of the single blocks is difficult. Paradoxically, the analysis of more complex structures, which contain individual elements, as subcircuits is, in some circumstances, easier to perform or may even be the only way to acquire information about the individual elements. Under certain conditions, the elements can be combined to obtain different circuits according to classic segmentation technique. Owing to its formulation, the method is applicable to both simulation and measurement problems. Index Terms—Calibration, circuit topology, electromagnetic analysis, electromagnetic measurements.

I. INTRODUCTION HE motivation behind this work was to find a method for effectively analyzing and optimizing complex antennas, usually arrays, involving beam-forming networks and radiating elements. This study led to something of more general interest, as will be discussed below. The aim was to separate the internal problem (often a waveguide or some type of closed/boxed structure) including the beam-forming network, from the external (open) problem, as the two types of structures are usually best approached by different techniques. In the literature, there are a great number of papers, some dating back to the late 1970s, on so-called “diakoptic” theories, generally aimed at subdividing an original circuit into simpler circuits, and addressing both antenna (see, e.g., [1] and [2]) and waveguide problems (e.g., [3] and [4]). In all cases, to the best of our knowledge, these studies involved specific electromagnetic algorithms in order to obtain the response of the parts into which the initial structure was divided. In this study, we introduce a different approach, exploiting a general calibration method to be used whenever a set of discrete ports can be introduced, even artificially. The real nature of the ports will have little or no role in the derivation. The calibration algorithm, in its mathematical formulation, can be considered a generalization of what we developed in [5], while its use in the current context is somewhat unconventional. In fact, a calibration process is usually an algorithm used to remove undesired

T

Manuscript received March 1, 2007; revised June 18, 2007. The authors are with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona 60131, Italy (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906513

effects, while in our case, it is used as an intermediate step in the segmentation of a given structure. The original structure is divided in substructures, artificially loaded by a set of elements, launchers, or transitions, defined so as to introduce a number of external ports. There is a strict correlation between the number of ports and the quality of the solution, as the number of ports determines how many modes are accounted for in the final model. Hence, special attention is devoted to develop a criterion for the selection of the number of ports. Thanks to the calibration algorithm, the effect of the launchers is removed, providing a set of generalized admittance matrices of the segments that the original structure is broken into (sub-circuits). The generalized admittance matrices may be combined at the circuit level in order to model the complete original structure, or used individually in order to evaluate the response of the subcircuits when boundary conditions are modified. The main feature of the proposed approach is that it works regardless of the method used to model the individual sub-circuits. In fact, it could even be used in an experimental framework, where the network parameters of the sub-circuits are measured quantities. In that case, it could provide the generalized scattering matrix of a waveguide circuit. This application is currently of primary relevance, whenever the behavior of a waveguide circuit has to be measured well above the frequency range for monomode propagation. To this end, a powerful approach is introduced, relating the unknown launcher parameters to directly measured quantities. It should be stressed that calibration problems may be considered a classic issue, which is now arousing renewed interest owing to the advent of recent simulation programs and new measurement techniques. Consequently, a rich literature, including recent papers, can be found on the subject. For example, in [6] and [7], a four-port calibration technique has been developed for “on-wafer” measurements using -matrices and a formalism similar to the one we used in [5] and in this study. In [6] and [7], a low-frequency approximation and some additional hypotheses (e.g., [7, eq. (23)] and [6, eq. (6)]) were used to derive the -matrix of the embedding network of an “on-wafer” measurement from a set of external measurements over known loads. In our study, developed in a completely different framework and for an arbitrary number of ports, the embedding circuit is only assumed linear, time-invariant, and reciprocal. The theory introduced in Section II is first tested in a circuit framework: the algorithm allows a perfect characterization of a “launcher,” i.e., a circuit satisfying a few basic properties, by means of the measurement of two groups of known loads (also

0018-9480/$25.00 © 2007 IEEE

FARINA et al.: CALIBRATION APPROACH FOR SEGMENTATION AND ANALYSIS OF MICROWAVE CIRCUITS

Fig. 1. Formulation of the problem. (a) DUT is divided in two (or more) coupled substructures in (b). Coupling is described by means of a set of “modal” ports. In (c), the two substructures are artificially loaded by a new structure, the “launcher” or “transition,” introducing an additional set of external ports. The loaded structures are the ones actually simulated or measured.

called standards). Also in Section II, we introduce a modified algorithm, relaxing the hypotheses about the launcher, but requiring an additional standard. The proposed approach is subsequently applied to two examples addressed by a standard method of moments (MoM). In particular, we used two commercial programs, EmSight (AWR, El Segundo, CA) and EM3DS (MEM Research, Pescara, Italy). However, it should be stressed once more that the theory is not related to a specific electromagnetic approach; as a matter of fact, the same expressions can be used in completely different frameworks such as in measurement problems and circuit theory. The first example is a genuine segmentation problem, antenna, fed through a slot in a where a printed microstrip ground plane, is analyzed by separating the slot and the patch. The second example is the simulation of a dual-band circularly polarized patch, whose radiation behavior is predicted by means of its response in a completely closed metal box. Finally, a simple empty waveguide is also analyzed in order to discuss the problems related to the selection of the proper number of internal ports, providing a quantitative analysis of the corresponding error.

2125

Note that in this case the term “mode” is used in quite an abstract sense. It could indicate actual modes of a waveguide, or simply connecting paths in a circuit, or possibly just a mathematical artifact suitably discretizing the field at the plane of the cut, namely, a projection of the field on a discrete set of appropriate orthogonal functions. The latter gives origin to a particular representation of the circuit, often called the “Hilbert circuit” [8], stressing that the set of functions is orthogonal in a Hilbert space, and that the discretization of the field produces a uniformly converging series.1 For our purposes, it is sufficient to consider them as a set of ports connecting the two substructures, which are not externally accessible. The challenge will consist in evaluating the network parameters of the sub-structures from a set of measures, only performed at the physically accessible ports. In order to be able to simulate or measure the substructures (in our example, two), we need to complete them by means of a set of external ports. The additional structure connecting external ports to the internal ports is the “launcher” or “transition.” The transition is basically a reciprocal -port device. If the launcher is fully characterized, its effect can be removed (calibrated) at a network level from the network parameters describing the two loaded substructures of Fig. 1(c). Once this calibration is performed, the original DUT is recovered by connecting the two substructures, as in Fig. 1(b). Whenever the internal ports represent actual modes, such as for a structure enclosed in a waveguide, or a projection of the electromagnetic field over a given basis, it is possible to model the response of the substructures in free space by suitably terminating the now accessible modal ports. A possibility is to exploit a common expedient used in MoM solvers developed for boxed structures (AWR’s EmSight, Sonnet’s em, MEM Research’s EM3DS, etc.), where radiation effects are modeled by terminating the box modes on the free-space impedance. As well explained in Sonnet’s User Guide, using a large box and terminating the box modes on the free-space impedance provides an excellent approximation of the radiation conditions in as far as there is no significant surface wave contribution. Following this line of reasoning, we need to derive the generalized admittance matrix of the transition. The term “generalized” is meant to remember that half of the ports are physical, while the remaining are “modal” ports, whatever modal means. the matrix of the launcher. Let us indicate by matrices We can partition it in four (1)

II. THEORY Fig. 1 describes how the problem can be formulated: a device-under-test (DUT) in (a) has a given number of physically accessible ports, namely, ports that can be accessed through simulation or measurement. In the following, we will label such ports as “external ports.” We want to divide the DUT in two or more substructures at arbitrary planes. Of course, the substructures are mutually coupled, as described in Fig. 1(b). The coupling field may be described by means of a finite number of “modes,” say, .

where we have conventionally indicated by the left physically accessible ports, while by , the right modal ports. Clearly, in (1), we have exploited the reciprocity hypothesis. Moreover, and are individually symmetric owing to reciprocity, matrices. By connecting the launcher into two “standard” configurations, we will be able to retrieve all the four blocks. Standards 1If this is the case, the series can be safely truncated somewhere and the number of ports is finite.

2126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

By eliminating

between (4) and (5), we obtain

(6) Let us define a new matrix, i.e., , representing the quantity in the square brackets, so that (6) may be synthetically rewritten as (7) Fig. 2. Symmetric (“mirror”) standard.

is symmetric, being a linear combination of Note that powers of symmetric matrices (all matrices appearing in the are symmetric, and by virtue of recidefinition of blocks by virtue of symmetry). By definition, procity, and too is symmetric (actually diagonal) so that we can rewrite (7) as (8) A possible solution of (8) is (9)

Fig. 3. “Loaded” standard.

are selected with a view to leave accessible only physically defined ports. In particular, the first standard is the “mirror” (symmetric) configuration, as described in Fig. 2. the resulting -matrix of Let us indicate by this standard. Owing to its symmetry, it can be partitioned as

Actually it is easy to show that there are infinite possible solutions. In fact, let us consider a matrix system of the kind of (8) as follows: (10) We can always write (11)

(2) Following the same symmetry arguments used in [5], by applying a set of antisymmetric voltage excitations (odd), the internal ports are grounded and one finds (3) The latter univocally identifies all relationships between physically accessible ports of the launcher. By applying a set of symmetric (even) voltages, the internal ports are open, and following the same steps of [5] (for the sake of brevity, not repeated here), we obtain a general relationship between the remaining blocks of the generalized admittance matrix of the launcher (4) The expression above is thus a multiport (matrix) generalization of the one introduced in [5]. In order to evaluate the three remaining blocks, we need at least an additional standard. Let us terminate the modal ports of the launcher on a set of known , loads, namely, by closing on a known diagonal matrix as shown in Fig. 3. This will be the “loaded” standard. Let us indicate the resulting matrix by . By evaluating the input admittance matrix in terms of the building blocks, one obtains a further relationship (5)

where indicates the identity matrix and is an arbitrary matrix. However, if is the eigenvector matrix of a symmetric matrix, the identity (12) holds. Hence, we are left with the conclusion that if (13) is a solution of (10), any other matrix of the form (14) is also a solution. Basically, this means that (9) provides an error network reproducing exactly the two standards when properly connected, but that could not be used for our calibration purposes. Actually we can overcome this limitation without additional measurements, by invoking two additional hypotheses, of practical relevance. In particular, we can restrict the load selection by using equal terminating loads—say, G—in the “loaded” standard: basically the load matrix reduces to (15) symmetric, and In this case, according to (9), being just a scalar, too must be symmetric, namely, (16)

FARINA et al.: CALIBRATION APPROACH FOR SEGMENTATION AND ANALYSIS OF MICROWAVE CIRCUITS

If this is not the case, our selection of the standard makes it impossible to observe the internal structure of the launcher, while, if it is the case, solution (9) of (8) becomes unique (we have only a sign indetermination that can be removed by requiring the circuit to be physically realizable). Basically condition (16) provides a hypothesis, having a well-defined physical meaning, about the relationship between internal ports and external ports. It turns out that hypothesis (16) is reasonably satisfied by most possible “launchers” in microwave circuits. This will be clarified in Section III at circuit level. is found from (9), is evaluated by means of Once (4), and the full generalized admittance matrix of the launcher is known. Its effect can be finally removed from the substructures of Fig. 1(c) by cascading the admittance matrices of the loaded structures with a correction -matrix. The correction matrix is simply obtained from by exchanging L and R ports, and exchanging the sign of all elements, as discussed in [5]. Results obtained thus far are the ones that will be used in Section III for segmenting a microwave circuit, and would be sufficient for our purposes. However, in Section I, we mentioned a possible use of the algorithm in measurement problems, where termination (15) could be impractical, and hypothesis (16) could be too restrictive. Hence, for the sake of completeness, in the following, we are going to derive some interesting results for a completely general case, where only reciprocity, as well as linearity and time invariance, are assumed. The final algorithm—that we will label, for convenience, as “Algorithm 2”—is intriguing since, by suitably to the known terminarearranging the expressions relating tion loads, one obtains some noteworthy results. Moreover, a byproduct of such an algorithm is a powerful quantitative criterion to estimate the precision of the reconstructed launcher response. Let us define by a generic diagonal admittance matrix to be to be used as the admittance used as the load, and matrix measured at the external ports under such a loading condition; the relationship

2127

the measured matrix is (21) It is worth noting that if we have simulated or measured the , matrices and are easily resymmetric standard covered by symmetry arguments, namely,

(22) Now, two additional loading conditions are needed. Let us define them as for . Via standard algebra, we find (23) and (24) By combining (23) and (24) and defining the quantity (25) we obtain (26) and (27) Note that are known measured matrices, while are (also known) diagonal matrices corresponding to the loads chosen as is obtained , we can easily recover standards. Once by (21) (28) Coming back to the solution of (26), we note that it is of the form

(17)

(29)

holds. Now, let us separately consider the special cases of termination by a short circuit and by an open circuit. In the steps that brought us to (9), the two special cases were, in fact, included in the measurement of the symmetric standard, but for the forthcoming manipulation, it will be simpler to handle them separately. Hence, if we consider the “short” case

is simply the matrix of the eigenvectors of the meaand sured matrix , with being the corresponding eigenvalues. We have the following two additional problems to solve. • When computing the eigenvectors of , we need a criterion to sort them out and get the columns of in the correct order. • An eigenvector matrix right multiplied by a diagonal matrix is still an eigenvector matrix, thus the result would seem not yet be determined. For what concerns the first problem, we just have to consider that , which is a known quantity that we have selected (being derived from the load matrices) is, at the same time, the eigenvalue matrix of . Hence, we have only to sort the eigenvectors of in such a way that the corresponding eigenvalue matrix is equal to . If eigenvectors are degenerate, namely, they share common eigenvalues, proper sorting is not possible: actually, there are also some difficulties in identifying the correct eigenvector. However, eigenvalues, as stressed above, are related to our loads, that

(18) ( being an index from 1 to the external ports a matrix

), correspondingly we measure at

(19) while, if we consider the “open” case (20)

2128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

have to be all distinct—an opposite requirement with respect to (15). Incidentally, if we select two shifted short circuits in an empty rectangular waveguide as additional standards, and degenerate modes have to be considered (TE and TM, e.g., if we and above cutoff or otherwise accessible), the have ) are corresponding eigenvalues (the diagonal elements of identical, and we cannot use Algorithm 2—at least in the current form. In such a case, the solution is simply to change one of the standards, e.g., adopting a resistive standard: a simple “absorbing” condition in a commercial program will do the job. The second issue is that we still have an indetermination due to a possible diagonal matrix, say, , right-multiplying our eigenvector matrix. Nevertheless, we can univocally identify by exploiting (27). In fact, let us suppose that we have evaluated and obtained a matrix, which is not the the eigenvectors of , but multiplied by the normalization “correct” one, matrix , namely, (30) Correspondingly, the block

has the expression (31)

On the other hand, if we rewrite (17) in terms of the (possibly wrong) matrices (30) and (31), we obtain the equation

(32) The latter is only verified when has been chosen correctly. Remembering that and are both diagonal, one realizes that (32) is satisfied if and only if (33) Once the normalization matrix has been calculated, we can eliminate the indetermination discussed and to identify the and by (30) and (31). blocks In conclusion, the only residual uncertainty concerns the sign that can be eliminated by ensuring that the final matrix represents a realizable circuit. Hence, Algorithm 2 can be summarized in the following steps. Step 1) Evaluate standards (symmetric, and two additional standards, e.g., a shifted short and termination over are thus the known resistive loads): matrices admittances seen at the physical ports when the launcher is terminated by the known loads . and evaluate its eigenvectors and eigenStep 2) Build values. Step 3) Sort eigenvectors so that eigenvalues appears in : the same order as the diagonal elements of , namely, sorted eigenvectors are columns of one of the blocks to be evaluated, still to be normalized. from according to (28) and then Step 4) Evaluate the normalization matrix from (33). Hence, apply to obtain and according to (30) and (31).

Fig. 4. Circuit test in Microwave Office (AWR): evaluating the response of the above circuit from its response when connected “mirror-wise” (as in Fig. 2) and when terminating “internal” ports (in the example, 4–6) on a set of 377-

resistors.

Step 3) above gives a strong criterion to ascertain whether the algorithm is going to provide trustworthy results or not: if there is a significant difference between the elements of the diagonal and the eigenvalues of matrix , some port or matrix relevant mode is missing. As a matter of fact, no analytic example coming from circuit analysis violates this identity, whereas when considering electromagnetic-based cases, a significant departure from it provides indication that some accessible mode is being neglected, and that more modes—hence, additional ports—are needed. This fact will be shown in Section III. Algorithm 1, described in the first part of this section, is numerically more stable, requiring a reduced number of standards and not suffering from possible errors coming from a wrong sorting in Step 3). However Step 3) in Algorithm 2 gives insight about the possible leak of information about physical quantities due to an incorrect selection of the number of ports, namely, modes. Hence, in the electromagnetic-based cases, both algorithms can be exploited at the same time, possibly calibrating by the first one while checking the quality of the launcher by Algorithm 2. III. RESULTS AND DISCUSSION As a preliminary step, we test the method over a set of circuit examples in order to avail “analytic” knowledge of the true response. These examples also allow checking the numerical robustness of the method; this may, in fact, be a relevant parameter as the calculation of square root matrices in (9) involves diagonalization of complex matrices. Fig. 4 shows an example: an almost arbitrary circuit (coupled transmission lines and some lumped elements) mimics a “launcher.”

FARINA et al.: CALIBRATION APPROACH FOR SEGMENTATION AND ANALYSIS OF MICROWAVE CIRCUITS

2129

Fig. 5. Results of the circuit example: comparison between the known response of the launcher and the one recovered by means of the calibration algorithm.

H

DUT

H

DUT

), according to scheme Fig. 7. Lower subdivision of the antenna ( in Fig. 1(c). The substructure is composed by the lower part of the DUT loaded by a 12-port microstrip-to-waveguide transition. Ports are numbered.

Fig. 6.

H antenna.

The purpose of the test is to verify whether, by exploiting the proposed algorithm and considering ports 4 to 6 as “internal,” we are able to obtain univocally the circuit response. To this aim, we analyze the “mirror” standard, and the “loaded” standard, where internal ports are terminated on 377- loads, i.e., we use Algorithm 1. Fig. 5 shows a comparison for a pair of admittances coupling internal nodes, highlighting a perfect agreement between the actual and recovered responses. The same type of agreement is obtained for all parameters and using Algorithm 2. One should consider that if, for example, in the circuit of Fig. 4, we add a capacitor connecting ports 4 (internal) and 2 (external), hypothesis (16) is no longer valid, and Algorithm 1 [see (9)] produces incorrect results. In this case, one should switch to the general Algorithm 2, summarized in [see (27)–(31)], requiring an additional standard. Tests (not reported for brevity) showed the correctness of the above statement. Physically, the launchers to be used in microwave problems will hardly violate condition (16), as in most cases, the launcher involves uniformly coupled or uncoupled modes with some lumped or nearly lumped discontinuity at one or both sides of the transition. The next example is a genuine “diakoptic” problem, in the sense discussed in Section I. We want to model the antenna shown in Fig. 6, namely, an -shaped microstrip antenna, fed through a slot by an open-ended microstrip line, described in detail in [10]. In all simulations, performed by both EmSight and EM3DS, the structure is enclosed by a rectangular waveguide

Fig. 8. Upper subdivision of the ) according to scheme antenna ( of Fig. 1(c). The substructure is composed by the upper part of the DUT loaded by a 12-port microstrip-to-waveguide transition. Ports are numbered.

(not shown), whose upper and lower plates are replaced by absorbing boundaries. In our example, we will separately model the -shaped microstrip, loaded by a group of microstrip lines (microstrip-towaveguide transition, or launcher), and the lower microstrip (including the slotted ground plane), loaded by the same transitions, as shown in Figs. 8 and 7, respectively. In this case, in fact, the two substructures are not simpler than the initial one, but the examples discussed here are developed in order to validate the method, also showing limitations and critical points, and how the latter can be approached. Generally speaking, the launcher is any possible structure defining an appropriate number of external ports: in EmSight,

2130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 10. Loaded standard for the structures in Figs. 7 and 8.

Fig. 9. Symmetric or double standard for the structures in Figs. 7 and 8.

EM3DS, and Sonnet’s em ports are so-called “delta-gap” excitations, namely, pulse-shaped electric field applied between a conductor and the metallic enclosure. A grid of microstrips with attached delta-gap ports, as shown in Figs. 7 and 8, may work as well as just short lengths of microstrip, as used later in this section. In some cases, e.g., when the algorithm is used for calibration in waveguide multimode measurements, where the attention is focused on a few (most often just two, i.e., the fundamental and first high order) specific modes, it might be useful to select transitions with a definite symmetry to avoid unnecessary excitation of additional modes. Coming back to the example, the segmentation plane is in the middle of the upper microstrip substrate (which is 1.27-mm ). thick, The launcher thus consists of a grid of microstrips fed by 12 delta-gap ports, and a rectangular waveguide (cross section 12 8.5 mm ). The microstrips are suspended over a ground plane (in air, distance is 3 mm from the ground), while the waveguide section between the grid and substructures is 12.7-mm ). long, loaded by dielectric (same as substrate, The “doubled” standard is shown in Fig. 9, while Fig. 10 shows the loaded standard. In our particular example, we have exploited the “approx. open” option in EmSight (the same option is available in EM3DS and in Sonnet’s em). When selecting this option, the program terminates all waveguide modes on the free-space impedance (377 ) at the absorbing boundary. By simulating the two standards and by exploiting the formulas discussed in Section II, we have obtained the -matrix of the launcher, a 24-port network, linking the 12 external ports to the 12 internal ones. As a simple preliminary test to assess the correctness of the implementation of the algorithm , we have used the admittance matrices to obtain the results of electromagnetic simulations in some limiting cases. For example, by connecting the modal ports to ground, we ought to be able to predict the result of the electromagnetic sim-

Fig. 11. Preliminary numerical test. Comparison between electromagnetic simulation of the launcher terminated on a perfectly conducting plane and data obtained by shorting to ground the modal ports of the recovered generalized admittance matrix. All results are indistinguishable.

ulation when, in the structure of Fig. 10, we replace the absorbing boundary by a perfect conducting plane. In fact, as shown by the results reported in Fig. 11, this is the is reported, as in case: comparison for just one parameter all cases, results obtained by electromagnetic analysis and by

FARINA et al.: CALIBRATION APPROACH FOR SEGMENTATION AND ANALYSIS OF MICROWAVE CIRCUITS

DUT

2131

DUT

Fig. 12. Connection between and and the correction networks in order to recover the original complete antenna.

shorting the internal ports of the calculated admittance matrices are indistinguishable. Other simple tests have included the -matrices with the modal ports left open, which have perfectly predicted the electromagnetic response when the structure is terminated on a magnetic wall (not reported) or terminated on 377 . In all cases, results are not affected by visible errors. It should be noted, however, that these results could not be considered as a sufficient test to assess the quality of the calibration, e.g., in order to test if the number of internal ports is sufficient, as the short and open terminations were implicit in the symmetric standard. Now we can calibrate out the effect of the launcher from the simulation of the two substructures; to this aim, the correction network is evaluated by exchanging left and right ports, and by exchanging the sign of the -parameters. They are connected as shown in Fig. 12. Basically the correction networks, by calibrating the effect of the transitions, make the internal ports accessible. The internal ports are then connected together in order to recover the initial complete structure of Fig. 6. Results are reported in Fig. 13 for the real and imaginary parts of the input impedance, and compared to the electromagnetic simulation of the entire structure. Results are quite good, showing that the calibration process is successful. The quality of the solution depends on the number of modes, namely, of the internal ports, defined in the process. In our example, we have used 12 ports, i.e., 12 modes, in quite an arbitrary way. Now we have the following two basic issues. 1) When selecting a launcher, we decide the number of ports—hence, modes- and the reference plane (namely, in our case, the “length” of the waveguide hosting the launcher, i.e., the distance between the excitation grid and segmentation plane in Figs. 7 and 8). However, the number of accessible waveguide modes—possibly even below cutoff—at the reference plane might be larger than the number of external delta-gap ports. In this case, which depends on both the frequency considered and the distance of the reference plane, the launcher is not characterized correctly and both algorithms in Section II would give poor results 2) How to decide how many modes will be necessary to calibrate or segment a given structure. Issue 1) has a simple and elegant solution, as anticipated at the end of Section II. In fact, if one detects a significant differand the ence between the elements of the diagonal matrix

Fig. 13. Comparison between the input impedance of the simulated complete antenna, and the one recovered by means of circuit 12.

H

eigenvalues of matrix when performing Step 3) of Algorithm 2, some port or relevant mode is missing. Hence, one has to add some more ports or shift the reference plane. A simple analytical example, with a smaller number of modes, will clarify the point. We have considered a launcher with two modes in an empty waveguide of cross section 22.48 25.4 cm . The launcher is defined by two strips with attached delta-gap ports, and a distance between strips and reference plane of 20 cm. The strips are placed 2 cm away from a back ground plane. The analysis is performed from 0.7 to 1 GHz with a step of 0.02 GHz. Note that, from 0.7 and to 0.89 GHz, only two modes are above cutoff ( ), while above 0.89, two degenerate modes, i.e., and , go above cutoff. In this case, the problem is to determine the -parameters of the launcher from standard measurements and to check their precision. As standards, we have used in Algorithm 2 a mirror standard, a shorted waveguide (the shorting ground at 60 cm from the reference plane) and an absorbing plane (377 ) coincident with the reference plane. The quality of the reconstructed generalized admittance matrix is tested by attempting to simulate a section of empty waveguide (40-cm long) excited and terminated by one of the aforementioned launchers, as shown in Fig. 14, and by comparing the full-wave analysis of such a structure with the equivalent-circuit schematic of Fig. 15.

2132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 14. Section of empty waveguide (40 cm) excited and terminated by a launcher with two modes.

Fig. 16. Comparison between the full electromagnetic analysis of the structure in Fig. 14 and the response of the circuit in Fig. 15, involving the launcher as reconstructed by means of Algorithm 1 and Algorithm 2. Data represent the phase of S . A difference becomes apparent slightly before two additional modes go above cutoff—at 0.89 GHz—while information is nearly totally lost above 0.92 GHz.

Fig. 15. Equivalent circuit of the structure obtained by cascading launcher/section of waveguide (two modes)/launcher.

This comparison provides a direct measurement of the quality of the subcircuit “launcher,” as the behavior of the empty waveguide is analytically known. Incidentally, note that, in this case, the launcher is not a “grid” of strips in a waveguide, but a grid would give basically the same results. Hence, in electromagnetic computations, one should prefer the simpler structure for the software being used. Fig. 16 compares the full electromagnetic analysis of the structure of Fig. 14 and the response of the circuit in Fig. 15, involving the launcher as reconstructed both by means of .A Algorithm 1 and Algorithm 2. Data show the phase of difference appears slightly before the two additional modes go above cutoff, while results are really poor above 0.92 GHz. One could have expected this range of reliability by comparing the evaluated eigenvalues with the expected ones, as in Fig. 17. Here some discrepancy—not visually appreciable in this parameter—already appears at 0.86 GHz, where the higher order modes are not yet above cutoff, but are still to some extent accessible at the reference plane. The discrepancy becomes very large above 0.92 GHz.

Fig. 17. Comparison between the elements of the diagonal matrix   (“expected eigenvalues”) and the eigenvalues of matrix   (“calculated eigenvalues”). Difference becomes visually evident at 0.92 GHz (steps of 0.02 GHz).

Hence, eigenvalues are a reliable index of quality for launcher reconstruction. Note that, if for example we had defined a large number of external ports, while the number of accessible modes at the reference plane was smaller than that, we would have obtained once more poor results in the eigenvalue determination, owing to the exponential decaying of below-cutoff modes. Incidentally, one additional note refers to a difference in using Algorithm 1 and Algorithm 2. In the first case, we were forced to use an absorbing boundary since we needed to have all internal ports terminated by the same impedance, while in the second case, we had the opposite requirement, namely, to have a combination of terminations univocally identifying each single port. Hence, Algorithm 2 will provide internal ports in the order defined by the eigenvalue order, while the order of internal ports from Algorithm 1 may be different.

FARINA et al.: CALIBRATION APPROACH FOR SEGMENTATION AND ANALYSIS OF MICROWAVE CIRCUITS

2133

Fig. 18. Dual-band patch antenna [7] loaded by a four-mode launcher. The structure is enclosed in a perfectly conducting box (upper and lower planes are also ground).

Issue 2), namely, how many modes are needed to work on a given structure, is completely independent, and somehow more complex. Thus far, we have been able to say anything about the launcher and its modes. Hence, we have a criterion to say if a launcher is correctly defined, and whether the number of external ports is coherent with the number of internal ports or not, but the number of ports to be used in the segmentation depends on the structure to be segmented. In a measurement framework, where the algorithms we have introduced are used as calibration routines, this is not an actual problem, inasmuch as the number of modes is a priori selected according to the DUT. For example, in a typical low-pass waveguide filter measurement and for standard waveguide sizes, one is usually worried by the possible effect of mode possibly going above cutoff in the suppressed band. In segmentation problems, such as the ones described here, the only strategy is a convergence test; if the eigenvalues are checked for each new launcher while increasing the number of ports, the problem is well defined, and we would likely expect convergence. The alternative would involve the calculation of the fields at the “internal port” section (such as what is proposed in [11] for a calibration problem) in order to check by means of Parseval’s theorem how many modes would be needed to have a nearly complete representation of the field at that section. The problem would basically reduce to projecting the field over the waveguide modes, which is surely possible in a large class of electromagnetic solvers. However, this would weaken our philosophy of obtaining all information for external measurements. The next example is the modeling of the dual-band patch antenna that appeared in [12]. Fig. 18 shows antenna loaded with a launcher (four modes), the entire structure being completely boxed. The launcher is removed by the same calibration algorithm, allowing access to the internal modes of the structure. By terminating the four modes on 377- loads at circuit level, we are able to model the radiating structure. Fig. 19 shows the results

Fig. 19. Reflection coefficient: comparison between the full electromagnetic solution (with upper absorbing boundary), and the solution obtained by simulating the boxed structure—with a four-mode launcher—and recovering the solution with calibration of the launcher and terminating internal ports on 377 .

for this case, comparing the recovered response (circuit termination of the calibrated admittance matrix) with the full electromagnetic simulation. There are two spurious peaks in the recovered solution; such peaks are likely due to resonances of the boxed structure in Fig. 18, and can be mitigated by artificially adding some losses. We have purposely avoided adding losses, as—if large enough—they can directly mimic the radiation in the boxed structure and invalidate the example. One should note, however, that resonant structures do not require necessarily more modes: as matter of fact, resonant structures, being selective, could generally require a smaller number of modes. IV. CONCLUSIONS This study has introduced a general calibration algorithm for linear time-independent reciprocal -port networks, showing its possible application to microwave circuit problems. The approach is developed without reference to a specific electromagnetic approach and, as matter of fact, application to measurement problems could also be envisaged. Performance and limitations have been discussed, assessing the validity of the approach by circuit and electromagnetic simulations. REFERENCES [1] G. Goubau, N. Puri, and F. Schwering, “Diakoptic theory for multielement antennas,” IEEE Trans. Antennas Propag., vol. AP-30, no. 1, pp. 15–26, Jan. 1982. [2] C. M. Butler, “Diakoptic theory and moment method,” in Proc. IEEE AP-S Symp., Dallas, TX, May 1990, vol. 1, pp. 72–75. [3] M. Righi and W. J. R. Hoefer, “Efficient 3D-SCN-TLM diakoptics for waveguide components,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2381–2385, Dec. 1994. [4] M. Righi, M. Mongiardo, R. Sorrentino, and W. J. R. Hoefer, “Efficient TLM diakoptics for separable structures,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 1993, vol. 1, pp. 425–428. [5] M. Farina and T. Rozzi, “A short-open de-embedding technique for method of moments based electromagnetic analyses,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 624–628, Apr. 2000.

2134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[6] Q. Liang, J. D. Cressler, G. Niu, Y. Lu, G. Freeman, D. C. Ahlgren, R. M. Malladi, K. Newton, and D. L. Harame, “A simple four-port parasitic deembedding methodology for high-frequency scattering parameter and noise characterization of SiGe HBTs,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2165–2174, Nov. 2003. [7] L. F. Tiemeijer, R. J. Havens, A. B. M. Jansman, and Y. Bouttement, “Comparison of the ‘pad-open-short’ and ‘open-short-load’ deembedding techniques for accurate on-wafer RF characterization of highquality passives,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 723–729, Nov. 2005. [8] J. H. C. van Hueven and T. E. Rozzi, “The invariance properties of a multi-value -port network in a linear embedding,” IEEE Trans. Circuit Theory, vol. CT-23, no. 3, pp. 176–183, Mar. 1972. [9] T. E. Rozzi, “Hilbert space approach for the analysis of multimodal transmission lines and discontinuities,” in 1972 NAT0 Symposium on Network and Signal Theory, J. Scanlan and J. Skwirzynski, Eds. London, U.K.: Peregrinus, 1973. [10] J. S. Hong and M. J. Lancaster, “Microstrip -shaped antenna aperture-coupled to a microstrip feedline,” in Proc. 26th Eur. Conf., Prague, Czech Republic, Sep. 1996, pp. 284–287. [11] E. A. Soliman, G. A. E. Vandenbosch, E. Beyne, and R. P. Mertens, “Multimodal characterization of planar microwave structures,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 175–182, Jan. 2004. [12] S. H. Al-Charchafchi et al., “Experimental performance of an -band microstrip antenna,” Microw. J., vol. 41, no. 1, pp. 170–175, Jan. 1998. [13] E. A. Soliman, G. A. E. Vandenbosch, E. Byne, and R. P. Mertens, “Multimodal characterization of planar microwave structures,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 175–182, Jan. 2004. [14] S. H. Al Charchafchi et al., “Experimental performance of an -band microstrip antenna,” Microw. J., vol. 41, no. 1, pp. 170–175, Jan. 1998.

H

L L

Marco Farina (M’98) was born in Luzern, Switzerland, in 1966. He received the M. Eng. (summa cum laude) degree in electronics and Ph.D. degree from the University of Ancona, Ancona, Italy, in 1990 and 1995, respectively. From 1991 to 1992, he was Technical Officer with the Italian Army. Since 1992, he has been with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche (formerly the Department of Electronics and Automatics, University of Ancona), Ancona, Italy, where he is currently an Associate Professor. He is also a Consulting Engineer in electronics. He coauthored Advanced Electromagnetic Analysis of Passive and Active Planar Structures (IEE Press 1999). He developed the full-wave software package for 3-D structures EM3DS.

Antonio Morini (M’96) received the Laurea and Ph.D. degrees from Ancona University, Ancona, Italy, in 1987 and 1992, respectively. He is currently an Associate Professor of applied electromagnetism with the Università Politecnica delle Marche, Ancona, Italy. His research is mainly devoted to the modeling and design of passive microwave components, such as filters, and antennas.

Tullio Rozzi (M’66–SM’74–F’90–LM’07) received the Dottore degree in physics from the University of Pisa, Pisa, Italy, in 1965, the Ph.D. degree in electronic engineering from The University of Leeds, Leeds, U.K., in 1968, and the D.Sc. degree from the University of Bath, Bath, U.K., in 1987. From 1968 to 1978, he was a Research Scientist with the Philips Research Laboratories, Eindhoven, The Netherlands. In 1975, he spent one year with the Antenna Laboratory, University of Illinois at UrbanaChampaign. In 1978, he became the Chair of Electrical Engineering with the University of Liverpool. In 1981, he became the Chair of Electronics and Head of the Electronics Group, University of Bath, where he was also Head of the School of Electrical Engineering on an alternate three-year basis. Since 1988, he has been a Professor with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy, where he is also Head of the department. Dr. Rozzi was the recipient of the 1975 Microwave Prize presented by the IEEE Microwave Theory and Technique Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2135

Moments-Based Computation of Intermodulation Distortion of RF Circuits Dani Tannir, Student Member, IEEE, and Roni Khazaka, Member, IEEE

Abstract—Linearity is one of the main design requirements for RF circuits, and one of the main figures of merit used to measure linearity is the third-order intercept point (IP3). However, obtaining the IP3 using traditional harmonic balance simulation requires multitone inputs, which significantly increases the computational costs. On the other hand, analytical approaches based on obtaining closed-form expressions for Volterra functional series are too complex to be fully automated for arbitrary circuit topologies. In this paper, a method for the numerical evaluation of the Volterra kernels required for obtaining the IP3 is proposed. The proposed approach is applied directly to the harmonic balance equations and is thus independent of circuit topology and type of nonlinearity. Furthermore, it is shown that the computation cost of this approach is significantly less than a harmonic balance simulation. Index Terms—Harmonic balance, nonlinear distortion, steadystate simulation, third-order intercept point (IP3).

I. INTRODUCTION N RECENT years, consumer and industrial products based on wireless connectivity have become ubiquitous in our society. Cellular phones, smart phones, wireless internet access, RF identification (RFID), and wireless sensor networks are only a few examples. This has lead to the commoditization of this market segment and made reducing design and manufacturing costs an important priority. Furthermore, competitive pressures have significantly reduced the time window for releasing new products, and made the product life cycle much shorter. As a result, efficient design automation tools and design methodologies have become more important that ever before. However, the increased complexity of modern designs has stretched the limits of current computer aided design tools. In fact, the performance of a particular design is increasingly limited by the capabilities of the electronic design automation software rather than by what can be optimally achieved using a particular process technology. The efficient simulation of RF circuits for determining linearity and intermodulation distortion is one example of such bottlenecks and is the subject of this paper. One of the key design requirements of RF circuits is linearity [1], and the main figure of merit used by RF circuit designers to measure linearity and intermodulation distortion is the third-order intercept point (IP3) [2]. For a circuit with two and , which are close to each other, the input tones, i.e.,

I

Manuscript received June 19, 2007; revised June 29, 2007. D. Tannir is with the Microelectronics and Computer Systems Laboratory, McGill University, Montreal, QC, Canada H3A 2A7. R. Khazaka is with the Electrical and Computer Engineering Department, McGill University, Montreal, QC, Canada H3A 2A7 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.906480

. third-order intermodulation product manifests itself at The brute-force way to compute the IP3 is, therefore, to perform a steady-state simulation with two input tones and note the value of the third-order intermodulation product. This approach, however, leads to a significantly increased computational cost for a typical harmonic balance simulation [3], [4] due to the increased number of tones present. This is particularly an issue for mixer circuits, which would require three noncommensurate in addition to the local oscillator input input tones ( and ) and, thus, result in a very large number of harmonics and intermodulation terms [5]. An alternative approach for analyzing the linearity of a circuit and obtaining the IP3 is through the use of the Volterra functional series [6]. This approach requires a considerable amount of analytical manipulations in order to obtain closed-form expressions for the Volterra kernels, which can then be used to obtain the linearity figures of merit. The main advantage of this approach is that the closed-form expressions provide insight into the operation of the circuit. However, these closed-form expressions are circuit specific, and the process of obtaining them is difficult to automate for arbitrary circuit topologies [7]. In [8], a simulation-based approach, based on computing the moments of the harmonic balance equations, was proposed for obtaining the IP3 of mildly nonlinear circuits such as low-noise amplifiers. This method was later extended to circuits such as mixers, which have a strong nonlinearity outside the signal path [9]. In this paper, a general algorithm, which incorporates the methods of [8] and [9], is presented along with the details of their implementation, which were not included in the conference proceedings of [8] and [9] due to lack of space. Furthermore, the fundamental contribution in this paper is the derivation of the theoretical link between the proposed moment-based approach and the Volterra kernels. Finally, computational cost considerations based on the sparsity pattern of the matrices used are presented. The proposed method is thus shown to be equivalent to the numerical computation of the Volterra kernels directly from the harmonic balance equations and is, therefore, independent of the circuit topology and the type of nonlinearities present. Finally, the computation is done numerically around a given operating point with the input frequencies known, and the local oscillator power known, and thus produces very accurate results. The general steps of the proposed approach for computing the IP3 are as follows. First, the moments of the harmonic balance equations with respect to input RF power are computed. Second, the values of the relevant Volterra kernels are extracted from the appropriate locations in the moment vectors. Finally, the IP3 is obtained from the computed kernels. It is important to note that, although the algorithm for computing the moments

0018-9480/$25.00 © 2007 IEEE

2136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

is quite involved, it is systematic and can be easily automated. Furthermore, all the moments are solutions of systems of linear equations where the left-hand-side matrix remains the same, and is very sparse as compared to the harmonic balance Jacobian, which is both large and dense. In addition, although the proofs showing the link between the circuit moments and Volterra kernels require complex analytical manipulations, these proofs are only done once for the general harmonic balance equations, and the results presented here are thus general. From an implementation perspective, the portion of the proposed algorithm linking the moments to the Volterra kernels is trivial and requires a negligible computational expense. This paper is organized as follows. Section II outlines the formulation of a system. Section III highlights the brute-force approach for obtaining the IP3 through simulation, while Section IV outlines the method for obtaining the IP3 from series expansion. The proposed method is then presented in Section V. Numerical examples are shown in Section VI in order to illustrate the speedup and accuracy of the new method, followed by conclusions in Section VII. II. HARMONIC BALANCE FORMULATION Consider a nonlinear circuit excited by one or more input tones. The modified nodal analysis [10] circuit equations can be expressed in the time domain as (1) is the vector of unknown voltages and curwhere contains the circuit stamps of the linear memrents, contains the memory elements, oryless elements, is a vector of nonlinear functions, and contains the independent input sources. In these expressions, is the total number of variables in the circuit. The IP3 can be found by obtaining the steady-state solution due to a two-tone input excitation ( and ) and noting the intermodulation term at . This can be achieved using the harmonic balance approach by expressing the periodic solution as a truncated series of sine and cosine functions at the harmonics of the inputs, as well as the intermodulation products. This results in a set of nonlinear algebraic equations in the form [3] (2) is a vector of unknown cosine and sine cowhere , is the efficients for each of the variables in vector of the dc, cosine, and sine contributions of the indeis a block matrix representing pendent sources, the contribution of the linear memoryless elements, and is a block matrix representing the contribution of the linear memory elements at the frequencies of operation. The size of this system is typically very large due to the large number of harmonics and intermodulation products present in the case of multitone inputs. Furthermore, the Jacobian of (2) is typically dense. This leads to a large computational cost for obtaining the steady-state solution of such a system.

Fig. 1. Definition of the IIP3 and OIP3, respectively.

III. OBTAINING THE IP3 THROUGH SIMULATION To determine the value of the IP3 using brute force simulation, the steady-state solution for a circuit due to a two-tone input is obtained using the harmonic balance method, as described in Section II. Noting the input power , the output powers at the fundamental , and third-order intermodulation as a function product , and considering that the slope of is 1 on a decibel scale, and the slope of as a funcof tion of is 3 on a decibel scale, the graphs of and can be extrapolated as shown in Fig. 1 in order to obtain the input third-order intercept point (IIP3) and output third-order intercept point (OIP3). This results in the following relations for the IIP3 and OIP3 [5]: (3) (4) where

is the power gain of the circuit.

IV. OBTAINING THE IIP3 FROM SERIES EXPANSION Consider the following Taylor-series expansion of the input–output relationship of a memoryless nonlinear system: (5) For simplicity, we only consider the first four terms of the series in (5). Now consider an input voltage signal consisting of two sinusoidal input tones given by . Substituting this term into (5) and expanding using trigonometric identities, we obtain the frequency components shown in Table I. The IP3 is theoretically where the amplitude of the fundamental tone is the same as that of the intermodulation tones at and . To determine the value of the IIP3 voltage, we equate the linear part of the fundamental component to that at one of the third-order intermodulation tones. Assuming the amplitudes of the two input signals are the same such that , we obtain (6)

TANNIR AND KHAZAKA: MOMENTS-BASED COMPUTATION OF INTERMODULATION DISTORTION OF RF CIRCUITS

2137

value of the IP3 is computed from the system moments, which only require one LU decomposition of a sparse matrix. Here, we will define the system moments and present the method used to compute them, then relate these moments to the desired Volterra kernels.

TABLE I SUMMARY OF DISTORTION COMPONENTS [5]

A. Calculation of the Moments The system moments are essentially the derivatives of the unwith respect to the input RF voltage known solution vector signals [11]. To develop the algorithm for calculating the moments efficiently, it is useful to express (2) in the following format: (9)

which then simplifies to (7) The relation given by (7) is used to determine the value of the IIP3 voltage. To determine the OIP3 voltage, simply multiply this quantity by the voltage gain of the system. For systems that contain memory elements such as inductors and capacitors, the input output relationship given by (5) becomes a function of the Volterra kernels, where the th-order kernel is given by . In this case, the value of the IIP3 voltage becomes (8) For the case of mixer circuits, The input signal is defined as , with and being two input RF signals and being the local oscillator frequency. Substituting this expression into (5), truncating after , and expanding using trigonometric identities, we obtain a frequency components table from which we can determine the value of the IP3 for the case of mixer circuits. The general relation for computing the IP3 is given in Section V-E. The main difficulty of this approach is that, in order to obtain the Volterra kernels, complex analytical solutions of equations for each nonlinear element has to be performed [2]. In this paper, a method is presented where the values of the Volterra kernels are determined numerically in a way that can be automated. This leads to the ability to efficiently calculate the value of the IP3. V. PROPOSED METHOD In this paper, a new method for obtaining the IP3 is presented. Using the proposed approach, the required Volterra kernels are computed numerically from the moments of (2). It can, therefore, handle circuits with arbitrary complexity and nonlinearity. Furthermore, it is to be noted that the IP3 is, in fact, obtained without the need to compute the solution of (2), which requires a large computational cost due to the dense nature of the Jacobian and the number of Newton iterations required. Instead, the

In this expression, is the amplitude of the input signals and is a vector with the only nonzero entries being entries is of value “1” at the input radio frequencies of interest. a vector containing the contributions of the dc independent sources in addition to the local oscillator input when present. are then defined as the coefThe system moments ficients of the Taylor series of as a function of in

(10) is the th moment of the system. Once the moments where are determined, the distortion analysis parameters can be obtained. For the purpose of analyzing distortion efficiently, the moment vectors must be computed efficiently by the simulator. Next, a method is presented to show how this task is performed [12]. By substituting (10) into (9), the following expression is obtained:

(11) where

are the Taylor coefficients of (12)

To solve for the zeroth moment ,

, we set

in (11) to 0. Setting

(13) Note that (13) is a harmonic balance equation with only one input tone at the local oscillator frequency, if present, and can thus be solved very efficiently. To solve for the remaining mo), we equate the powers of alpha in (11). ments ( ; Equating the first power of , we obtain

(14)

2138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

By applying the chain rule to , and substituting this ex-

and are vectors, the term Since matrix of the form

in (18) will be a

pression into (14), we obtain (15) The first moment can now be obtained using one LU decomposition to solve (15). It is important to note that the matrix is simply the sparse Jacobian matrix, which is already computed when obtaining the initial solution. To obtain the remaining moments, we equate the th power of in (11) to obtain (16) To solve the system given in (16) efficiently for each value of , we must express in a different manner. Using the chain rule, we can express (17)

.. .

..

.

.. .

(22)

where each term is a block matrix in itself. To simplify the presentation of calculating these terms, we will only matrix shown in (22), i.e., consider one of the terms in the . If we represent as matrix and express it as Taylor-series expansion, we then have (23) where the Taylor coefficient tion corresponding to using

is entered in at the loca. The matrices are computed

where (18)

..

.

(24)

Substituting (10), (12), and (18) into (17), we obtain (19) , we take the th derivative of (19) and set To solve for as 0. We can then express

to

where to are the time sample points equally spaced over the fundamental period (note that frequency mapping and truncation methods [3] are used in order to handle quasi-periodic inputs efficiently using the fast Fourier transform), and is the inverse discrete Fourier transform matrix defined as (25), shown at the bottom of this page, with

(20)

(26)

(21)

. Note that the matrix vector multiplication and with can be done efficiently by taking advantage of the fast Fourier transform algorithm. It is also to be noted that the Jacobian is the same for all moments, as can be seen from (15) and (21). Furthermore, this Jacobian is very sparse. The only expressions that remain to be determined are the derivatives of the nonlinear functions shown in (24). This is shown in Section V-B.

substituting (20) into (16) and rearranging yields

This recursive relationship is used to calculate the remaining moments. The right-hand side of (21) is calculated using the values of the previous moments that have already been obtained in addition to the values of the partial derivatives of the nonlinear vector functions with respect to the solution vector . All that remains is to show how to obtain these terms.

B. Obtaining the Derivatives The derivatives of the nonlinear functions with respect to each variable in the solution vector must be determined in order to

.. .

.. .

.. .

..

.. .

.. .

.. .

..

.

.. .

.. .

.

.. .

.. .

(25)

TANNIR AND KHAZAKA: MOMENTS-BASED COMPUTATION OF INTERMODULATION DISTORTION OF RF CIRCUITS

2139

compute the system moments effectively. Once again, for simplicity of presentation, only one of the terms from (22), i.e., , is considered. The term is defined as

order to simplify the presentation, we first consider a memoryless system. The output variable is expressed as a power series of the input . The input output relationship can be written as

(27)

(37)

while noting the Taylor-series expansion of . The variable is also represented in Taylor series form [12] as

into (37), truncating Substituting after , and expanding using trigonometric identities, we obtain

(28) The coefficients ment vectors

are the time-domain versions of the mo. Substituting (27) into (24) gives ..

(29)

.

The best way to show how to obtain the terms is by taking an example. Consider the nonlinear diode current equation and its derivative, defined as

(38)

(30) (31) and It is also useful to express at this point, which essentially becomes

in terms of

From (38) and (10), the relationship between and the system moments can be deduced since the solution vector in (10) is essentially the output variable in (38). By equating the same powers of in these two equations and noting the frequencies, terms in the we are able to determine the location of the , which are located as given by moment vectors

(32) (33) (34) Now

applying

the

chain rule of and substituting (27), (34) into this expression [11] yields

(28),

and

(39)

(35) .. . By equating like powers of , this expression then simplifies to

Frequency

.. .

.. .

.. .

.. .

.. .

(36) Similar expressions can be derived for other analytic nonlinear functions [11], [12]. Now that we have obtained the moments, i.e., , of the system, we proceed to show how to extract the parameters necessary to determine the value of the IP3 from these vectors. C. Numerical Computation of Volterra Kernels for Determining the IIP3 for Amplifier Circuits Here, the relation between the circuit moments and the desired Volterra kernels is shown for general amplifier circuits. In

For example, if we consider the first power of , we can see that consists of at frequency and also another the vector at frequency . The relation (39) shows the first three moment vectors in addition to the zeroth moment vector with the entries at the sample frequencies of interest for the computation of the IP3 shown in bold [5]. In the case of systems with memory (i.e., containing energy storage elements such as capacitors and inductors), a fundamentally similar analysis can be performed. The additional complexity here comes from the fact that the output is now represented as a Volterra series rather than a power series. In this case, a relation between the system moments and the Volterra

2140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE II VOLTERRA KERNEL LOCATIONS IN MOMENT VECTORS

kernels, which is similar to (39), is shown in Table II. In order to derive these relationships, we first consider the system representation in terms of the Volterra series

for a single input function , and also the following expression for multiple input functions :

(40) where is the output of the system with being the is the th Volterra operator and is of the th order input. [7]. To determine the location of the kernels in the moment vectors, we substitute the expression for an input function with two tones of the same amplitude, similar to the case of memoryless systems, which is given by

(46) Using these relations to determine all the terms in (44) and by substituting into (40), then rearranging by grouping like powers of , the following input output relation is obtained:

(41) (42) (43) into (40). Truncating the resulting equations after , we obtain the following expressions for each Volterra operator:

(44) Each of the above kernels are then evaluated and expressed in the frequency domain using the expression

(45)

(47) The Volterra-series expression in (47) is similar to the expression shown in (38), which proves that the proposed method is essentially the numerical computation of the Volterra kernels evaluated at the frequencies of interest. In a similar fashion to memoryless systems, the location of the parameters to compute the value of the IP3 are the entries in bold found in the moment

TANNIR AND KHAZAKA: MOMENTS-BASED COMPUTATION OF INTERMODULATION DISTORTION OF RF CIRCUITS

vectors at the locations shown in Table II. For example, the first is of the form moment vector

(48)

.. .

.. .

2141

for the calculation of the IP3. By comparing (50) with (10), the terms in the system moment vectors is location of the determined. This is more clearly seen when the moments are represented in vector form by .. . .. .. .. .. .. . . . . .

.. .

Frequency

.. .

For the case of memoryless systems, the expressions for these kernels simplify to the terms and in (39).

.. .

.. .

.. .

.. .

Frequency

.. . (51)

D. Numerical Computation of Volterra Kernels for Determining the IIP3 in Mixer Circuits For the case of mixer circuits, we must consider the presence of an extra frequency component due to the local oscillator frequency. A similar computation to that shown in Section V-C is performed to obtain the relation for determining the location of the Volterra kernels in the moment vectors. First we consider the case of memoryless systems, where once again we express the input–output relation as

The relation in (51) shows the contents of the first four moments vectors at the fundamental and third-order intermod. ulation frequencies For mixer circuits that contain memory elements, we need to include up to the fourth-order Volterra operator in our derivation. We start by writing the Volterra-series representation of a nonlinear system with memory, which is given by (52)

(49) The input signal is now defined as with and being two input RFs and being the local oscillator frequency. Substituting this expression into (49), truncating after , and expanding using trigonometric identities, we obtain

To determine the location of the kernels in the moment vectors, we substitute the expression for an input function with two tones of the same amplitude and a local oscillator tone with a separate amplitude, which is given by (53)

(54) (55) into (52). The resulting expressions for each Volterra operator are too large to be stated in their entirety. Instead, only the expressions at the frequencies of interest are shown. At the fundamental frequency of , we have the terms

(56) while at the third-order intermodulation frequency of , we have the terms

(50) Due to the large number of harmonics present at the output, we have only shown the components at the frequencies of interest

(57) Each of the above kernels are then evaluated and expressed in the frequency domain using (46) to determine all the terms in (56) and (57). By substituting the resulting expressions into

2142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 3. Circuit diagram example 1.

TABLE III DISTORTION ANALYSIS OF EXAMPLE 1 USING TRADITIONAL APPROACH Fig. 2. Summary of the proposed algorithm.

(52), and then rearranging by grouping like powers of following input output relation is obtained:

, the

(58) The Volterra-series expression in (58) is similar to the expression shown in (50), which proves that the proposed method is essentially the numerical computation of the Volterra kernels. In a similar fashion to two-tone systems, the location of the parameters to compute the value of the IP3 are the entries in the corresponding locations in the moment vectors to by the appropriate those shown in (51), where we replace . E. Computation of the IIP3 From Volterra Kernels To determine the value of the IIP3, we use the relation developed in Section IV. The relations shown in (7) and (8) can be

Fig. 4. Output powers of the fundamental and the third-order intermodulation (IM3) tones of example 1.

generalized to obtain the following expression for the IIP3: (59) and represent the entries in The terms the first moment vector at the fundamental frequency, and in the third moment vector at the third-order intermodulation frequency, respectively. For memoryless systems, these and terms correspond to for regular two-tone excited circuits, while for mixer cirand cuits, we have

TANNIR AND KHAZAKA: MOMENTS-BASED COMPUTATION OF INTERMODULATION DISTORTION OF RF CIRCUITS

2143

Fig. 5. Output voltage spectrum for example 1. TABLE IV DISTORTION ANALYSIS OF EXAMPLE 1 USING PROPOSED METHOD Fig. 6. Sparsity pattern of harmonic balance Jacobian for the circuit in example 1.

. In the presence of memory elements such as capacitors and inductors, the entries in the moment vectors are equivalent to the Volterra and kernels. We, therefore, have for regular two-tone excited circuits, while for mixer circuits, we have and . A summary of the main steps of the algorithm can be found in Fig. 2. It is to be noted that the computation cost required for the above process for obtaining the IP3 is only one LU decomposition of a Jacobian matrix. Furthermore, the Jacobian used here is evaluated at either the dc operating point or with only the local oscillator tones present for the case of mixers, and it is, therefore, very sparse unlike a typical harmonic balance Jacobian matrix. In contrast, the brute force steady-state simulation-based approach requires the solution of a system of equations with a dense Jacobian at each Newton iteration, which requires a high computational cost. It is important to note that while the derivation of the relation between the moments and the Volterra kernels seems complex, the proposed approach is, in fact, very simple to apply. Essentially, the moment vectors are computed directly from the harmonic balance equations, and these moment vectors contain the numerical values of the kernels evaluated at the frequencies of interest for the computation of the IP3.

Fig. 7. Sparsity pattern of dc Jacobian for the circuit in example 1.

approach. The value of the IP3 obtained using the proposed method, which does not require a harmonic balance solution, is compared with that obtained using the brute-force method, which is based on multitone harmonic balance simulation. As was expected, the results were identical. Example 1

VI. EXAMPLES Here, numerical results of simulations performed on two example circuits are shown in order to illustrate the proposed

The first example considered is the cascode low-noise amplifier circuit shown in Fig. 3. This amplifier is biased using a dc current source in the base of transistor Q1, and also with

2144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 8. Active doubly balanced mixer (Gilbert cell) circuit diagram [9].

dc voltage biasing at the base of transistor Q2. The biasing circuitry and the matching networks to 50- source and load impedances are also shown in the diagram. The current source is implemented using a current mirror topology. This amplifier has a voltage gain of 14.8 dB. The distortion at the output was analyzed by computing the value of the IP3. Linearity was measured first using the brute-force approach by applying two 50-dBm input tones, with GHz and GHz, and performing a standard harmonic balance analysis. The results obtained are shown in Table III and Figs. 4 and 5. The measured IIP3 in this case was found to be 5.92 dBm, and the OIP3 was 8.89 dBm. This simulation was run with four harmonics, therefore, the size of the dense Jacobian, which had to be solved, was 984 984 due to four harmonics of the fundamental tones in addition to the diamond truncation tones. The distortion was then analyzed using the proposed approach by computing the moments of the system and extracting the Volterra kernels at the appropriate frequencies. The results obtained are summarized in Table IV. The resulting value of the IIP3 was found to also be 5.92 dBm. The error between the two approaches was less than 0.01%. The diagram in Fig. 6 shows the sparsity pattern of the harmonic balance Jacobian, while Fig. 7 shows the sparsity pattern of the dc Jacobian matrix used to find the moments. This significant reduction in the number of nonzero elements between the two matrices has resulted in a large reduction in computational cost. Example 2 The second example considered is an active doubly balanced (Gilbert Cell) up-conversion mixer with a local oscillator frequency of 1 GHz and input and output matching networks, as shown in Fig. 8 [9]. The power of the local oscillator signal is 16 dBm. In order to measure the linearity of the circuit, the brute-force method was first used by applying two 53.5-dBm MHz and tones at the RF signal input, with

Fig. 9. Output power of the fundamental and the third-order intermodulation (IM3) frequency tones of example 2 [9].

MHz, and performing a standard harmonic balance analysis. The results are shown in Figs. 9 and 10. The calculated IIP3 in this case was 13.77 dBm and the OIP3 was found to be 33.36 dBm. The distortion was then analyzed using the proposed approach by computing the moments of the system and extracting the Volterra kernels at the appropriate frequencies. The resulting values of the IIP3 and OIP3 were found to be 13.77 and 33.36 dBm, respectively. As can be seen, the results are consistent with the brute-force approach based on harmonic balance simulations. The error between the two methods was less than 0.01%. Since the Jacobian matrices are significant in size, to clearly illustrate the difference in sparsity between the Jacobian matrices used in the two approaches, the sparsity patterns of only parts of the matrices will be shown. The diagram in Fig. 11

TANNIR AND KHAZAKA: MOMENTS-BASED COMPUTATION OF INTERMODULATION DISTORTION OF RF CIRCUITS

2145

Fig. 10. Output voltage spectrum for mixer example [9].

Fig. 12. Sparsity pattern of part of the Jacobian used in computing the moments for the mixer circuit in example 2.

TABLE V COMPARISON OF COMPUTATION TIMES BETWEEN THE PROPOSED METHOD AND THE HARMONIC BALANCE SOLUTION

Fig. 11. Sparsity pattern of part of the harmonic balance Jacobian for mixer circuit in example 2.

shows the sparsity pattern of a part of the harmonic balance Jacobian, while Fig. 12 shows the sparsity pattern of the same corresponding part of the Jacobian matrix used to find the moments, which contains only the dc and the local oscillator tones. A. Computation Cost Comparison The data in Table V shows a comparison of the computation times and the speed-up between the proposed method and the harmonic balance solution obtained using a prototype MATLAB simulator. The speed-up over a harmonic balance simulation was 25.8 times for example 1 and 39.7 times for example 2. This speed-up is due to three main reasons. Firstly, the moments used in the proposed method are found by solving a linear equation without the need for any Newton iteration. Secondly, the left-hand-side matrix in (21) for finding the moments is the same

for all moments, while the harmonic balance Jacobian is different at each Newton iteration. Finally, the harmonic balance Jacobian is significantly more dense than the Jacobian used for solving for the moments, as was shown earlier. For example 1, 984 harmonic balance Jacobian contains 25 911 the 984 nonzero elements, while the 984 984 matrix for finding the moments contains only 3504 nonzeros. It is also important to note that the greater the number of nonlinear elements present in the system, the more significant the speed-up will become between the two approaches. VII. CONCLUSION In this paper, we have presented a new simulation method for measuring distortion at the output of a nonlinear system based on the calculation of the system moments. We demonstrate that by using this new simulation-based approach to compute the IP3 from the moments, it becomes significantly more efficient to analyze distortion in RF circuits. The proposed model is much more flexible than other existing methods and works for many types of systems. REFERENCES [1] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [2] P. Wambacq and W. Sansen, Distortion Analysis of Analog Integrated Circuits. Boston, MA: Kluwer, 1998.

2146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[3] K. S. Kundert, J. K. White, and A. Sangiovanni-Vincentelli, Steady-State Methods for Simulating Analog and Microwave Circuits. Boston, MA: Kluwer, 1990. [4] M. S. Nakhla and J. Vlach, “A piecewise harmonic-balance technique for determination of periodic response of nonlinear systems,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 2, pp. 85–91, Feb. 1976. [5] J. Rogers and C. Plett, Radio Frequency Integrated Circuit Design. Norwood, MA: Artech House, 2003. [6] S. Maas, Nonlinear Microwave and RF Circuits. Norwood, MA: Artech House, 2003. [7] R. Baki, C. Beainy, and M. N. El-Gamal, “Distortion analysis of high frequency log-domain filters using Volterra series,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 1, pp. 1–11, Jan. 2003. [8] D. Tannir and R. Khazaka, “Efficient nonlinear distortion analysis of RF circuits,” presented at the Design Automat. Test Eur., 2007. [9] D. Tannir and R. Khazaka, “Moments based computation of intermodulation distortion of mixer circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 95–98. [10] C. W. Ho, A. E. Ruehli, and P. A. Brennan, “The modified nodal approach to network analysis,” IEEE Trans. Circuits Syst., vol. CAS-22, no. 6, pp. 504–509, Jun. 1975. [11] R. Griffith and M. Nakhla, “A new high order absolutely stable explicit numerical integration algorithm for the time domain simulation of nonlinear circuits,” in Proc. ACM ICCAD, 1997, pp. 504–509. [12] R. Khazaka, “Projection based techniques for the simulation of RF circuits and high speed interconnects,” Ph.D. dissertation, Dept. Elect. Eng., Carleton Univ., Ottawa, ON, Canada, 2002. Dani Tannir (S’00) received the B.Eng degree (with distinction) in electrical engineering from the American University of Beirut, Beirut, Lebanon, in 2004, the M.Eng degree in electrical engineering from McGill University, Montreal, QC, Canada, in 2006, and is currently working toward the Ph.D. degree at McGill University He is currently with the Microelectronics and Computer Systems Laboratory, McGill University. His research interests include the development of algorithms and techniques for the efficient simulation of nonlinear distortion in microwave and RF circuits.

Mr. Tannir was named to the Dean’s Honor List for his masters thesis. He was the recipient of the McGill Engineering Doctoral Award (MEDA) scholarship for his doctoral studies. He was awarded an honorable mention in the Student Paper Contest of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT–S) International Microwave Symposium (IMS).

Roni Khazaka (S’92–M’03) received the Bachelor, Master, and Ph.D. degrees in electrical engineering from Carleton University, Ottawa, ON, Canada, in 1995, 1998 and 2002, respectively. Since 2002, he has been an Assistant Professor with the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada. He has authored over 50 journal and conference papers on the simulation of high-speed interconnects and RF circuits. His current research interests include electronic design automation, numerical algorithms and techniques, and the analysis and simulation of RF integrated circuits, high-speed interconnects, and optical networks. Dr. Khazaka has served on several IEEE committees. He was Montreal Section treasurer (2005/2006), Montreal Section student activities coordinator (2004), and IEEE Region 7 (Canada) student representative on the IEEE Student Activities Committee (1995–1998). He is a member of the Technical Program Committee of Signal Propagation on Interconnects Workshop since 2006, and is the computer-aided design (CAD) track co-chair of MWCAS/NEWCAS 2007. He has been the recipient of past awards and scholarships including the 2002 IEEE Microwave Theory and Techniques Society (IEEE MTT–S) Microwave Prize, The Natural Sciences and Engineering Research Council (NSERC) of Canada scholarships (at the masters and doctoral levels), Carleton University’s Senate Medal and University Medal in Engineering, the Nortel Networks Scholarship, and the IBM Cooperative Fellowship. He was also the recipient of the 2001 Japan Foundation Study Tour Award for outstanding students of the Japanese language and the Embassy of Japan Japanese Speech Contest in Ottawa, ON, Canada (1998).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2147

Modeling the Nonlinear Response of Multitones With Uncorrelated Phase Frank P. Hart, Member, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—Traditional simulation approaches for predicting the frequency-domain response of nonlinear devices to multiple tone excitation enforce correlation of the phases of the individual tones. This is the case with time-domain simulators and transform-based schemes such as Harmonic balance as the waveform must be single valued, thus enforcing correlation. Previous efforts in frequencydomain simulators using the arithmetic operator method (AOM) also produced results in good agreement with measurements for correlated-phase input signals. Here, the AOM is applied to directly determine the spectral response of nonlinear systems to excitation by multiple uncorrelated tones in a single simulation. Verification is provided using measurements of a nonlinear amplifier excited by 15 independent tones and comparison to the average of the ensemble of results from multiple correlated-phase simulations. Index Terms—Arithmetic operator method (AOM), computeraided analysis, multitone signals, nonlinear amplifiers, uncorrelated phase.

I. INTRODUCTION

O

NE OF the fundamental questions in RF and microwave simulation is whether or not the response of nonlinear systems to tones that are uncorrelated is correctly captured in simulation. Many multitone test systems also produce correlated tones through deliberate phase locking, indirect locking whereby the tones maintain close phase correlation during a measurement sample, or through digital synthesis. Uncorrelated tones more accurately describe real-world situations where the individual tones are often combined from sources that are not localized. A simulation scheme that explicitly or implicitly requires a time-domain representation enforces correlation of the tones. In the case of time-domain analysis in a transient circuit simulator, only relatively short intervals can be modeled in a reasonable time, i.e., it is not possible to perform a simulation for the time required to slowly dither the phases of the individual tones. In harmonic balance (HB) methods, the unknowns solved for are the amplitude and phases of individual phasors describing the states at the nodes of a nonlinear circuit partition that interface to a linear circuit partition. If the excitation tones are uncorrelated, then within a single simulation, the co-

Manuscript received April 16, 2007; revised July 5, 2007. This work was supported by the U.S. Army Research Laboratory and by the U.S. Army Research Office on Standoff Inverse Analysis and Manipulation of Electronic Systems under Grant W911NF-05-1-0337. The authors are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695-7914 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906462

herent summing of nonlinear tone interactions gives resulting vector sums that nearly vanish at some frequencies while expanding to large magnitudes at others due to coincidental phase alignment. Thus, for accurate results in the case of uncorrelated phase inputs, it is typical to perform a large number of simulations and randomly vary the initial phases of the excitation tones. The phasor responses from the ensemble of simulations are then averaged. Most of the literature concerning the nonlinear analysis of RF circuits deals with small numbers of necessarily correlated input tones. Typical commercial HB simulators limit the source stimulus to 12 tones [1]. Rizzoli et al. [2]–[4] used an uncorrelated time-domain stimulus to report results of HB simulations on a narrowband digitally modulated input signal comprising a sequence of 1024 uncorrelated bits at a baseband rate of 48.6 kb/s. In these reports, each simulation is completed in 1 h or less, and a large number of sampling locations are possible due to innovative simplifications in the numerical processing of the Newton iterates. In a later publication [5], a somewhat broader band wideband code division multiple access (W-CDMA) signal was processed through a spline-based behavioral model, which required 27 h to construct, but only 35 s to deploy in a simulation producing 160 000 output samples. The purpose of this paper is to introduce a nonlinear analysis scheme that models the response of a nonlinear system excited by multiple uncorrelated tones. The work here was motivated by the problem of simulating systems with very many tones, as in the cable-TV industry, where the tones, i.e., carrier frequencies, are not commensurably related [6]. The most recent successful modeling effort supported by measurements reported results based upon a power series model with a stimulus of only six carrier frequencies [7]. This study is a continuation of an effort to develop a theory of nonlinear circuit and system analysis that is entirely based in the frequency domain. When simulating solely in the frequency domain, it is possible to construct an environment that preserves all of the phasor content created at each order of nonlinearity. In turn, this facilitates the accurate computation of average power levels for uncorrelated inputs by squaring the magnitude of each phasor and performing a sum of the squares, i.e., by summing the power of individual phasors rather than finding the power of a vectorial sum of phasors. The analysis method described here is based on the arithmetic operator method (AOM), which performs nonlinear analysis entirely in the frequency domain. AOM has been used successfully within the microwave community for nearly two decades for frequency-domain analysis of nonlinear systems with correlated-phase stimulus signals. Many of the advantages inherent to AOM were first reported by Haywood and Chow [8], but of particular utility is the avoidance of repeated forward and inverse

0018-9480/$25.00 © 2007 IEEE

2148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fourier transforms inherent to HB methods and the ability to operate on arbitrarily spaced discrete input spectra. The first functioning circuit simulator based upon AOM was described by Chang et al. [9]; this environment was enhanced later to include transcendental function nonlinearities [10]. Another circuit simulator environment inspired by Chang’s study was developed shortly thereafter [11], and developments within that environment included multivariate rational polynomial modeling [12] culminating in a large-signal model for a pseudomorphic HEMT (pHEMT) [13]. A concurrent development by de Carvalho and Pedro [14] consciously sacrificed the ability to arbitrarily space the discrete input spectral content in favor of simplicity of construction of the simulation environment [15]. Nevertheless, notable results were produced for cases of a noise power input consisting of 11 tones [16] and a 32-tone input approximating a partial television transmission scheme [17]. In the case of the noise power test, however, multiple simulations were done to vary the phase of the 11 input tones; thus, the environment apparently enforces correlation. de Carvalho and Pedro later modified their environment to validate Volterra analysis techniques they developed for predicting the adjacent channel and co-channel intermodulation (IM) response to multitone inputs with correlated and uncorrelated phases [18]. Since their frequency indexing scheme does not enable the preservation of different nonlinearly created phasors that map to the same numerical frequency, they began with the assumption that all input spectral content was uncorrelated and simply superposed the power contributions of spectral content in their computations, thus ending with a valid power computation despite not preserving the phasor content. The environment used to produce the results presented in this paper differs from that of de Carvalho and Pedro in that it preserves nonlinearly created phasor content, thus it is well suited for handling both correlated and uncorrelated phase multitone input signals. II. COMPUTER-AIDED MODELING ENVIRONMENT The applicability of the AOM to model nonlinear systems depends upon two factors. First, the input to the system under consideration must be composed of discrete spectra. Second, the transfer function of the system under consideration must be reducible to a rational polynomial form with transcendental functions permitted, provided that their infinite series representation may be truncated. Although the second factor has been viewed in some quarters as an inherent limitation of the AOM, it should be noted that the numerical evaluation of transcendental functions in digital computers is accomplished via either limiting sums of Taylor series [19] or by iterative methods embedded in numeric coprocessing hardware [20]. Thus, the truncation of the evaluated transcendental function is hidden from the user of the numerical computing environment when methods other than the AOM are used. The AOM Toolbox is a new behavioral modeling implementation of the AOM that exploits MATLAB’s sparse matrix handling capabilities [21]. The modeling environment is implemented as a set of callable functions in the MATLAB toolbox form. A typical MATLAB script using the toolbox performs the following actions, which will be described in further detail in Sections II-A–E:

• define the input signal amplitude, phase, and frequency vectors; • call the function to create the basic IM product description (BIPD) table; • call the function to create the input spectral vector; • call the function to create the spectrum mapping table; • call the function to create the spectrum transform matrix; • call the function to evaluate the transfer function and produce the output spectral vector; • perform any further output data processing, e.g., plotting. A. Input Signal correspond to a time-domain input function comLet prised of a sum of sinusoids as defined similarly in [22] (1)

(2) where is the imaginary number and , , and are the amplitude, frequency, and phase offsets, respectively, of each is the phasor representation of signal component, and where . is also the the amplitude and phase, thus, . In the frequency domain, the Fourier complex conjugate of ) of the complex exponentials in are transform (denoted Dirac delta functions [23], thus,

(3) where the first Dirac delta term corresponds to the positive portion of the spectrum and the second term corresponds to the negis discrete, it can be ative portion of the spectrum. Since cast in the form of a vector , thus permitting the use of linear algebra computational techniques. For real-valued signals, it is possible to exploit the conjugate symmetry of the signal by using only the positive portion of the spectrum. Note also that may be conveniently dethe input frequency locations . scribed as a vector B. BIPD Table Given an input signal, as defined in Section II-A, and a transfer function with a maximum order of nonlinearity , the BIPD [9], [10] table uniquely identifies the location of all output spectral content in the form of a vector space of integer tuples denoting instances of input spectral content. In essence, the BIPD represents a further decomposition of the input domain, the numerical frequency domain, which then facilitates a similar decomposition of the output domain into phasor contents with a one-to-one relationship with the BIPD. Note that the construction of the BIPD table does not depend upon whether the transfer function has memory or not, but depends only upon its order of nonlinearity. For an input signal sinusoids, a distinct BIPD table entry will composed of . The table is then take the form of a row vector initialized to a identity matrix to account for the linear

HART AND STEER: MODELING NONLINEAR RESPONSE OF MULTITONES WITH UNCORRELATED PHASE

response , and construction of the table thereafter is a combinatorial process at each order of nonlinearity from to . Table entries for each order of nonlinearity are built by adding and subtracting upper circulant shifted versions of the identity matrix (through a total of shifts) to the BIPD table . In this process, negative frequency entries for order weightings (corresponding to subtractive IM) are permitted, but the resulting output frequency, given by the dot product , must be nonnegative, and the 1-norm of (defined as ), , must be equal to . For the case of , the number of output frequencies created at each order of nonlinearity, i.e., , is bound by the following relationship:

2149

TABLE I NUMBERS OF PHASORS AVERAGED AT ADJACENT-BAND IM FREQUENCIES

(4) where denotes the number of nonzero tuples in BIPD vectors. The total number of nonnegative output frequencies created, , is the sum over all plus 1 to account for dc, the 0 vector D. Spectrum Mapping Table and Spectrum Transform Matrix (5) Equation (4) is an application of the inclusion–exclusion principle [24] from combinatorics. In the case of estimating the number of unique BIPDs with nonnegative frequencies, the biappearing in (4) furnishes a gross nomial expression overestimate because the sign weighting factor assumes that each of tuples is nonzero. The other factors in (4) account for those overestimates. Note that while all BIPD table entries are unique, many of them may map to the same numerical frequency when input frequencies are commensurate (but uncorrelated). The ability of the AOM to discern the existence of equal-frequency spectral content with different BIPD vectors will be shown (see Table I) to be critical to its ability to predict the average power response of a nonlinearity to uncorrelated phase input tones.

C. Spectral Vector Since each entry in the BIPD table identifies unique output spectral content, there is a one-to-one correspondence between BIPD table entries and the output phasors. A vector constructed so that its phasor content has this one-to-one correspondence with the BIPD table is a spectral vector. When the input signal is cast using the same vector space definition as the output signal, it becomes possible to use matrix-vector arithmetic and square matrices to transform (i.e., perform convolution on) the input signal to produce the output. Input signals in spectral vector form will customarily be denoted as , while output signals will be denoted as . Using the BIPD table, an AOM Toolbox function casts the user’s input signal in spectral vector form for further use.

Consider the atomic multiplication achieved by convolving from (3) with itself at two arbitrary frethe input signal and quencies

(6) Discrete convolution involves summing a series of atomic multiplications of this sort. Focusing on the Dirac delta function, notice that the effect of the convolution is to create new spectral , the new spectral content is a harcontent. When monic. Otherwise, it is IM. In either case, the result of discrete convolution mixing of incommensurate frequencies is another set of Dirac delta functions. To generalize, the nonlinear mixing of two entries in the BIPD table would result in a Dirac delta , where function and are the vectors of integer frequency weightings. The algorithm that produces the spectrum mapping table identifies the location of nonzero content in a spectrum transform matrix by performing the BIPD additions (i.e., doing the frequency addition portion of the discrete convolution) and subtractions (to account for subtractive IM), assuring that the sum of the 1-norms of the BIPDs is less than or equal to the maximum nonlinear order, and checking that the resulting frequency is positive. If the outcome of these checks is affirmative, an entry is made in the spectrum mapping table, a table that facilitates the construction of the spectrum transform matrix. The spectrum transform matrix is built such that its entries will achieve discrete convolution of a vector of phasors with itself. Note that even unusual mixing instances are handled with ease. For example, consider the case of the unit vector BIPDs and corresponding to input frequencies and , rebe a second-order BIPD. spectively, and let and will then The third-order desensitizing mixture of

2150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

map to , but since this mixing occurs at the third order, the mixing output will be recorded in a spectral vector for the thirdorder nonlinearity (as described in Section II-E), thus leaving the linear response phasor unaffected. See [25], [26] for details of the table and matrix construction. One recent improvement in the algorithm for computing the spectrum mapping table is reflected in the AOM Toolbox. Given a BIPD table with entries as in (5), the algorithm described in [25] requires operations to compute the spectrum mapping table. This has been in the AOM Toolbox, which aligns with the reduced to theoretical expectation for the operation count to perform a discrete convolution [27]. There are AOM Toolbox functions for computing both the spectrum mapping table and spectrum transform matrix. The spectrum mapping table may be computed independently of the input spectral vector, thus allowing one spectrum mapping table to be reused for multiple solutions of a modeling problem with different input spectral vectors. The spectrum transform matrix, however, requires the use of the input spectral vector for its construction and must be computed for each unique input spectral vector. E. Transfer Function Let be defined as in Section II-A, and recall from the theory of Fourier transforms [28] that repeated multiplication of time-domain functions corresponds to repeated convolution in the frequency domain, i.e.,

Fig. 1. Simplified block diagram of a three-oscillator assembly.

where captures the constant (dc) part of the transfer funcis a unit vector of the same length as the spection. Here, tral vectors and with a 1 in the element reserved for constant (dc) spectral content and 0 elsewhere. The AOM Toolbox toolbox function for evaluating the transfer function returns an output spectral vector for the linear response and each order of nonlinearity, thus making possible the preservation of all of the nonlinearly created spectral content at each BIPD, including the example third-order desensitization term described in Section II-D. It should be noted here that the computation of the output spectral vector is accomplished entirely through the repeated convolution in (12) and not through the use of combinatorial computations of the sort found in [18] and [22]. Use of combinatorial algorithms in the AOM Toolbox is limited to the toolbox function used to construct the BIPD table.

(7) III. MEASUREMENT APPARATUS Consider the simple case of a second-order nonlinearity , thus, . Since can be described in spectral vector form , the convolution operation can be recast in a matrix-vector form so that (8) is the spectrum transform matrix that produces the where when it is right multiplied by convolution result . Thus, note that while a nonlinear system is being modeled, the computation is done using linear algebra [29]. be a memoryless Generalizing the previous example, let polynomial time-domain transfer function (9) (10) where are real coefficients. Expressing in the frequency domain, using the spectral vector representation so that and substituting (7) and (8) into the transform of (10), (11) (12)

A. Uncorrelated Phase Multitone Signal Generator An uncorrelated phase multitone signal generator was created from an ensemble of five assemblies with each assembly containing three oscillators created from off-the-shelf parts. A block diagram of the three-tone assembly is shown in Fig. 1. An unusual aspect of the assembly is that the voltage-controlled oscillators are not controlled within phase-locked loops, but instead have their output frequencies set by simple variable resistance networks that permit a tuning range from approximately 410–490 MHz. The presence of 20-dB attenuators on each oscillator output, as shown in Fig. 1, is necessary to sufficiently isolate each oscillator from injection pulling [30] by the others. When the oscillators are spaced at least 1 MHz apart, the artifacts of injection pulling are observed to be below 90 dBm when a 10-dB attenuator is included at the assembly’s combiner output. Despite lack of phase locking, it was found that the standard deviation of the stabilized oscillation frequency was less than 30 kHz when the assembly was housed in a crude oven—a well-sealed cardboard shipping box with a plastic sheeting lid—that typically reached a steady-state quiescent thermal environment approximately 0.5 h after operating power was applied. The outputs of five of these boxed assemblies are conducted via 0.5-m segments of subminiature A (SMA) cables, combined, then low-pass filtered to block harmonics of the oscillator outputs from further transmission. A block diagram of the complete multitone system is shown in Fig. 2.

HART AND STEER: MODELING NONLINEAR RESPONSE OF MULTITONES WITH UNCORRELATED PHASE

2151

Fig. 2. Block diagram of the multitone system comprised of five three-tone assemblies.

Fig. 4. Simulated and measured results for adjacent-band IM.

Fig. 3. Block diagram of the laboratory setup.

B. Laboratory Equipment Setup A block diagram of the laboratory setup is shown in Fig. 3. For this work, the 15 oscillators of the multitone system were set to 1-MHz nominal increments between 443–457 MHz. The input attenuator permits adjustment of the input power level seen by the amplifier under test, and the power attenuator on the amplifier output protects the spectrum analyzer from input overload damage. For the purposes of the work performed here, the input attenuator value is selected such that peak power of the 15 tones (assuming perfect phase alignment) at the amplifier input is never greater than 4 dBm. A 0.6-m segment of SMA cable connects the input attenuator to the amplifier under test. Measurements were taken with a 3-dB input attenuator and a 10-dB 20-W power attenuator. The amplifier under test is a Mini-Circuits ZHL-5W-1 [31], a class-A amplifier with a frequency response between 5–500 MHz and an approximate gain of 45 dB in the vicinity of the 450-MHz center frequency of operation. The amplifier output connects to the power attenuator via a 1-m segment of SMA cable, and the power attenuator is directly connected to the spectrum analyzer. The spectrum analyzer is an HP-8565E operating under the control of a LabView Virtual Instrument that was developed to support this study. Each measurement sweep of the HP-8565E captures 601 points of data. IV. RESULTS AND DISCUSSION The transfer function for the amplifier under test was previously extracted using narrowband extraction techniques with two tones in the vicinity of 450 MHz [32], [33]. The extracted transfer function was a polynomial with nonzero odd-order coefficients up to the 15th order and valid for input signals with a total input power under 0 dBm. For use in the modeling environment here, the transfer function was truncated to the fifth order; i.e., in (12) was set to 5, thus discarding the odd-order terms from 7 to 15—terms that were expected to produce output power levels well below the noise floor and, hence, have little

incremental effect upon the simulated results. Two IM analysis scenarios were considered. The first scenario considered the adjacent-band IM distortion in the vicinity of a collection of 15 uncorrelated phase carrier signals. The second scenario considered the in-band IM distortion produced by the nonlinear amplification of a set of 14 uncorrelated phase carrier signals in the immediate vicinity of one carrier, the center frequency carrier, which had been turned off. This is a common measurement scenario in the cable television industry [34], and it is one for which the industry is seeking predictive computer-aided analysis tools. A. Adjacent-Band IM Analysis Example Fig. 4 shows the results of a typical adjacent-band IM measurement compared to the results simulated by the computeraided environment when power computations assume that input carriers consist of uncorrelated phases, i.e., the power computation is done by squaring the magnitude of all phasors appearing at the same numerical frequency and summing in lieu of the typical method of assuming that phasors are correlated and squaring the magnitude of a coherent or vectorial sum. Good agreement can be seen in the linear and adjacent IM bands of Fig. 4 with the possible exception of the far edges of the IM bands around 430 and 470 MHz. (The simulated fifth-order IM falls well below the noise floor of the measuring equipment, thus justifying the decision to truncate the transfer function in the computer model.) Note that when the numbers of input tones (or carriers) is larger than a few, the number of nonlinearly created phasors appearing at the same frequency can become quite large. Table I shows the number of unique phasors created (by virtue of different BIPD vectors) for each of the frequencies from 415 to 450 MHz. (Due to transfer function symmetry, a reversed version of the table gives the numbers of nonlinearly created phasors from 450 to 485 MHz.) It can be seen that in the adjacent IM band, there are cases where more than 1000 phasors are being averaged so it should be expected that power computations based on coherent or vectorial sums due to enforced correlation will vary greatly from power computations that assume uncorrelated phases. The simulated results of Fig. 4 can be contrasted with results typical of that for environments that enforce correlation

2152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 5. Results of 30 enforced correlation simulations with results from uncorrelated phase simulation for reference. (a) Enforced correlation phase regimes 1–5. (b) Enforced correlation phase regimes 6–10. (c) Enforced correlation phase regimes 11–15. (d) Enforced correlation phase regimes 16–20. (e) Enforced correlation phase regimes 20–25. (f) Enforced correlation phase regimes 26–30.

upon uncorrelated phase input tones in their computational engines. Such environments compute the average power at a particular frequency by forming a vectorial sum of phasors and then squaring the magnitude of the resulting phasor. When the phases of the input tones are considered to be independent and identically distributed random variables, their joint probability

distribution will tend to be Gaussian distributed, and thus, the ensemble average of the output of 30 simulations can be considered to give definitive results [35]. Thus, a total of 30 separate simulations in a computational engine that enforced correlation were run in order to perform this comparison. Each simulation used a randomly selected set of 15 phases or phase regimes, and

HART AND STEER: MODELING NONLINEAR RESPONSE OF MULTITONES WITH UNCORRELATED PHASE

2153

each simulation used a different phase regime. Fig. 5 shows the results of these enforced correlation simulations, with the results of five enforced correlation phase simulations shown in each plot in the figure. For comparison, the uncorrelated phase simulation results from Fig. 4 are included. Note that no single simulation result using enforced correlation is in agreement with the uncorrelated phase simulation results, and that generally there are large variations in the predicted adjacent-band power levels in each simulation result when correlation is enforced. It is only possible for the enforced correlation simulation results—typical of those produced by HB methods—to approach the uncorrelated phase results by averaging the results of many enforced correlation simulations, effectively a form of Monte Carlo analysis. Fig. 6 shows the effect of averaging enforced correlation simulations 1–8, 1–16, and 1–30, respectively. As can be seen, there are some variations from the average uncorrelated phase power when only eight simulations are averaged. Better results are achieved from averaging 16 simulations, while averaging 30 simulations produces results nearly identical to those produced by the single uncorrelated phase simulation.

B. Narrow-Band IM Analysis Example In this example, the stimulus consisted of 14 of the same 15 carriers used in Section IV-A. The carrier at 450 MHz was turned off, and measurements were made of the narrowband IM in the vicinity of the 450-MHz signal. Due to the narrowband nature of the measurement, it was not assumed that the carriers were set at 1-MHz nominal increments as in the previous example. Instead, two measurements were made, with the first made over a range of 442.5 to 457.5 MHz solely for the purpose of obtaining the instantaneous oscillating frequencies from the linear response. The second measurement, made immediately following the first, measured the narrowband IM over a 1.2-MHz bandwidth centered around 450 MHz. Table II shows the nominal and actual measured frequencies where the actual frequencies were those used in the AOM Toolbox environment to obtain the simulated results. Note that the measured frequencies of oscillation are not commensurate, and thus it would be challenging to perform an HB simulation using them. For example, at the 1-MHz nominal spacing, a reasonably accurate HB analysis could probably be performed with as few as 32–64 points, but when the input tones are permitted to occur at 25-kHz increments, 600 points minimum are necessary, and probably 1024 points would be used. However, the measured frequencies in Table II are handled by the AOM Toolbox with no more difficulty than the nominal ones. In the AOM Toolbox environment, 15 carrier frequencies were again considered as the input, but the amplitude of the center tone at 450 MHz was set to zero. Fig. 7 shows the results of the AOM Toolbox simulation in the narrowband region around 450 MHz. Good agreement is seen in the area above the noise floor of the measuring equipment, allowing for the fact that continuous drift (of up to 30 kHz) in the carrier frequencies of the sources results in a “fill-in” effect in the measured results, where the measurement interval is only 2 kHz. The AOM Toolbox also predicts fifth-order spectral content below the noise floor of the measuring equipment.

Fig. 6. Results averaging 8, 16, and 30 enforced correlation simulations. (a) Average of enforced correlation phase regimes 1–8. (b) Average of enforced correlation phase regimes 1–16. (c) Average of enforced correlation phase regimes 1–30.

Table III provides further data on the results produced by the AOM Toolbox, and here it can be seen that all of the simulated results falling below the noise floor of the measurements are comprised entirely of fifth-order spectral content.

2154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE II MEASURED FREQUENCIES OF CARRIER OSCILLATION FOR NARROWBAND IM ANALYSIS

TABLE IV COSTS OF COMPUTATION IN THE AOM TOOLBOX

C. Computational Considerations

Fig. 7. Simulated and measured results for narrowband IM.

TABLE III NUMBERS OF PHASORS AVERAGED AT IN-BAND IM FREQUENCIES

The use of the AOM Toolbox as a simulation environment entails two sets of computational costs. The first is a setup tabulation cost that includes the computation of the BIPD and spectrum mapping tables. These two tables are solely a function of the vector of input frequencies, but are independent of the amplitudes and phases of the input signals so their costs can be amortized over multiple simulations. The second type of computational cost, called the evaluation cost, is incurred on each execution of the environment, and includes the cost of creating the input spectral vector (negligible, thus it is omitted), the spectrum transform matrix, and the matrix–vector multiplications necessary to evaluate the nonlinear transfer function. Table IV shows these costs in the form of time of computation on an AMD Athlon X2 4400 platform with 4 GB of DRAM running a 64-bit version of MATLAB under a Linux operating system (and with most of the CPU time devoted to MATLAB) for the two example scenarios given in Sections IV-A and B. The setup tabulation times of roughly 23 min dominate in both cases, but note that this cost was incurred only once in order to compute the 30 coherent phase simulations used in the adjacent-band IM modeling scenario. The evaluation times for both examples are between 9–10 min. The very low function evaluation times shown in Table IV are attributable to MATLAB’s superior sparse matrix implementation and to the fact that the spectrum transform matrices for both problems were very sparse—the matrix for the adjacent-band IM example had only 0.39% nonzeros, while the matrix for the narrowband IM example had only 0.36% nonzeros. It is also worth noting that the adjacent-band IM example, in which the input signals were nominally spaced at 1-MHz intervals, had 123 276 unique BIPD table entries, but only 356 unique numerical frequencies. For the narrowband IM example, there were 123 063 unique BIPD table entries mapping to 3994 unique numerical frequencies as a result of using the more exacting measured carrier frequencies (with 25-kHz measurement increments) in lieu of the nominal 1-MHz spacing. V. CONCLUSION The major result of the study reported here concerns the simulation of circuits excited by multiple uncorrelated tones. A computer-aided analysis environment, the AOM Toolbox, was developed that accurately models the response of nonlinear circuits to such a stimulus in a single simulation. (For further information on the AOM Toolbox, go to http://www.freeda.org/

HART AND STEER: MODELING NONLINEAR RESPONSE OF MULTITONES WITH UNCORRELATED PHASE

AOM.) By creating a vector description (the BIPD table) of the frequency domain and using this underlying vector description as a further decomposition of the numerical frequency, it is possible to appropriately combine uncorrelated nonlinear interactions of the input tones to correctly model the response of the system. This is effectively done by creating and preserving uncorrelated phasor content at each order of nonlinearity as dictated by the BIPD table. For the case of adjacent-band IM distortion modeling, it was shown that the results produced by the AOM Toolbox are essentially identical to those produced by averaging the results of multiple simulations performed using conventional techniques that enforce phase correlation of the tones. Such simulations are performed with random initial phases of the tones, and by averaging the ensemble of results of multiple simulations, the same results as a single AOM Toolbox simulation are obtained. A second example of narrowband IM distortion modeling accurately predicted the in-band IM that might be seen in cable television channel excited by IM among 14 other channels. The AOM Toolbox has proven to be useful for predicting the results of broadband and narrowband IM scenarios. It should be noted that the transfer function used in this study was in the form of a simple polynomial, and that a rational polynomial form might not be expected to produce such conclusive results because of the necessity to invert a spectrum transform matrix (for the denominator polynomial) and left multiply it by the numerator output spectral vector, thus introducing a numerical effect akin to enforced correlation. If a transfer function in rational polynomial form is amenable to division, the resulting quotient polynomial could be effectively deployed. Another possibility might be to assure that the matrix to be inverted is strongly diagonally dominant so as to minimize any numerically enforced correlation. This study clarifies one of the vexing issues in circuit simulation, one that becomes increasingly important when modeling systems excited by multiple tones or by digitally modulated signals approximated by multiple tones. REFERENCES [1] “Harmonic balance simulation,” Agilent Technol., Palo Alto, CA, Aug. 2005. [Online]. Available: http://www.edasupportweb.soco.agilent.com/docs/adsdoc2005A/cktsimhb/index.html [2] V. Rizzoli, F. Mastri, F. Sgallari, and G. Spaletta, “Harmonic-balance simulation of strongly nonlinear very large-size microwave circuits by inexact Newton methods,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 3, pp. 1357–1360. [3] V. Rizzoli, C. Cecchetti, D. Masotti, and F. Mastri, “Nonlinear processing of digitally modulated carriers by the inexact-Newton harmonic balance technique,” Electron. Lett., vol. 33, no. 21, pp. 1760–1761, Oct. 9, 1997. [4] V. Rizzoli, A. Neri, F. Mastri, and A. Lipparini, “A Krylov-subspace technique for the simulation of integrated RF/microwave subsystems driven by digitally modulated carriers,” Int. J. RF Microw. Comput.Aided Eng., vol. 9, no. 6, pp. 490–505, Nov. 1999. [5] V. Rizzoli, A. Lipparini, D. Masotti, and F. Mastri, “Efficient circuitlevel analysis of large microwave systems by Krylov-subspace harmonic balance,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 1, pp. 25–28. [6] W. Ciciora, J. Farmer, D. Large, and M. Adams, Modern Cable Television Technology, 2nd ed. San Mateo, CA: Morgan Kauffman, 2004. [7] K. Naishadham, “Characterization of intermodulation distortion in multicarrier transmission systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 2193–2196.

2155

[8] J. H. Haywood and Y. L. Chow, “Intermodulation distortion analysis using a frequency-domain harmonic balance technique,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 8, pp. 1251–1257, Aug. 1988. [9] C. R. Chang, M. B. Steer, and G. W. Rhyne, “Frequency-domain spectral balance using the arithmetic operator method,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 11, pp. 1681–1688, Nov. 1989. [10] C. R. Chang and M. B. Steer, “Frequency-domain nonlinear microwave simulation using the arithmetic operator method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1139–1143, Aug. 1990. [11] J. Rutkowski, E. Limiti, B. Galwas, and L. Scucchia, “Frequency-domain spectral balance method of nonlinear analysis of microwave circuits,” in MIKON-94 10th Int. Microw. Conf., Ksiaz Castle, Poland, 1994, pp. 315–318. [12] F. Giannini, G. Leuzzi, E. Limiti, and L. Scucchia, “Non-linear frequency-domain analysis of microwave circuits through rational functions modelling,” in Proc. 26th Eur. Microw. Conf., Swanley, U.K., 1996, vol. 2, pp. 551–554, Nexus. [13] D. Schreurs, J. Rutkowski, A. Beyer, and B. Nauwelaers, “Development of a frequency-domain simulation tool and nonlinear device model from vectorial large-signal measurements,” Int. J. RF Microw. Comput.-Aided Eng., vol. 10, no. 1, pp. 63–72, Jan. 2000. [14] N. B. de Carvalho and J. C. Pedro, “Simulating strong non-linear microwave circuits driven by a large number of input tones,” in 27th Eur. Microw. Conf./Exhibition, 1997, vol. 2, pp. 820–825. [15] N. B. de Carvalho and J. C. Pedro, “Multitone frequency-domain simulation of nonlinear circuits in large- and small-signal regimes,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2016–2024, Dec. 1998. [16] N. B. de Carvalho and J. C. Pedro, “Simulation of multi-tone IMD distortion and spectral regrowth using spectral balance,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 2, pp. 729–732. [17] N. B. de Carvalho and J. C. Pedro, “Non-linear circuit simulation of complex spectra in the frequency domain,” in IEEE Int. Electron., Circuits, Syst. Conf., Sep. 1998, vol. 1, pp. 129–132. [18] J. C. Pedro and N. B. de Carvalho, “On the use of multitone techniques for assessing RF components’ intermodulation distortion,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2393–2402, Dec. 1999. [19] J. F. Hart, Computer Approximations. New York: Wiley, 1968. [20] I. Koren and O. Zinaty, “Evaluating elementary functions in a numerical coprocessor based on rational approximations,” IEEE Trans. Comput., vol. 39, no. 9, pp. 1030–1037, Aug. 1990. [21] J. R. Gilbert, C. Moler, and R. Schreiber, “Sparse matrices in MATLAB: Design and implementation,” SIAM J. Matrix Anal. Applicat., vol. 13, no. 1, pp. 333–356, Jan. 1992. [22] D. D. Weiner and J. E. Spina, Sinusoidal Analysis and Modeling of Weakly Nonlinear Circuits. New York: Van Nostrand, 1980. [23] N. Morrison, Introduction to Fourier Analysis. New York: Wiley, 1994. [24] A. Tucker, Applied Combinatorics, 4th ed. New York: Wiley, 2002. [25] F. P. Hart, D. G. Stephenson, C. R. Chang, K. Gharaibeh, R. G. Johnson, and M. B. Steer, “Mathematical foundations of frequency-domain modeling of nonlinear circuits and systems using the arithmetic operator method,” Int. J. RF Microw. Comput.-Aided Eng., vol. 13, no. 6, pp. 473–495, Nov. 2003. [26] C. R. Chang, “Computer-aided analysis of nonlinear microwave analog circuits using frequency-domain spectral balance,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., North Carolina State Univ., Raleigh, NC, 1990. [27] C. D. Meyer, Matrix Analysis and Applied Linear Algebra. Philadelphia, PA: SIAM, 2000. [28] A. V. Oppenheim, A. W. Willsky, and I. T. Young, Signals and Systems. New York: Prentice-Hall, 1983. [29] A. V. Oppenheim, “Generalized superposition,” Inform. Control, vol. 11, no. 5/6, pp. 528–536, Nov.–Dec. 1967. [30] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [31] “ZHL-5W-1 datasheet, ver. M98142,” Mini-Circuits, CITY, STATE/ COUNTRY, May 17, 2005. [Online]. Available: http://www.minicircuits.com/pdfs/ZHL-5W-1.pdf [32] A. L. Walker, “Behavioral modeling and characterization of nonlinear operation in RF and microwave systems,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., North Carolina State Univ., Raleigh, NC, 2005. [33] A. Walker, M. B. Steer, and K. G. Gard, “Application of large signal vector intermodulation analyzer to behavioral modeling of nonlinear microwave circuits with memory effect,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1991–1999, May 2006.

2156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[34] Composite Distortion Measurements (CSO & CTB), Amer. Nat. Standard ANSI/SCTE 06 1999R2004, Soc. Cable Telecommun. Eng., Exton, PA, 2004. [35] Y. Viniotis, Probability and Random Processes For Electrical Engineers. New York: WCB McGraw-Hill, 1998. Frank P. Hart (S’06–M’07) received the Bachelor of electrical engineering degree (cum laude) from the University of Delaware, Newark, in 1984, the M.S.E.E. degree from North Carolina State University, Raleigh, in 1994, and is currently working toward the Ph.D. degree at North Carolina State University. He is currently a Research Assistant with the Electronics Research Laboratory, North Carolina State University. From 1984 to 1995, he was with the IBM Corporation, Research Triangle Park, NC, where he was involved with telecommunications networking and notebook personal computer hardware development. During his years with the IBM Corporation, he was promoted several times, rising to the level of Advisory Engineer before his departure. From 1995 to 2001, he was with the Intel Corporation, first with their Hillsboro, OR location, and later with their Santa Clara, CA location, here he was involved with the development of “mobile module” subassemblies for notebook computers and mobile chipset architecture definition. At the time of his departure from the Intel Corporation, he was a Senior Staff Engineer. He has coauthored three papers and a book chapter. He coholds 12 patents.

Michael B. Steer (S’76–M’82–SM’90–F’99) received the B.E. and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Australia, in 1976 and 1983, respectively. He is currently the Lampe Professor of Electrical and Computer Engineering with North Carolina State University, Raleigh. In 1999 and 2000, he was Professor with the School of Electronic and Electrical Engineering , The University of Leeds, where he held the Chair in Microwave and Millimeter-Wave Electronics. He was also Director of the Institute of Microwaves and Photonics, The University of Leeds. He has authored over 360 publications on topics related to RF, microwave and millimeter-wave systems, high-speed digital design, and RF and microwave design methodology and circuit simulation. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000). Prof. Steer is active in the IEEE Microwave Theory and Technique Society (IEEE MTT-S). In 1997, he was secretary of the IEEE MTT-S, and from 1998 to 2000, he was an elected member of the IEEE MTT-S Administrative Committee (AdCom). He was the Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2006). He was a 1987 Presidential Young Investigator (USA). In 1994 and 1996, he was the recipient of the Bronze Medallion presented by the U.S. Army Research Office for “Outstanding Scientific Accomplishment.” He was also the recipient of the Alcoa Foundation Distinguished Research Award presented by North Carolina State University in 2003.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2157

Synthesis of Resonator Filters With Arbitrary Topology Using Hybrid Method Manseok Uhm, Sangho Nam, and Jeongphill Kim, Member, IEEE

Abstract—This paper presents a new synthesis method for resonator filters of arbitrary topology using an evolutionary hybrid method. This method consists of a Levenberg–Marquardt algorithm for a local optimizer and genetic algorithm for a global optimizer, respectively. Unlike the conventional hybrid method in which the local optimization is performed after finding appropriate initial values from global optimization, the local optimizer in the proposed method is used as a genetic-algorithm operator to prevent trapping in local minima of the cost function. This method can provide fast convergence and good accuracy to find the final solution from initial population generated by a random number and the known value for the filters with stringent requirements. In addition, multiple coupling matrices to meet the given requirement can be obtained from the initial population based on a random number. Resonator filters with asymmetric eight-pole configurations for single and dual passbands are synthesized using the current method for validation. Excellent agreement between the response computed from characteristic polynomials and the response computed from couplings is obtained from the proposed method. Index Terms—Genetic algorithm, hybrid method, Levenberg–Marquardt algorithm, resonator filter, synthesis.

I. INTRODUCTION UE TO increasing demand of high-performance filters, microwave filters with new topologies are required to meet efficient spectrum utilization and reduction of the distortion in digital communications. Modern high-performance filters request new topologies of the coupling network containing finite transmission zeros because the number of transmission zeros is directly related to characteristics of filters. Therefore, resonator filters with a topology that can provide the maximum permissible number of transmission zeros should be appropriately synthesized. The synthesis method of resonator filters with a symmetric inline dual-mode structure introduced by Atia and Williams is still widely used for symmetric dual-mode waveguide filters [1]. The synthesis method using a coupling matrix rotation based on similarity transformation for given topologies was applied to

D

Manuscript received June 10, 2007. This work was supported by the Information Technology Research and Development Program of Ministry of Information and Communication/Institute for Information Technology Advancement (MIC/IITA) (2005-S-301-03, Development of Satellite Communications System for Communications, Ocean, and Meteorological Satellite). M. Uhm is with the Satellite Communications RF Technology Team, Electronics and Telecommunications Research Institute, Daejeon 305-350, Korea (e-mail: [email protected]). S. Nam and J. Kim are with the Electrical Engineering Department, ChungAng University, Seoul 221, Korea (e-mail: [email protected]; phill@cau. ac.kr). Digital Object Identifier 10.1109/TMTT.2007.906505

synthesis of resonator filters with asymmetric configurations in [2]–[4]. However, an appropriate approach should be required for a new coupling topology because there is no general rule for determining the sequence of matrix rotations. Unfortunately, it is difficult to derive the equations for rotation angle analytically. More practical synthesis approaches based on optimization for resonator filters of arbitrary topology were proposed in [5]–[9]. Very efficient cost functions related with given characteristics of resonator filters were proposed, and excellent results were reported. The costs were evaluated by calculating the amplitude of and at the critical frequencies or by comparing denominator and numerator polynomial coefficients of and from zeros and coupling matrix. Practical coupling configurations of resonator filters are being studied for high-performance filters. The dual-mode configurations with extended box sections and cul-de-sac network topologies were proposed for the asymmetric filter function in [3] and [9]. Furthermore, the effectiveness and possibility of multiple solutions for the given coupling topologies was described, and the optimization method was applied to the filters with extended box sections [9]. The coupling elements, parasitic couplings, and resonator frequency offset related to the coupling coefficients for the given resonator filters can be implemented only for the limited range of coupling values. Therefore, it is practical that the coupling matrix from the multiple solutions suited to the given coupling topology can be chosen. Generally, optimization methods using a local optimizer such as a gradient method and a simplex method are widely used in optimization problems. However, local optimizers could not find the best solution if an initial value is not sufficiently close to the global minimum. Although global optimizers based on a stochastic search algorithm such as a genetic algorithm are robust to find the global minimum [10], [11] to overcome the initial problem, and they also have the problem of slow convergence to the best solution. Therefore, an evolutionary hybrid method, which combines the global-search properties of the genetic algorithm with the fast local-search properties of gradient methods, is widely adopted for optimization problems with many local minima [12]. In this paper, we propose a new hybrid method to synthesize resonant filters with an arbitrary topology. The hybrid method uses a gradient operator based on the Levenverg-Marquardt algorithm, which terminates after appropriate iterations for chosen chromosomes with good fitness values. A slow increase of the iteration number for the Levenverg-Marquardt algorithm can not only avoid being trapped into local minimums, but also converge fast to the global minimum. In the initial population, a chromosome composed of known couplings is added for fast

0018-9480/$25.00 © 2007 IEEE

2158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

(1b)

where and are ripple constants related to the maximum return loss, and is a complex frequency variable. The coefficients of the highest order terms of the numerator and denominator polynomials are normalized to unity. The degree of obtained from reflection zeros the th-degree polynomial is greater than or equal to the degree of determined from ficonnite transmission zeros. The th-degree polynomial taining roots (poles) must be strictly Hurwitz polynomial re, and . lated to , , From the conservation of energy, the relation between numerator polynomials and denominator polynomials can be expressed as Fig. 1. Characteristic of low-pass prototype filter.

(2) convergence. The blending method is used for the crossover and the mutation operator is a very small number to avoid being trapped in a local optimum solution. We use the improved cost function in the local optimization process to find the best solution. To validate the current synthesis method, resonator filters with asymmetric eight-pole configurations containing three transmission zeros and seven transmission zeros are synthesized, respectively. Before application to the filter with stringent specification of resonator filters with seven transmission zeros, an eight-pole filter composed of extended box sections with three transmission zeros proposed in [9] was applied to find out multiple solutions. A total of 16 coupling matrices with sets of different coupling values could be obtained by using the current algorithm from the initial population based on the random numbers. Regarding two asymmetric eight-pole configurations containing seven transmission zeros, this hybrid method provides three coupling matrices among many coupling matrices with the same frequency response for each filter. Excellent agreement between the frequency responses from all the obtained coupling matrices and that from transmission and reflection zeros is shown.

where superscript denotes the complex conjugate. can be obtained from the ripple It should be noted that and . constants and two numerator polynomials and are the normalized th-degree polySince nomials to their respective highest degree coefficients, must is greater than that of . be unity when the degree of and have the same degree, both sides of (2) diIf must be unity at the infinite frequency . vided by Therefore, the relation between and can be obtained as (3) The filter response depends on the choice of the ripple factors. For the equiripple passband filter, they are determined from the magnitude of the ripple maxima in the passband. For a nonequiripple passband filter, they are normally chosen with respect to the maximum permissible ripple. In practical terms, they are determined from maximum return loss at the cutoff is the cutoff frequency and is the return frequency. If loss in decibels at the cutoff frequency, the ripple factor can be obtained as (4)

II. CHARACTERISTICS OF RESONATOR FILTERS

where is normally chosen to be 1 for the low-pass prototype filter network. By substituting this result for (3), the ripple factor can be determined as

A. Characteristic Polynomials of Resonator Filters Generally, the transmission coefficient and the reflection coefficient of a lossless filter network are given [2] in , reflections zeros , shown terms of transmission zeros as in Fig. 1, and poles

(1a)

(5) Note that the frequency response of the filter network can be determined from the return loss at the cutoff frequency and numerator polynomials obtained from zeros. All the zeros must be properly determined to meet the requirements of the electrical performance such as a return loss, rejection, and group-delay response. It is convenient to know the amto use the optimization process for finding plitude ripple of

UHM et al.: SYNTHESIS OF RESONATOR FILTERS WITH ARBITRARY TOPOLOGY USING HYBRID METHOD

Fig. 2. Equivalent circuit of

2159

N -coupled resonator filter network for node-equation formulation.

zeros. The frequencies at which these amplitude maxima and minima occur can be determined by differentiating the equation as for amplitude of (6) Lossless resonator filters must have reflection zeros located at . On the other the pure imaginary axis hand, transmission zeros may have pure imaginary values for high-frequency selectivity and (or) a pair of complex values and ) for equalization of group delay ( . Therefore, we can obtain the frequencies for the maxima by equating (6) to 0, i.e., (7) Many examples for symmetric filters were applied to optimization methods using [13, eq. (7)] and these methods can be also adopted for asymmetric filters. Therefore, optimization approaches are not repeated in this paper.

case of the asynchronously tuned filter network, the resonant frequencies of the th resonator can be determined by the capacitor and susceptances [15]. In a nodal-admittance matrix representation, the self-admitof node is determined by the sum of all the adtance bemittances connected to node . The mutual admittance tween node and is given by the sum of all the admittances has a negative sign. When and connecting and where are normalized by unity and is defined as for the convenience, the set of nodal equations in matrix form becomes the equation shown at the bottom of this page or (8) and are the th-degree normalized adwhere is a matrix mittance and coupling matrices. The matrix whose nonzero diagonal entries are and for . The -parameters of a normalized two-port network are defined in terms of the source current and the node voltages at the are first and last resonators. The transmission coefficient given as

B. Scattering Parameters of Resonator Filters The equivalent circuit of a general -coupled resonator filter network with source/load multiresonator coupling is shown in Fig. 2 [14]. Here, denotes the node voltage, represents the conductance, and is the source current. The coupling coeffias an admittance inverter represents the frequencycient independent coupling coefficient between resonator and . In

.. .

.. .

.. .

..

.

(9) and are the numerator and denominator polynowhere mial of , respectively. The cofactor is the determinant of the matrix whose elements in the first row and last and for ) are removed. In column (

.. .

.. .

.. .

.. .

2160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

order to put the variable into the diagonal elements for convecan be expressed in (10), shown at the bottom of nience, this page, by interchanging its row. Similar to the transmission in term of the numercoefficient, the reflection coefficient and the cofactor are given in (11) as follows and ator (12), shown at the bottom of this page: (11) According to the property of a determinant based on multiplication by a scalar, the determinant of a symmetric admittance matrix whose elements in the arbitrary th row or column, except diagonal element (i.e., and , , ) are multiplied by 1 is equal to the determinant except of the original matrix. Using this rule, arbitrary direct coupling , , and coefficients such as can always be changed into a positive number. Using this , which has approach for the admittance matrix, we can get positive numbers for direct coupling coefficients. Although the unlike are flipped by changing the sign of sign of or , the changed result does not make any difference in the frequency response of resonator filcoupling matrices, which have the same ters. Therefore, characteristics of filters, can exist by changing the sign of elements in the arbitrary th row or column, except the diagonal element. Note that the direct coupling coefficients can be defined to always have positive values without any change of filter characteristics.

Fig. 3. Flowchart of hybrid method.

effective for a complex problem by using simple stochastic operators such as selection, crossover, and mutation. It begins with an initial population, which consists of chromosomes generated by random numbers and the chromosome obtained from the known coupling coefficients for fast convergence. Next, all the chromosomes in the initial population will be evaluated to meet requirements from the cost function. Since the initial population cannot provide a good fit, it is followed by a reproduction in the genetic algorithm procedure. This procedure is performed with crossover for mating chromosomes and mutation. The local optimization method is performed with

III. SYNTHESIS USING HYBRID METHOD For efficient synthesis of resonator filters, the flowchart of the hybrid method based on the real-coded genetic algorithm and Levenverg-Marquardt algorithm as a genetic algorithm operator is shown in Fig. 3. A genetic algorithm models the biological genetic process according to the evolutionary computation procedure. As an optimizer, the powerful genetic algorithm is

.. .

.. .

.. .

.. .

.. .

..

.. .

.. .

.

..

.

.. .

.. .

.. .

(10)

(12)

UHM et al.: SYNTHESIS OF RESONATOR FILTERS WITH ARBITRARY TOPOLOGY USING HYBRID METHOD

2161

TABLE I LOWER AND UPPER BOUND OF VARIABLES

(13b) where respect to the chosen chromosomes with good cost values, to search for more accurate solutions with fast convergence. The final step is to evaluate whether the cost of chromosomes meet the criterion or not. If the validity is not sufficient, all steps are repeated until the target value is satisfied. A. Selecting Variables and Cost Functions The genetic algorithm begins by defining a chromosome as an array of genes to be optimized. A chromosome for synthesis of resonator filters is composed of direct coupling coefficients, cross coupling coefficients, and diagonal elements for asynchronous tuning. The bound of variables in the chromosome should be appropriately defined to find the best solution. Since coupling matrices with the same filter response can be easily obtained from the known representative coupling matrix whose direct coupling coefficients is positive, we can define the direct coupling coefficients to always be positive. Unlike variables of direct coupling coefficients, other variables can be positive or negative. The bound of variables is shown in Table I. A cost function is one of the important things to be considered for successful optimization. Several cost functions related with characteristics of resonator filters are proposed in many papers. In [6], the cost function is defined to minimize the cost by evaland at the critical frequencies uating the amplitude of , transmission zeros, and reflection zeros. Although such as the cost function including information about the phase of is not provided, it can make many potential solutions to meet requirements. The cost functions based on the evaluation of characteristic polynomials were proposed to define the exact characteristics in [7]. These functions can find the exact coupling matrix for given characteristic polynomials, but the frequency response from the obtained solution is very different with the original one when the optimization process falls into a trap of local optimum from an initial value far from global minimum. In [8], a comparison of polynomial coefficients obtained from both zeros and the coupling matrix was proposed, but evaluation requires high computation time to calculate the polynomial coefficients from the coupling matrix due to summations of the determinants. In this paper, this algorithm uses the cost function, which combined the cost function proposed in [7] and parts of the cost function based on the comparison of the polynomial coefficient. The proposed cost function is expressed as

(13a)

is the weighting factor and th coefficient of the and

and are the function, respec-

tively. Since a least square method for the local optimizer is used in this hybrid method, we must define the set of nonlinear equanonlinear equations for the tions. We can make cost function. The cost function expressed as a sum of a square has to be appropriately weighted by the weighting factor because its cost is very low when the chromosome reaches close to the best solution. B. Initial Population Firstly, initialization is performed by randomly generating chromosomes representing the genetic-algorithm population. The continuous genetic algorithm is adopted due to ease variables, of implementation. Each chromosome contains which is composed of random numbers within the specific range of variables. Since the hybrid method is based on a local optimization method, the initial population has an effect on the convergence. Therefore, the chromosome that can be easily obtained from the known solution such as the Chebyshev solution can be added in the initial population for fast convergence. C. Evaluation The cost function is evaluated for each chromosome in the population. This cost function is developed in a way that it accurately determines how close the population is to the optimal solution. To find the best solution, the target cost should be determined before evaluation. In this paper, the target cost for each term of the cost function without a weighting factor becomes 10 based on experimental results. Therefore, the 10 target cost sets to be times of 10 10 to terminate the optimization process. D. Tournament Selection Selection chooses some chromosomes from the current generation’s population for pairing in the crossover operation. Before making them into the next generation’s population, selected chromosomes may undergo crossover depending upon its probability. The roulette-wheel selection and tournament selection are widely used in the genetic algorithm [12]. Although the roulettewheel selection based on the proportionate selection is widely used in the genetic algorithm, there is a drawback that the type of fitness function has an effect on the convergence. In this paper, the simple tournament selection is used because it does not have an effect on the convergence. In this method, two chromosomes from the mating pool are randomly selected and the chromosome with the lowest cost (the highest fitness) becomes a parent chromosome.

2162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

E. Crossover In general, one or more offspring from the parents selected in the pairing process is created by combining two selected parent chromosomes. The crossover, which is the most common operator for mating operators, involves two parents that produce two offspring. For the application of the crossover, many types of crossover, such as point crossover and blending crossover, can be used. The point crossover selects a crossover point depending upon their probability, and then interchanges the variables of two parent chromosomes at this point to produce two new offsprings. On the other hand, the blending crossover generates new offsprings by combining variables of two parent chromosomes. In this paper, we use the blending method. The offsprings are generated as

Fig. 4. Coupling scheme of an asymmetric eight-pole filter with extended box sections.

(14) where is a random number from 0 to 1, are th variables are th variables in the in the mother chromosome, and father chromosome. When is 0.5, the result is an average of the variables of the two parents. F. Mutation Mutation as a reproduction operator that randomly alters the values of genes in a parent chromosome has a key role of getting out from the trap of the local optimum solution. Mutation occurs during evolution according to a user-definable mutation proba. This probability should usually be set fairly low bebility cause the search will turn into a primitive random search when it is set to high. Therefore, mutation alters one or more variables in a chromosome in the genetic-algorithm process. The selected variin the mutation process is slightly changed as ables of

Fig. 5. Frequency response of an asymmetric eight-pole filter with three transmission zeros. Rejection: solid lines. Return loss: dashed lines.

TABLE II PARAMETERS OF HYBRID METHOD

(15) where 1 to 1.

is the mutation factor and is a random number from

G. Levenberg–Marquardt Algorithm The Levenberg–Marquardt algorithm can be thought as a combination of the Gauss–Newton method and steep descent method [16]. The latter method is used far from the minimum, switching continuously to the former as the minimum is approached. Like other numeric minimization algorithms, this algorithm is an iterative technique for finding the local minimum of the sum of squares of several nonlinear real-valued functions. It works very well in practice and has become the standard of nonlinear-square routines [17]. Since the gradient-based method may rapidly lead to a local minimum, the local optimizer must terminate before falling in a trap of local minima. Therefore, the number of iterations increases slowly to avoid computation, which causes falling in a trap of local minimum. The iteration number is as follows: (16)

where is the number of regeneration and notes the operation of taking the next highest integer.

de-

IV. EXAMPLES For the verification of the hybrid method presented in this paper, it is applied to asymmetric resonator filters with asymmetric frequency response. Before applying to the filter with stringent specification of resonator filters with seven transmission zeros, an asymmetric eight-pole resonator filter with extended box sections proposed in [9], as shown in Fig. 4, was synthesized to verify the possibility of multiple solutions. This filter has a return loss of more than 23 dB in the passband and rejection of more than 40 dB in the rejection band, as shown in

UHM et al.: SYNTHESIS OF RESONATOR FILTERS WITH ARBITRARY TOPOLOGY USING HYBRID METHOD

2163

Fig. 6. Coupling scheme of an asymmetric eight-pole filter.

TABLE III POLES AND ZEROS OF AN ASYMMETRIC EIGHT-POLE SINGLE-PASSBAND FILTER

Fig. 5. The obtained zeros are located at the pure imaginary axis for the high-frequency selectivity. Coupling matrices composed of direct couplings with only a positive number can be easily obtained by using the current method whose parameters are shown in Table II. Randomly generated initial population enables the coupling matrices to be different sets of coupling values, after execution of the program. All 16 coupling matrices were obtained and one of them is ex, shown in the equation at pressed the bottom of this page. The difference of frequency responses between the required specification and the obtained coupling matrices is not visible. After verifying the current algorithm by applying the filter proposed in [9], two asymmetric resonator filters with stringent requirements were synthesized. Fig. 6 shows the coupling scheme of an asymmetric resonator filter with seven transmission zeros. The chromosome is composed of 24 variables for direct coupling coefficients, cross coupling coefficients, and tuning offset frequencies.

Fig. 7. Frequency response of an asymmetric eight-pole single-passband filter with seven transmission zeros. (a) Rejection: solid lines, return loss: dashed lines. (b) Group delay.

A. Asymmetric Eight-Pole Single-Passband Filter This method is applied to the synthesis of an asymmetric eight-pole single-passband filter with three transmission zeros for high-frequency selectivity and four transmission zeros for equalization of group-delay, respectively. This filter has a return loss of more than 20 dB in the passband and rejection of more than 40 dB in the rejection band. The zeros and poles obtained from optimization based on (7) are described in Table III. Fig. 7

2164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 8. Cost of the best chromosome versus number of generations for the different initial population of single-passband filter.

shows the frequency response from zeros and poles described in Table III. Fig. 8 shows the cost versus number of generations for the different initial population. The target cost of 10 can be achieved after the fiftieth iteration when the chromosome from

the Chebyshev solution with the return loss ripple of 20 dB , , ( , , , and others ) is added to the initial population. However, it can be achieved after the sixtieth iteration from the initial population without the chromosome from the Chebyshev solution. From this result, it is known that a faster convergence can be provided when the chromosome from the Chebyshev solution is added in the initial population. After the optimization proposed in this paper, three different coupling matrices can be obtained in (17a)–(17c), shown at the bottom of this page. The randomly generated initial population, except the chromosome from the Chebyshev solution, can give different coupling matrices from several executions of the hybrid method proposed in this paper. Since coupling elements for microwave filters can generally be realized for a limited range of coupling values, it is very practical to present several solutions of coupling matrices for the given characteristic polynomials to meet the requirements. Note that the frequency response shown in Fig. 7 from the characteristic of the resonator filter and the frequency response obtained from the coupling matrices cannot be distinguished, which describes the validity of this proposed method.

(17a)

(17b)

(17c)

UHM et al.: SYNTHESIS OF RESONATOR FILTERS WITH ARBITRARY TOPOLOGY USING HYBRID METHOD

2165

TABLE IV POLES AND ZEROS OF AN ASYMMETRIC EIGHT-POLE DUAL-PASSBAND FILTER

Fig. 10. Cost of the best chromosome versus number of generations for the different initial population of dual-passband filter.

Fig. 9. Frequency response of an asymmetric eight-pole dual-passband. (a) Rejection: solid lines, return loss: dashed lines. (b) Group delay.

B. Asymmetric Eight-Pole Dual-Passband Filter The proposed method is also applied to an asymmetric dualpassband filter with more stringent specification. This filter has four transmission zeros between the passband and three transmission zeros in the other band for high-frequency selectivity. This filter has a return loss of over 20 dB in the passband and rejection of over 40 dB in the rejection band. Fig. 9 shows the frequency response of the filter to be synthesized. The zeros and poles determined using (7) are shown in Table IV. Fig. 10 shows the cost versus number of generations for the different initial population. The target cost of 10 can be achieved after the 130th iteration when the chromosome from the Chebyshev solution is added to the initial population. However, it cannot be achieved up to the 200th iteration from the initial population without the chromosome from the Chebyshev solution. It is observed that fast convergence and good accuracy can be provided when the initial population adds the

known values such as the Chebyshev solution. The reason is that the choice of the initial population has an effect on the final solution or the computation time due to using a local optimizer in the hybrid method. After the optimization method, three different coupling matrices were also obtained in (18a)–(18c), shown at the top of the following page. Note that the frequency response from the characteristic of the resonator filters and that obtained from the coupling matrices cannot be distinguished in all examples. V. CONCLUSION This paper has presented the generalized synthesis method for microwave resonator filters. The effective cost functions have been proposed for least square methods and adopted in the hybrid method, which combines the genetic algorithm and Levenverg-Marquardt algorithm. This synthesis method has been applied to asymmetric eight-pole filters with stringent requirements. The appropriate initial population has been suggested for fast convergence and good accuracy. From the procedure of the proposed hybrid method, practical solutions with the same frequency response have been shown.

2166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

(18a)

(18b)

(18c)

REFERENCES [1] A. E. Atia and A. E. Williams, “New types of waveguide bandpass filters for satellite transponders,” COMSAT Tech. Rev., vol. 1, no. 1, pp. 21–43, 1971. [2] R. J. Cameron, “Advanced coupling matrix techniques for microwave,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [3] R. J. Cameron, A. R. Harish, and C. J. Radcliffe, “Synthesis of advanced microwave filters without diagonal cross-couplings,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2862–2872, Dec. 2002. [4] G. Macchiarella, “Synthesis of prototype filters with triplet sections starting from source and load,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 2, pp. 42–44, Feb. 2002. [5] W. A. Atia, K. A. Zaki, and A. E. Atia, “Synthesis of general topology multiple coupled resonator filters by optimization,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 821–824. [6] S. Amari, R. Rosenberg, and J. Bornemann, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1978, Aug. 2002. [7] M. Kahrizi, S. Safavi-Naeini, S. Chaudhuri, and R. Sabry, “Computer diagnosis and tuning of RF and microwave filters using model-based parameter estimation,” IEEE Trans. Circuits Syst., vol. 49, no. 9, pp. 1263–1270, Sep. 2002. [8] M. Uhm, J. Lee, I. Yom, and J. Kim, “General coupling matrix synthesis method for microwave resonator filters of arbitrary topology,” ETRI J., vol. 28, no. 2, pp. 223–226, Apr. 2006.

[9] R. J. Cameron, J. C. Faugere, F. Rouillier, and F. Seyfert, “Exhaustive approach to the coupling matrix synthesis problem and application to the design of high degree asymmetric filters,” Int. J. RF Microw. Comput.-Aided Eng., vol. 17, no. 1, pp. 4–12, Jan. 2007. [10] J. M. Johnson and Y. Rahmat-Samii, “Genetic algorithm optimization for aerospace electromagnetic design and analysis,” in IEEE Aerosp. Applicat. Conf., Feb. 1996, vol. 1, pp. 87–102. [11] Y. A. Hussein and S. M. El-Ghazaly, “Modeling and optimization of microwave devices and circuits using genetic algorithms,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 329–336, Jan. 2004. [12] R. L. Haupt and S. E. Haupt, Practical Genetic Algorithms, 2nd ed. New York: Wiley, 2004. [13] C. M. Kudsia, “A generalized approach to the design and optimization of symmetrical microwave filters for communications systems,” Ph.D. dissertation, Dept. Eng., Concordia Univ., Quebec, QC, Canada, 1978. [14] H. C. Bell, Jr., “Canonical asymmetric coupled-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1335–1340, Sep. 1982. [15] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Application. New York: Wiley, 2001. [16] J. Nocedal and S. J. Wright, Numerical Optimization. New York: Springer-Verlag, 1999. [17] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in C : The Art of Scientific Computing, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2002.

UHM et al.: SYNTHESIS OF RESONATOR FILTERS WITH ARBITRARY TOPOLOGY USING HYBRID METHOD

Manseok Uhm was born in Seoul, Korea, on April 19, 1964. He received the B.S., M.S., and Ph.D. degrees in electronics and engineering from Chung-Ang University, Seoul, Korea, in 1987, 1989 and 2007, respectively. Since joining the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, in 1992, he has been involved in projects on the development of the subsystem and its components for satellite communications applications. He has developed the experimental transponder subsystem, antenna subsystems, RF modules including monolithic microwave integrated circuit (MMIC) amplifiers and passive components such as channel filters, wide bandpass filters, and multiplexers with stringent specifications. Since 2006, he has been a Principle Engineer. Since 2007, he has studied millimeter-wave filters as a Visiting Research Scholar with the University of Colorado at Boulder. His current research interests include microwave active and passive components, satellite antennas, satellite transponders, and electromagnetic field theories.

Sangho Nam was born in Seoul, Korea, on March 28, 1980. He received the B.S. degree in electronic engineering from Chung-Ang University, Seoul, Korea, in 2007, and is currently working toward the M.S. degree in electrical and electronic engineering at Chung-Ang University. His current research interests include the analysis and design of microwave circuits and design of noise radar systems for automobile collision-avoidance applications.

2167

Jeongphill Kim (M’98) was born in Cheju, Korea, on November 2, 1964. He received the B.S. degree in electronic engineering from Seoul National University, Seoul, Korea, in 1988, and the M.S. and Ph.D. degrees in electrical engineering from the Pohang University of Science and Technology, Pohang, Korea, in 1990 and 1998, respectively. From 1990 to 2001, he was a Research Engineer with the Research and Development Center, LG Innotek, Yongin, Korea, where he was involved with the design of antennas, transmitters, and receivers for various types of radar system. Since 2001, he has been a faculty member with the School of Electrical and Electronic Engineering, Chung-Ang University, Seoul, Korea. He has made contribution to the development of material constants measurement setup using dielectric resonators. He has also established efficient network models of microstrip-to-slotline transition, slot-coupled microstrip lines, microstrip-fed slot antennas, and aperture-coupled microstrip patch antennas. Using these efficient network models, he has developed various types of novel slot-coupled microstrip circuits such as out-of-phase power dividers, multislot couplers, magic-T’s, and filters. In addition, he was involved in the development of phased-array antennas where an aperture-coupled microstrip patch and tapered slot were used as a radiator, and a microstrip meander line on a ferrite substrate and coplanar waveguide on a thin-film ferroelectric as a phase shifter. He also developed finite-difference time-domain (FDTD) codes to simulate microwave circuits and antennas. In addition, he was involved in the development of a phased-array antenna with a microstrip patch radiator and p-i-n diode phase shifter, and the calibration of this antenna using the rotating-element electric field vector (REV) and measurement of two elements (MTE) methods. He also designed an antenna with two fixed beams for direction-finding applications. His recent research interests include microstrip circuits and antennas, dielectric resonator antennas, numerical modeling and analysis, microwave measurements, and wireless communication systems such as repeaters and various types of radar systems. Dr. Kim is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S).

2168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Low-Cost Dielectric-Resonator Filters With Improved Spurious Performance Rui Zhang, Member, IEEE, and Raafat R. Mansour, Fellow, IEEE

Abstract—A dielectric-resonator filter configuration implemented as a single piece of a highceramic substrate is presented in this paper. The proposed filter configuration considerably reduces the cost of the assembly and the integration associated with conventional dielectric-resonator filters. A twoand four-pole filter of this type operating in the TEH01 fundamental mode have been developed, manufactured, and tested. Theoretical designs of the filters are confirmed and supported by measurement results. The wideband spurious performances of the filters are investigated and the improvement of the spurious response is demonstrated theoretically and experimentally for both two- and four-pole filters. Furthermore, a novel triplet structure based on the proposed concept is presented, which provides a new approach to implement nonadjacent couplings for the realizations of asymmetric filtering characteristics. The proposed dielectric-resonator filter configurations can be potentially used to implement dual-mode dielectric-resonator filters. The concept is very attractive for use in low-cost high- mass production of wireless filter applications. Index Terms—Cost reduction, dielectric-resonator filters, nonadjacent cross coupling, spurious response, triplet, waterjet machining.

I. INTRODUCTION WIRELESS communication system demands a large number of base-station filters with not only an excellent in-band performance (i.e., low losses), but also a good out-of-band spurious performance. Dielectric-resonator filters are preferable for wireless base stations due to their superior characteristics of a high quality ( ) factor and miniaturization. However, cost reduction remains a key limiting factor for the widespread use of dielectric-resonator filters in base-station applications. There are increased demands for low-loss dielectric-resonator filters that are compact and capable of being manufactured in a large quantity at a reasonable low cost [1]. The most commonly used dielectric-resonator structures are divided into two configurations: individual dielectric resonators that are loaded axially in metallic enclosures, in which it is difficult to physically support the resonators [2]–[4], or individual resonators that are mounted in a planar configuration [5]–[8]. In the latter case, dielectric resonators are centered

A

Manuscript received March 15, 2007; revised July 5, 2007. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada and by COM DEV Ltd. The authors are with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada N2L3G1 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906540

and mounted inside a filter housing by a support of low dielectric-constant and low-loss material. The cost involved with the assembly, alignment, and integration of the combined dielectric resonator/support structure is a major contributor toward the cost of dielectric-resonator filters. Grinding the individual resonators and machining the individual supports also contributes to the overall cost of dielectric-resonator filters. Consequently, the current approaches for implementing dielectric-resonator filters are relatively expensive and hardly amenable to mass production. In addition, the employment of nonadjacent couplings to implement advanced filter functions such as asymmetric frequency responses is required in some applications. A cascaded triplet is essential for asymmetric filter realization. This triplet can be regarded as a basic building block for the implementation of higher order coupled filters with asymmetric responses. A transmission zero in the stopband of the triplet is formed by producing either a positive or negative cross coupling between the input and output resonators. In traditional dielectric-resonator triplets, probes are sometimes needed to realize these transmission zeros. The traditional dielectric-resonator triplets are, therefore, mechanically difficult to manufacture and integrate due to the complicated assembly of the probe. This drives up the production cost significantly, emphasizing the need for a new approach to implement the cross coupling between the input and output resonators of the dielectric triplets. In a recent paper [9], the concept of employing a dielectric substrate to realize low-cost dielectric-resonator filters was introduced. The dielectric resonators are constructed as one single piece from a substrate of high- materials. All the resonators are connected one to another by the same highdielectric material, resulting in a monolithic filter, which can be efficiently and accurately cut to the desired dimensions by low-cost waterjet machining technology. This greatly simplifies the assembly, integration, and alignment of the resonators resulting in substantial reduction of time and costs. The proposed dielectric-resonator filters possess good in-band responses; however, their spurious performance, which is also crucial for the application of such filters, has not been studied in the previous research. For completeness of this study, a brief description of the proposed dielectric-resonator filters is represented. The main objective of this paper is to introduce a simple, but effective approach to improve the spurious performance of such a dielectric-resonator filter so that it can be used for miniaturized base stations. This paper presents an extended study over previously published results, and specifically discusses the following: 1) identification of the spurious performance of single- and dual-mode monolithic dielectric resonators using a mode chart;

0018-9480/$25.00 © 2007 IEEE

ZHANG AND MANSOUR: LOW-COST DIELECTRIC-RESONATOR FILTERS WITH IMPROVED SPURIOUS PERFORMANCE

2169

Fig. 1. Two monolithic dielectric-resonator configurations.

2) new approach that can significantly shift the spurious modes toward the upper frequency band; 3) novel monolithic dielectric-resonator triplet, providing a means for high-performance dielectric-resonator filters. II. MONOLITHIC DIELECTRIC-RESONATOR CONFIGURATIONS A. Configurations and Characteristics The commercially available Trans-Tech1 high- ceramic substrates are used to construct the proposed dielectric-resonator filters. The employed substrate, which is the same as the one for the traditional dielectric resonators, has a thickness of 3.5 mm and a dielectric constant of 38.6. Fig. 1 illustrates two feasible monolithic resonator configurations that are easily machined from a single piece of the dielectric substrates. The two square resonators are physically attached to each other, either at the corner or in the middle, through the same highmaterial. Such structures ensure a precise spacing among resonators since they are, indeed, a single piece, eliminating the need for alignment between the resonators of the conventional dielectric-resonator filters. The dielectric linkage between the two resonators, along with the air gap, provides the necessary electrical coupling between the two resonators. Fig. 2 displays the electric-field distributions of the single and dual modes of the two dielectric-resonator configurations, obtained by Ansoft’s High Frequency Structure Simulator (HFSS) eigenvalue solver [10]. The resonators operate in either the mode or dual mode, similar to that of the conventional singleor dual-mode dielectric resonators, respectively. To implement dielectric-resonator filters by using the proposed dielectric-resonator configurations, the coupling between two connected resonators is investigated. The coupling coefficient depends on the spacing and shape of the gap. In order to calculate the coupling, HFSS [10] simulations of two identical coupled resonators are carried out for various values of the inter-resonator spacing with the calculation of the even and odd resonance frequencies and . The normalized coupling coefficient is then given by . The results of the calculated coupling coefficients as a function of for both configurations (Fig. 1) with a fixed width (e.g., 1 mm) of the linkage between the two resonators are demonstrated in Fig. 3. It can be seen that the coupling values, generated by both dielectric-resonator configurations in Fig. 1, are fairly close. As 1Trans-Tech, Adamstown, MD. [Online]. Available: http://www.transtechinc.com.

Fig. 2. Electric-field distributions of the two dielectric-resonator configurations shown in Fig. 1.

Fig. 3. Coupling coefficients versus

D.

a result, either configuration can be used to construct a dielectric-resonator filter in terms of the coupling required in the filter design. B. Fabrication The most challenging part of achieving the proposed dielectric-resonator filter structures is to find an appropriate fabrication approach. Traditional mechanical machining fails to work on the ceramic substrates due to the hardness of the materials. Laser machining is relatively expensive and is not very applicable for cutting such thick ceramic materials due to the high power and heat input. As an alternative, low-cost waterjet machining turns out to be very effective in machining such substrates. This technique uses a powerful stream of cold water, mixed with a certain amount of fine sand, directed onto the substrate to carry out the cutting. Unlike laser machining, waterjet cutting has the unique advantage that there is no heat input to

2170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 5. Mode chart of the dielectric resonator fabricated from the ceramic substrates with a dielectric constant of 38.6 and a thickness of 3.5 mm.

Fig. 4. (a) Support structure. (b) Assembled support and the resonators shown in Fig. 1(a). (c) Assembly of the support and a four-resonator structure.

distort the material. At the same time, the machining is computer controlled, leading to a highly precise and efficient process. III. STRUCTURE OF THE SUPPORT Like conventional dielectric resonators, a mounting structure is also required to support the proposed resonator structures. This prevents the direct contact of resonators with the metallic enclosure, reducing conductor losses and enhancing the values. Mounting arrangements and support materials have a significant impact on the overall filter performance. The support materials have to meet specific criteria such as low dielectric constant, low loss, and being mechanically stable. The supports for the proposed dielectric-resonator filters are fabricated from commercially available Teflon with a low dielectric constant of 2.1 and a loss tangent of 0.0003. Teflon is also used here because it can be easily cut, sheared, and machined to the desired shape, as represented in Fig. 4(a). The primary benefit of using a single piece of Teflon as the support, rather than the individual cylindrical post commonly found in conventional dielectric-resonator filters, is that the manufacturing complexity is greatly reduced, and there is no need of alignment between the resonators and support. The resonators fit well into the support with the help of a low-loss adhesive layer. This structure of the support is more suitable for mounting the dielectric-resonator structure in Fig. 1(a) than with the structure in Fig. 1(b) since it provides a much better support for the linkage between the resonators. Therefore, the dielectric-resonator structure in Fig. 1(a) is selected for designing all the proposed filters. The assembled structure, as conveyed in Fig. 4(b), can be easily fit inside the filter housing as one piece. Fig. 4(c) depicts the assembly of the support and a four-resonator structure.

Fig. 6. Coupling coefficient versus

W.

IV. TWO-POLE FILTER DESIGN Typically, dielectric-resonator filters exhibit an excellent in-band performance, but poor out-of-band spurious characteristics due to the crowded mode spectrum. The rule of designs in achieving a dielectric-resonator filter with a wide spurious-free performance is to use a mode chart to select the resonator dimensions that provide a well-spaced mode spectrum. For high- ceramic substrates with a dielectric constant of 38.6, the available thickness of the substrates varies from less than 1 mm up to several millimeters. For the factor and the spurious performance, it is critical to select the appropriate thickness of the substrate. Fig. 5 displays a mode chart that illustrates the effects on the resonant frequency when substrates with a thickness of 3.5 mm are adopted. The design of the proposed dielectric-resonator filters follows the standard filter synthesis steps. The inter-resonator coupling and the input/output coupling are obtained from the elements of the filter coupling matrix. To implement a two-pole filter, the inter-resonator coupling structure illustrated in Fig. 1(a) is used. The coupling coefficients are calculated as a function of and , and the corresponding results are given in Fig. 6. When is fixed, the couincreases. When is fixed, the larger pling decreases as value of leads to a smaller coupling. The input/output couplings are attained by using coaxial probes. The probes are placed codirectional with the electric

ZHANG AND MANSOUR: LOW-COST DIELECTRIC-RESONATOR FILTERS WITH IMPROVED SPURIOUS PERFORMANCE

2171

Fig. 8. 2-D electric-field distribution of the two-pole filter [see Fig. 7(a)] at 4.18 GHz.

Fig. 9. 2-D electric-field distributions of the resonators with concentric holes at: (a) 4 and (b) 4.18 GHz.

TABLE I SPURIOUS-FREE STOPBAND AS A FUNCTION OF THE DIMENSIONS OF THE CONCENTRIC HOLES AND THE RESONATORS

Fig. 7. (a) Two-pole dielectric-resonator filter from [9]. (b) Simulated and measured wideband frequency responses of the filter.

field of the input/output resonators to excite the mode is obtained by inside the resonators. The desired external varying the input/output coupling between the probes and the end resonators [11] by changing the length of the probes. To achieve a Chebyshev two-pole filter with a passband ripple of 0.04 dB, a center frequency of 4 GHz and a bandwidth of and ex40 MHz, inter-resonator coupling value are required. The designed filter ternal quality factor is precisely machined with the desired dimensions and mounted in a metallic enclosure. The enclosure is manufactured from copper in two parts: a body and lid. When fine tuning is necessary, tuning screws for adjusting both resonant frequency and couplings can be inserted through the holes in the lid. In the design procedure, all the relevant geometrical features, including the dielectric resonators, the support, and the metallic enclosure, are taken into account. In addition, a tolerance study was performed to evaluate the impact of variations in both the dielectric constant and filter dimensions on the filter performance. The manufacturer’s specification for the dielectric constant of the ceramic is 38.6 1, whereas the accuracy of the waterjet machining is 0.003 in. The simulation results show that a 1 variation in the dielectric constant would cause a shift of 50 MHz in the filter center frequency. A 0.003 machining error would result in a 8-MHz frequency shift. Such frequency shifts can be easily compensated by the use of tuning screws. Fig. 7(a) shows a photograph of the fabricated two-pole dielectric-resonator filter. The measured wideband performance of the filter is shown in Fig. 7(b) in comparison with the simulation results.

V. SPURIOUS PERFORMANCE The spurious performance of the two-pole filter [see Fig. 7(a)] is examined. According to the prediction of the mode chart in mode, which Fig. 5, the first spurious frequency is the should appear at 4.6 GHz. There is another spurious resonance that shows up at 4.18 GHz. The simulated 2-D electric-field distribution of the filter, at 4.18 GHz, is plotted in Fig. 8. It shows that this undesirable spurious mode is the result of the filter configuration with two resonators connected to each other. In addition, Fig. 8 provides valuable information for the suppression of this unwanted mode. It is indicated that this mode shall be significantly suppressed (i.e., moved to a higher frequency) by making a circular hole along the axis of the resonator; yet the passband performance remains unchanged since the fundamental mode has a zero electric-field along the axis (Fig. 2), whereas the spurious mode has an electric field that is concentrated in the middle of the resonator. This prediction is verified by the calculated 2-D electric-field distributions of the resonators with concentric holes at 4 and 4.18 GHz, which are illustrated in Fig. 9(a) and (b) respectively. The spurious-free stopband is determined as a function of the dimensions of the concentric holes and the corresponding resonators, with a center frequency of 4 GHz for the filter in all cases, and the results are listed in Table I. A modified two-pole dielectric-resonator filter with concentric holes drilled through the resonators is designed and fabricated, as shown in Fig. 10(a). To achieve the required inter-resonator and input/output coupling, the size of the resonators and the spacing between them are changed. The concentric holes

2172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 10. (a) Modified two-pole dielectric-resonator filter with concentric holes in the resonators. (b) Simulated and measured wideband frequency responses of the filter.

can be easily drilled by waterjet machining with the precise positions and dimensions as designed. Fig. 10(b) presents the corresponding simulation and measurement results of this new filter, where the spurious-free stopband is increased from 180 to 600 MHz. The maximum insertion loss in the passband of value of approxithe filter [see Fig. 10(a)] is 0.25 dB. A mately 5000, which incorporates both the conductor and dielectric losses of the resonators and their surroundings, is achieved. A much better can be achieved with the use of recently developed high- dielectric materials, and silver or gold-plated housing. VI. FOUR-POLE FILTER DESIGNS AND MEASUREMENTS The successful design of the two-pole dielectric-resonator filters makes it possible to implement higher order dielectric-resonator filters by using the same concept. As a result, a four-pole filter was designed and built. To achieve a Chebyshev four-pole filter with a passband ripple of 0.04 dB, a center frequency at 4 GHz, and a bandwidth of 40 MHz, inter-resonator coupling , , and external value are required. However, for the four-pole quality factor filter, when the effects of the coupling from other adjacent or nonadjacent resonators are introduced, the center frequencies of each resonator vary slightly, thus the resonator dimensions require further adjustment in order to maintain the same center frequency. A photograph of the designed and fabricated four-pole dielectric-resonator filter is given in Fig. 11(a). To eliminate the mutual coupling between the input and output resonators, the

Fig. 11. (a) Four-pole dielectric-resonator filter from [9]. (b) Simulated and measured wideband frequency responses of the filter.

built-in shielding fin is employed. Fig. 11(b) signifies the simulated and measured wideband performance of the filter. The observed discrepancy between the simulation and measurement results might be due to the insertion of the tuning screws and a possible energy leakage from the gap in between the housing body and lid. A transmission zero appears in the upper frequency band. The coupling matrix of the four-pole filter is investigated to find out the source of the unwanted coupling. The investigation has shown that there is a small cross coupling between the input/output resonators and the nonadjacent resand . This small coupling can be onators, i.e., further reduced by decreasing the width of the dielectric linkage between the resonators. Similarly, the first spurious mode appears at 4.2 GHz due to the integration of the four resonators as a single piece, whose electric-field distribution at the spurious frequency is shown in Fig. 12. To suppress the unwanted spurious response at 4.2 GHz, as well as the unexpected cavity mode, a new four-pole dielectric-resonator filter with concentric holes in the resonators and a cross-shaped built-in fin in the housing, as shown in Fig. 13, is designed and fabricated. Fig. 14 illustrates a configuration to calculate the coupling coefficient for designing the filter in Fig. 13. A plot of the calculated coupling coefficient as a function of with a fixed width, but different length of the built-in fin, is drawn in Fig. 15. Fig. 16 offers the corresponding simulation and measurement

ZHANG AND MANSOUR: LOW-COST DIELECTRIC-RESONATOR FILTERS WITH IMPROVED SPURIOUS PERFORMANCE

2173

Fig. 12. 2-D electric-field distribution of the four-pole filter [see Fig. 11(a)] at 4.2 GHz. Fig. 16. Simulation and measurement results of the fabricated four-pole filter shown in Fig. 13.

Fig. 13. Fabricated four-pole dielectric-resonator filter with concentric holes in the resonators.

Fig. 17. Conventional asymmetric filtering functions. (a) Triplet with the positive cross coupling. (b) Triplet with the negative cross coupling by using a probe between the input and output resonators.

Fig. 14. Simulation model of the inter-resonator coupling coefficient (top view) for the design of the four-pole filter shown in Fig. 13.

Fig. 15. Calculated coupling coefficient versus spacing onators with the different iris length .

L

D between two res-

results of the modified four-pole dielectric-resonator filter design (Fig. 13). The spurious-free stopband is increased from 200 to 480 MHz, and the maximum insertion loss in the passband of the filter is 0.4 dB. A value of approximately 5000 is achieved.

VII. TRIPLETS In the conventional dielectric-resonator triplet in Fig. 17(a), where an asymmetric filtering characteristic is obvious, the cross coupling implemented by an iris between input and output resonators produces a transmission zero outside the passband of the frequency response. By using a coupling probe, as indicated by Fig. 17(b), the location of the transmission zero can be moved from one side, outside the passband, to the opposite side of the passband. This approach for implementing dielectric-resonator triplets is quite difficult and expensive. This is due not only to the complexity of the integration and the alignment of the resonators, but also to the additional efforts to integrate a probe between the input/output resonators when it is necessary. A new monolithic triplet structure based on the proposed dielectric-resonator configuration is employed to realize asymmetric filtering functions. Fig. 18(a) shows a layout of the novel triplet structure. Three resonators are connected since they are machined in one piece from a ceramic dielectric substrate. The same Teflon support structure for mounting the two- and fourpole filters is also used to support the triplet. The connecting dielectric material between the input and output resonators provides the required cross coupling to realize a transmission zero. This triplet structure simplifies the assembly, integration, and

2174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 18. Proposed asymmetric filtering functions. (a) Triplet with the positive cross coupling. (b) Triplet with the negative cross coupling by attaching a strip line on the dielectric substrate between the input and output resonators.

Fig. 20. Simulation and measurement results of the two fabricated triplets. (a) Results of the triplet shown in Fig. 19(a). (b) Results of the triplet shown in Fig. 19(b)

Fig. 19. Two fabricated dielectric-resonator triplets: (a) without a strip line and (b) with a strip line on the dielectric linkage between the input and output resonators.

Once the two triplets are designed, they are fabricated according to the layouts in Fig. 18. Photographs of the fabricated triplet filter sections are shown in Fig. 19, and the simulation and measurement results are graphed in Fig. 20. Obviously, there is a transmission zero in the upper frequency band of the triplet in Fig. 19(a), and the transmission zero moves to a lower frequency band when a strip line is introduced to the triplet in Fig. 19(b). The good spurious performance of both triplets is also presented in Fig. 20. VIII. CONCLUSION

alignment of the resonators, reducing the production time and costs. More importantly, the presence of the physical linkage between the input and output resonators provides the capability of changing the sign of the cross coupling, which then relocates the transmission zero from one side to the opposite side of the passband response. As demonstrated by the layout in Fig. 18(b), the capability to change the sign of the cross coupling can be easily achieved by simply placing a strip line on the surface of the dielectric linkage by means of photoetching, metallic ink printing, or attaching a piece of metallic tape with the designed dimensions. No extra probe is needed at all. This feature further reduces the complexity of the manufacturing of the triplet while providing the desired asymmetric filtering characteristic.

This paper has presented a monolithic dielectric-resonator configuration for the realization of low-cost dielectric-resonator filters. The concept is very attractive since the fabrication, assembly, and integration is much simpler than that of conventional dielectric-resonator filters; therefore, the production cost can be significantly reduced. Two- and four-pole dielectric-resonator filters using the proposed structure have been designed, fabricated, and measured. The wideband spurious performance is investigated for the designed filters and the simple approach is adopted to improve the spurious response. Moreover, a novel triplet structure based on the monolithic dielectric-resonator configuration has been developed, proving a simple way to implement the nonadjacent cross coupling for the realizations of asymmetric filtering characteristics. A of 5000 at 4 GHz

ZHANG AND MANSOUR: LOW-COST DIELECTRIC-RESONATOR FILTERS WITH IMPROVED SPURIOUS PERFORMANCE

has been achieved with the use of the previously purchased and unplated copper dielectric substrate materials of , housing. The use of new dielectric materials of where should lead to a much higher value. The proposed concept promises to be useful in the design of low-cost high- filters for base-station filter applications and can be potentially employed to design dual-mode compact filters at low costs. ACKNOWLEDGMENT The authors are grateful to B. Jolley, Laboratory Manager of the Centre for Integrated RF Engineering (CIRFE), University of Waterloo, Waterloo, ON, Canada. REFERENCES [1] I. C. HunterL. Billonet, B. Jarry, and P. Guillon, “Microwave filtersapplications and technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 794–805, Mar. 2002. [2] S. B. Cohn, “Microwave bandpass filters containing high- dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 218–227, Apr. 1968. [3] S. J. Fiedziuszko, “Dual-mode dielectric resonator loaded cavity filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1311–1316, Sep. 1982. [4] K. Wakino, T. Nishikawa, and Y. Ishikawa, “Miniaturization technologies of dielectric resonator filters for mobile communications,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1295–1300, Jul. 1994. [5] R. R. Mansour, “Filter technologies for wireless base stations,” IEEE Micro, pp. 68–74, Mar. 2004. [6] R. Vahidieck, “Design and development of high- microwave filters-past, present and future,” in IEEE Africon, Sep. 1999, vol. 2, pp. 1099–1104. [7] C. WangH. W. Yao, K. A. Zaki, and R. R. Mansour, “Mixed modes cylindrical planar dielectric resonator filters with rectangular enclosure,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2817–2823, Dec. 1995. [8] I. C. Hunter, J. D. Rhodes, and V. Dassonville, “Dual-mode filters with conductor-loaded dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2304–2311, Dec. 1999. [9] R. Zhang and R. R. Mansour, “Dielectric resonator filters fabricated from high- ceramic substrates,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 234–237.

Q

Q

K

2175

[10] HFSS. ver. 8.5, Ansoft, Pittsburgh, PA [Online]. Available: http://www. ansoft.com [11] J. S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. Rui Zhang (S’04–M’07) received the B.E. and M.E. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1998 and 2001, respectively, and the Ph.D. degree from the University of Waterloo, Waterloo, ON, Canada, in 2007, all in electrical engineering. From October 2000 to March 2001, she was with the Department of Electronic Engineering, The Chinese University of Hong Kong, as a Research Assistant. She is currently a Post-Doctoral Researcher with the University of Waterloo. She has authored or coauthored several scientific papers. She has a patent pending in the area of dielectric-resonator filters. Her current research interests include design of electromagnetic bandgap (EBG) structures, RF/microwave filters, and circuits.

Raafat R. Mansour (S’84–M’86–SM’90–F’01) was born in Cairo, Egypt, on March 31, 1955. He received the B.Sc. (with honors) and M.Sc. degrees from Ain Shams University, Cairo, Egypt, in 1977 and 1981, respectively, and the Ph.D. degree from the University of Waterloo, Waterloo, ON, Canada, in 1986, all in electrical engineering. In 1981, he was a Research Fellow with the Laboratoire d’Electromagnetisme, Institut National Polytechnique, Grenoble, Grenoble, France. From 1983 to 1986, he was a Research and Teaching Assistant with the Department of Electrical Engineering, University of Waterloo. In 1986, he joined COM DEV Ltd., Cambridge, ON, Canada, where he held several technical and management positions with the Corporate Research and Development Department. In 1998, he became a Scientist. In January 2000, he joined the University of Waterloo, as a Professor with the Department of Electrical and Computer Engineering. He holds a Natural Sciences and Engineering Research Council of Canada (NSERC) Industrial Research Chair in RF Engineering with the University of Waterloo. He has authored or coauthored numerous publications in the areas of filters and multiplexers and high-temperature superconductivity. He holds several patents related to microwave filter design for satellite applications. His current research interests include superconductive technology, microelectromechanical systems (MEMS) technology, and computer-aided design (CAD) of RF circuits for wireless and satellite applications.

2176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances Sheng Sun, Member, IEEE, and Lei Zhu, Senior Member, IEEE

Abstract—A new class of wideband microstrip bandpass filters is proposed under multiple resonances of an asymmetric ring resonator. Two capacitive coupling elements are placed at two perpendicular positions of a squared ring, whereas a pair of open-circuited stubs is formed in the symmetrical plane of these two excited ports similar to a dual-mode ring filter in shape. By stretching the paired stubs close to one-eighth of a wavelength, the first two even-order resonances move down to be quasi-equally located at two sides of the first odd-order resonance, thus forming a triple-resonance ring resonator. As interdigital coupled lines are installed at two ports instead of lumped capacitors, two extra resonances can be moved into passband, thereby making up a quintuple-resonance ring resonator. To provide an insight into their operating mechanism, these ring resonators are characterized in terms of transmission line theory. Afterwards, various wideband microstrip ring resonator bandpass filters with one or two asymmetric ring resonators are optimally designed and fabricated. Simulated results are confirmed via experiment, showing good wideband filtering performance with widened/deepened upper stopband and sharpened rejection skirts outside the wide passband. Index Terms—Microstrip ring resonator, multiple resonant modes, parallel-coupled line, wideband bandpass filter.

I. INTRODUCTION

T

HE MICROSTRIP ring resonator has been widely used in the development of microwave bandpass filters, couplers, mixers, oscillators, and antennas [1]. It has many attractive features, including low radiation loss, high- factor, compact size, split modes, and sharp rejection skirts. Without involving any open-end effect, more accurate measurement can be done by a closed-loop resonator [1], [2]. To explore more advanced applications of the ring resonator, the dual-mode resonator was originally introduced by Wolff [3]. Two degenerated modes are excited by introducing a perturbation element along an orthogonal plane of resonator. Compared with the patch resonator with low conductor loss and high power-handling capability [4], the ring resonator always benefits from its line-based structures with lower radiation loss and high- factor [5]. To improve the factor and reduce the overall size, the ring resonator was loaded by capacitive open-circuited fingers in [5] and properly rearranged with a low resistance path for suppression of undesired even modes in oscillator and filter applications [6]. Manuscript received May 23, 2007; revised July 25, 2007. The authors are with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: sunsheng@ieee. org; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906510

As is well known, the coupling behavior between two degenerated modes existing in a ring resonator can be used to construct a class of dual-mode bandpass filters with a narrow fractional bandwidth. To properly split these two orthogonal modes, perturbation elements with various configurations were introduced in the symmetrical plane of a ring resonator with respect to its two excited ports [7]–[18]. In the stepped-impedance ring resonator [7], its two degenerate-mode frequencies are controlled via the length ratio of two line sections with different characteristic impedances. Lumped capacitors are placed at the diagonal corners of a symmetrical ring in series and/or shunt formats as simple perturbation elements [8], [9]. Other perturbation elements include small patches and/or notches placed at the corners of square-loop [10] and hexagonal-loop resonators [11], and narrow slots etched on ground plane underneath a symmetrical ring strip conductor [12]. To improve the upper stopband performance of dual-mode bandpass filters, a periodic stepped-impedance ring resonator was proposed in [13] and a self-coupled ring resonator was constructed using a multilayered configuration of a low-temperature co-fired ceramic (LTCC) substrate [14]. By adjusting the size of perturbation stubs [15] and the angle between two excitation points [16], the attenuation pole can be properly located on either side of the passband. In addition, meander-line structures were attached in ring resonators, leading to a size-miniaturized dual-mode filter [17], [18] and a dual-band dual-mode filter [19]. On the other hand, much effort has been made to propose various coupling approaches to maximize the return loss in the primary passband, e.g., enhanced side-coupling [20], line-to-ring coupling [15], [17], [21], [22], and interdigital coupling schemes [23]. Compared with the direct-connecting scheme [24], the capacitive gap or coupled-line technique brings out excellent dc-choked and highly attenuated low-stopband performance below the passband. In addition, this technique can facilitate accurate fabrication of the entire filter circuit without needing to solder lumped capacitors [7], [12]. Recently, the ring resonators start to be utilized to explore bandpass filters with a wide fractional passband. In [24], two open-circuited stubs are attached with a ring resonator to create a wide passband relying on the lower and upper transmission zeros [24]. In [25], a bandpass filter with two ring resonators achieves 80% fraction bandwidth. However, these wideband ring resonator bandpass filters [24], [25] require direct-connected or direct-tapped feeding structures at two ports, thereby suffering from poor rejection performance in a frequency range below the desired passband. To the best of our knowledge, there is no reported work that has developed a wideband ring resonator bandpass filter with good low-band rejection using a capacitive coupling scheme at excited ports.

0018-9480/$25.00 © 2007 IEEE

SUN AND ZHU: WIDEBAND MICROSTRIP RING RESONATOR BANDPASS FILTERS UNDER MULTIPLE RESONANCES

2177

Fig. 1. Schematics of the proposed multiple-resonance ring resonators with two distinct feeding structures. (a) Lumped capacitors. (b) Interdigital coupled lines.

The objective of this paper is to present and implement a new class of wideband ring resonator bandpass filters with excellent out-of-band rejection. The concept of a multiple-resonance resonator for a wideband planar bandpass filter was proposed in [26]. In this paper, multiple resonances behavior of a ring resonator with loading of open-circuited stubs will be characterized in a comprehensive way and it will be further utilized to constitute a new class of wideband ring resonator bandpass filters with compact size, sharpened rejection skirts, good dc-choked, and improved out-of-band performance. Fig. 1(a) and (b) depicts the layout configurations of the two proposed multiple-resonance ring resonators with lumped-capacitors and interdigital coupled lines at two excited ports, respectively. After their distinct multiple resonant behaviors are theoretically analyzed, two fully integrated wideband filters with single- and double-ring resonators are optimally designed using full-wave Agilent Momentum software [27]. Finally, a few prototype wideband filters are fabricated to provide experimental verification on predicted results. II. PRINCIPLE AND GEOMETRY OF WIDEBAND RING FILTERS The initial wideband ring resonator bandpass filter, as shown in Fig. 1(a), is very similar to the traditional dual-mode ring resonator filters with stub-loaded perturbation elements in geometry [1]. Two excited ports are selected at the two orthogonal positions, i.e., center of left and bottom sides, of a square ring resonator. They are linked with two external feeding lines via identical lumped capacitors. On the other hand, a pair of open-circuited stubs is simultaneously placed at the two corners along the diagonal line of this square ring. As these two stubs are stretched close to one-eighth of a guided-wavelength, the first two even-order resonant frequencies can be moved down together to be symmetrically located at the two sides of its first odd-order counterpart. As the capacitances are properly enlarged, such a triple-resonance ring resonator can be expected to achieve a wide passband. Fig. 2(a) shows frequency responses magnitude under weak and tight coupling of calculated cases. Apparently the three resonant frequencies with maximum transmission are almost equally distributed with the central is enlarged from one around 4.1 GHz. As the capacitance 0.2 to 2.2 pF, a wide passband is realized in the frequency range of 2.9–5.4 GHz, showing a fractional bandwidth of 60%. Moreover, two transmission zeros appear below and above the desired passband, thus sharpening out-of-band rejection skirts.

Fig. 2. Frequency responses of two multiple-resonance ring resonator circuits under weak or tight coupling cases. (a) Triple-resonance ring resonator circuit with lumped capacitors at two ports in Fig. 1(a). (b) Quintuple-resonance ring resonator circuits with interdigital coupled lines (strip width: 0.1 mm, slot width: 0.1 mm) at two ports in Fig. 1(b).

Intuitively speaking, these two zeros are generated by cross coupling in a stub-loaded asymmetrical ring resonator [10]. Fig. 1(b) shows the schematic of a modified ring resonator bandpass filter with two interdigital coupled lines at two ports. This modified structure is firstly formed to realize a tightened coupling degree between the ring and two feeding lines so that a fully integrated filter can be constructed without needing lumped capacitors. However, after extensive analysis is carried out, two extra resonances emerge in the desired passband and they are brought out by two additional stubs in the interdigital coupledline sections. Expectantly, these five resonances appropriately work together to constitute a quintuple-resonance ring resonator. magnitudes of such a ring resFig. 2(b) illustrates simulated . onator circuit with a short or long length of coupling arms As shown in Fig. 2(b), five coupling peaks emerge in the case of mm. As this arm length increases to mm, -magnitude curve rises up to the 0-dB smooth line, thus the forming a wide passband in the range of 2.9–5.4 GHz. In the following, these two ring resonators will be thoroughly studied on a basis of simple transmission line theory [1], [7], [15]. III. MULTIPLE-RESONANCE MICROSTRIP RING RESONATORS A. Triple-Resonance Ring Resonator Fig. 3(a) depicts schematic of the triple-resonance ring resonator with two ports at orthogonal positions. It consists of a one-wavelength square ring and two open-circuited stubs at the two corners of a diagonal line between two ports. With the evenand odd-mode excitations at two ports, the diagonal line can be

2178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 4. Normalized even-order resonant frequencies (f =f and f =f ) versus normalized stub length (t =  = ) for the triple-resonance ring resonator shown in Fig. 3(a). (R = 0:5; 1:0; and 2:0)

Fig. 3. Schematics of a triple-resonance ring resonator for even-odd modes analysis. (a) Ring resonator with perfectly magnetic wall (M.W.) and electric wall (E.W.) at the diagonal line. (b) Even-mode circuit. (c) Odd-mode circuit.

considered as a perfectly magnetic wall and electric wall. As such, two of the half symmetrical line resonators can be formed with ideal open- and short-circuited ends at two sides, as shown and reprein Fig. 3(b) and (c), respectively. In Fig. 3, sent characteristic impedance of the ring resonator and open-circuited stubs, whereas and are the lengths of one-quarter of a square ring and paired stubs, respectively. In the even-mode case, the stubs on two sides, as shown in Fig. 3(b), are halved in width so that the characteristic impedance becomes double, . Similarly to those of the stepped-impedance resonator i.e., [28], the resonant condition for even-order resonances can be derived under the two open-circuited terminals in Fig. 3(b), and it can be simply expressed as two individual algebraic equations as follows: (1) (2) where . Similarly, with reference to the odd-mode circuit, as shown in Fig. 3(c), the resonant condition for a short-circuited line resonator can be derived as an algebraic equation as follows: (3) Based on the above analysis, resonant frequencies of all the even- and odd-order modes can be solved as roots of (1)–(3). Fig. 4 plots the normalized resonant frequencies of the first two and versus the length ratio even-order modes, under the three impedance ratio of of and . As can be found in Fig. 4, these two frequencies seem to be synchronously reduced as increases from 0 to 1.0. In pardecreases by half or 50% regardless of varied ticular, . More importantly, we can figure out that impedance ratio the first three resonant frequencies can be equally spaced as the is appropriately selected under the fixed . As length ratio originated in [26] and also initially shown in Fig. 2(a), these three resonances can work together with tightened coupling elements at two excited ports to make up a wide passband. For a fixed , there exists only one solution for length ratio under

the condition of . As decreases from moves down from C, to B, 2.0, to 1.0, to 0.5, the length ratio to A; thus, equally raising and . This trend allows us to construct a triple-resonance ring bandpass filter with controllable wide bandwidth later on. As studied in [10] for a dual-mode ring resonator bandpass filter, two transmission zeros appear in the lower and upper cutoff edges of the desired passband if one or two open-circuited stubs are attached in shunt along the diagonal line between two ports. To investigate the triple-resonance case under modeling, the ring resonator in Fig. 3(a) is rearranged as a simplified schematic, as shown in Fig. 5(a). Based on the transmission line theory, the mutual admittance between the input and , at the frequenoutput ports must be zero, i.e., cies of transmission zeros, such that (4) Fig. 5 plots the four normalized transmission zero frequencies, i.e., , , , and with respect to under . As increases from 0 to the length ratio slowly drops down 0.42, the first transmission zeros and the fourth one, i.e., , quickly decreases close to . Meanwhile, the second and third ones, i.e., and , vary in opposite directions and get merged at . Beyond , only and emerge in the real world. Moreover, these two zeros and are always loin cated on the two sides of the second resonant frequency and . As a consequence, they can be apa range of and propriately relocated below the first resonant frequency above the third one, i.e., , respectively. As such, a wide passband with two transmission zeros can be realized at the lower and higher rejection edges, as plotted in Fig. 2(a). B. Quintuple-Resonance Ring Resonator Fig. 1(b) represents a modified ring resonator that is driven by interdigital coupled lines at two ports. Its simplified equivalent even- and odd-mode circuits are described in Fig. 6(a) and (b). Looking at two sets of corresponding circuit schematics, as shown in Fig. 3 and Fig. 6, we can find out that an extra open-circuited stub is introduced at a certain position for both even- and odd-mode stepped impedance resonators. These paired stubs can move two additional coupling peaks into

SUN AND ZHU: WIDEBAND MICROSTRIP RING RESONATOR BANDPASS FILTERS UNDER MULTIPLE RESONANCES

2179

Fig. 7. Normalized resonant frequencies (f =f , f =f , f =f , f =f , and f =f ) versus normalized stub length (t =  = ) for the quintuple-resonance ring resonator shown in Fig. 1(b) (R = 1:0, R = 2:0, and t =  = = 0:7).

and (8) (9) Fig. 5. (a) Schematics of a triple-resonance ring resonator. (b) Normalized frequencies of four transmission zeros (f =f , f =f , f =f , and f =f ) versus normalized stub length (t =  = ). (R = 1).

Similarly, for the odd-mode case, we can derive (10) in terms of two input impedances with the superscript “ ” as follows: (10) where (11) (12)

Fig. 6. (a) and (b) Equivalent even- and odd-mode circuits of a quintuple-resonance ring resonator, as shown in Fig. 1(b).

the passband, thereby causing a synchronous appearance of five or quintuple resonances, as illustrated in Fig. 2(b). Thus far, a quintuple-resonance ring resonator is constituted. Its five resonant frequencies can be derived under the transverse resonant condition that the two oppositely oriented input imand , at the same position be zero. For pedances, i.e., the even-mode case, this condition can be expressed in terms of two input impedances with the superscript “ ” as follows: (5) where (6) (7)

Subsequently, (5)–(12) allow one to determine all the evenand odd-mode resonant frequencies in an explicit manner. Fig. 7 plots the first five normalized resonant frequencies , , , , and versus normalized under the fixed , , stub length of . As can be found in Fig. 7, these five and resonant frequencies seem to be synchronously reduced and distributed in the range between two transmission zeros, as increases from 0 to 1.0. Particularly, the third even-mode and second odd-mode resonant frequencies decrease dramatically, thus approaching the frequency range where the other three resonant frequencies are allocated. To give an intuitional view on resonance behavior of such a quintuple-resonance ring resonator, its whole transmission line topology is described in Fig. 8(a) and it is connected with two external feed lines under pF . Fig. 8(b) plots three a weak capacitive coupling sets of simulated frequency responses of this ring resonator circuit with varied . As increases from 0.3, 0.6, to 0.9, the number of coupling peaks or transmission poles, including the effect of capacitive coupling, rises up from 3, 4, to 5. On the other hand, regardless of varied , the two transmission zeros always appear at the same positions below and above the five poles. As implied in Fig. 8(a), the transmission phases along the upper and lower paths in the middle of this ring resonator remain unchanged, thus allowing two transmission zeros to remain stationary.

2180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 8. (a) Schematics of a quintuple-mode ring resonator. (b) Frequency responses of S magnitudes under varied orthogonal stub lengths ( ) under weak coupling at two ports.

Fig. 9. Physical layouts of two wideband quintuple-mode ring resonator bandpass filters. (a) Initial structure with externally installed straight stubs. (b) Improved structure with internally embedded T-shaped stubs.

IV. WIDEBAND RING RESONATOR FILTERS Based on the above-discussed characteristics of the multipleresonance ring resonator, a new class of wideband bandpass filters are designed and implemented on a substrate with and thickness mm. Fig. 9(a) and (b) describes the physical layouts of two single-stage bandpass filters. To miniaturize the overall dimension of a wideband filter, two externally

Fig. 10. Comparison between simulated and measured frequency responses of the improved quintuple-resonance ring resonator bandpass filter with normal interdigital capacitor structures at two ports. (a) Fabricated filter. (b) S and S magnitudes.

stretched stubs in Fig. 9(a) are arranged inside a square ring and further split to T-shaped stubs in Fig. 9(b). In addition, this arrangement can avoid direct overlapping and minimize unexpected mutual coupling between two internally stretched stubs. Using the Momentum simulator [27], a minimized wideband ring bandpass filter is optimally designed under the target of equalized bandwidth and maximum return loss. To validate the above-presented filtering performance, the wideband filter with miniaturized size, as shown in Fig. 9(b), is fabricated and measured. Fig. 10(a) shows a photograph of the fabricated filter. The measured results are plotted together with those simulated ones in Fig. 10(b), thus assuring the attractive wideband filtering performance in experiment. Over the achieved wide passband of 2.8–5.7 GHz, the measured return loss is kept higher than 20.0 dB. Meanwhile, the measured insertion loss is approximately 0.7 dB at the central frequency of 4.2 GHz and is slightly raised to 1.8 dB at the upper cutoff frequency due to unexpected radiation loss of microstrip-line ring resonators at high frequencies [1]. As demonstrated in Fig. 10, there exists an undesired narrow passband around 7.2 GHz. Without any extra cost, the two outer strip conductors in the interdigital coupling section are appropriately stretched to constitute the capacitive-ended interdigital coupled line in [29] and to effectively suppress this harmonic passband in terms of a reallocated transmission zero. Fig. 11(a) shows a photograph of the fabricated filter and its measured frequency responses are plotted in Fig. 11(b). As can be observed from Fig. 11(b), the harmonic passband around 7.2 GHz has been completely suppressed, thus achieving a widened and deepened upper stopband. Again, the measured results are in good agreement with the simulated ones over

SUN AND ZHU: WIDEBAND MICROSTRIP RING RESONATOR BANDPASS FILTERS UNDER MULTIPLE RESONANCES

Fig. 11. Comparison between simulated and measured frequency responses of the improved quintuple-resonance ring resonator bandpass filter with harmonicsuppressed interdigital capacitor structures at two ports. (a) Fabricated filter. (b) S and S magnitudes.

2181

17.5 dB over the passband and the measured insertion loss at center, i.e., 4.25 GHz, is approximately 0.9 dB. In particular, five transmission poles can be clearly seen from both predicted and measured results plotted in Fig. 11(b), thus confirming that the proposed ring resonator filter operates under quintuple resonances. Finally, two identical quintuple-resonance ring resonators are appropriately connected via capacitive-ended parallel-coupled microstrip line, aiming to further improve the out-of-band performance in both lower and upper stopbands. The filter is also optimally designed based on Momentum software. Fig. 12(a) shows a photograph of the fabricated filter with two quintupleresonance ring resonators. Fig. 12(b) represents the predicted and measured results. In the lower stopband, the maximum insertion loss rises up from 14.6 dB in Fig. 10(b) to 26.6 dB. In the upper stopband, this insertion is raised higher than 31.0 dB. Furthermore, the rejection skirts near both lower and higher cutoff frequencies get sharpened to a large extent. On the other hand, as two resonators are utilized, the overall dimension becomes visibly large and the in-band insertion loss increases certainly like any bandpass filter with an increased number of transmission poles or resonators. V. CONCLUSION In this study, two multiple-resonance microstrip ring resonators with loading of open-circuited stubs have been proposed to make up a novel class of microstrip wideband bandpass filters. After triple- and quintuple-resonance ring resonators have been thoroughly investigated in terms of simple transmission line theory, the wideband filters with lumped capacitors or interdigital coupled lines at two excited ports have then been presented. A total of five resonances of a ring resonator have been utilized for the wideband filter design, including the first three even-order resonances and the first two odd-order resonances. Later on, much effort was made to constitute a class of fully integrated wideband filters with capacitive-ended parallel coupled lines. Predicted results are well confirmed in experiment and they exhibit several attractive features such as low insertion loss, high return loss, improved out-of-band performance, sharpened rejection skirts, miniaturized size, and so on. REFERENCES

Fig. 12. Comparison between simulated and measured frequency responses of the two-stage quintuple-resonance ring resonator bandpass filter with harmonicsuppressed parallel-coupled structures at two ports and in the middle section. (a) Fabricated filter. (b) S and S magnitudes.

the frequency range. Within the achieved passband of 64% fractional bandwidth, the measured return loss is lower than

[1] K. Chang, Microwave Ring Circuits and Antennas. New York: Wiley, 1996. [2] P. Troughton, “Measurement techniques in microstrip,” Electron. Lett., vol. 5, no. 2, pp. 25–26, Jan. 1969. [3] I. Wolff, “Microstrip bandpass filters using degenerate modes of a microstrip ring resonators,” Electron. Lett., vol. 8, no. 12, pp. 163–164, Jun. 1972. [4] J. S. Hong and S. Li, “Theory and experiment of dual-mode microstrip triangular patch resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1237–1243, Apr. 2004. [5] J. S. Hong and M. J. Lancaster, “Capacitively loaded microstrip loop resonator,” Electron. Lett., vol. 30, no. 18, pp. 1494–1495, Sep. 1994. [6] D. K. Paul, P. Gardner, and K. P. Tan, “Suppression of even modes in microstrip ring resonators,” Electron. Lett., vol. 30, no. 21, pp. 1772–1774, Oct. 1994. [7] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001.

2182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[8] B. T. Tan, S. T. Chew, M. S. Leong, and B. L. Ooi, “A dual-mode bandpass filter with enhanced capacitive perturbation,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 8, pp. 1906–1910, Aug. 2002. [9] M. F. Lei and H. Wang, “An analysis of miniaturized dual-mode bandpass filter structure using shunt-capacitance perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 861–867, Mar. 2005. [10] A. Gorur, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [11] R. J. Mao and X. H. Tang, “Novel dual-mode bandpass filters using hexagonal loop resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3526–3533, Sep. 2006. [12] B. T. Tan, J. J. Yu, S. T. Chew, M. S. Leong, and B. L. Ooi, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [13] J. T. Kuo and C. Y. Tsai, “Periodic stepped-impedance ring resonator (PSIRR) bandpass filter with a miniaturized area and desirable upper stopband characteristics,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1107–1112, Mar. 2006. [14] Y. H. Jeng, S. F. R. Chang, Y. M. Chen, and Y. J. Huang, “A novel self-coupled dual-mode ring resonator and its applications to bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2146–2152, May 2006. [15] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [16] A. C. Kundu and I. Awai, “Control of attenuation pole frequency of a dual-mode microstrip ring resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1113–1117, Jun. 2001. [17] J. S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 371–372, Nov. 1995. [18] A. Gorur and C. Jarpuz, “Miniature dual-mode microstrip filters,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 37–39, Jan. 2007. [19] T. H. Huang, H. J. Chen, C. S. Chang, L. S. Chen, Y. H. Wang, and M. P. Houng, “A novel compact ring dual-mode filter with adjustable second-passband for dual-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 360–362, Jun. 2006. [20] G. K. Gopalakrishnan and K. Chang, “Novel excitation schemes for the microstrip ring resonator with lower insertion loss,” Electron. Lett., vol. 20, no. 2, pp. 148–149, Jan. 1994. [21] L. H. Hsieh and K. Chang, “Dual-mode quasi-elliptic-function bandpass filters using ring resonators with enhanced-coupling tuning stubs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1340–1345, May 2002. [22] J. Y. Park and J. C. Lee, “A new enhanced coupling structure of microstrip ring resonator with two coupled lines and a slit,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 805–808. [23] W. C. Jung, H. J. Park, and J. C. Lee, “Microstrip ring bandpass filters with new interdigital side-coupling structure,” in Asia–Pacific Microw. Conf., Dec. 1999, pp. 678–681. [24] L. H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp-rejection, and wideband microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [25] W. Liu, Z. Ma, C. P. Chen, G. Zheng, and T. Anada, “A novel UWB filter using a new type of microstrip double-ring resonators,” in Asia–Pacific Microw. Conf., Dec. 2006, pp. 33–36. [26] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005.

[27] Advanced Design System (ADS) 2005a. Agilent Technol., Palo Alto, CA, 2005. [28] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [29] S. Sun and L. Zhu, “Capacitive-ended interdigital coupled lines for UWB bandpass filters with improved out-of-band performance,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 8, pp. 440–442, Aug. 2006.

Sheng Sun (S’02–M’07) received the B.Eng. degree in information engineering from Xi’an Jiaotong University, Xi’an, China, in 2001, and the Ph.D. degree in microwave engineering from Nanyang Technological University, Singapore, in 2006. From 2005 to 2006, he was a Research Fellow with the Institute of Microelectronics, Singapore. Since 2006, he has been a Research Fellow with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. His current research interests include the study of full-wave modeling of planar integrated circuits and antennas, as well as numerical deembedding techniques. Dr. Sun was the recipient of the Young Scientist Travel Grant (YSTG) presented at the 2004 International Symposium on Antennas and Propagation (ISAP’04), Sendai, Japan.

Lei Zhu (S’91–M’93–SM’00) received the B. Eng. and M. Eng. degrees in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. Eng. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita-Kotobuki Electronics Industries Ltd., Tokyo, Japan. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montréal, University of Montréal, Montréal, QC, Canada. Since July 2000, he has been an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. His research interests include planar filters, planar periodic structures, planar antennas, numerical electromagnetic modeling, and deembedding techniques. He has authored or coauthored over 150 papers in peer reviewed journals and conferences including 16 in the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and 24 in IEEE MICROWAVE AND GUIDED WAVE LETTERS/IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. From 2003 to 2005, he was an Associate Editor for the IEICE Transactions on Electronics. Dr. Zhu has been an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS since October 2006. He has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committee 1 on Computer-Aided Design since June 2006. He was the recipient of the 1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention presented by Matsushita–Kotobuki Electronics Industries Ltd., and the 1993 First-Order Achievement Award in Science and Technology presented by the National Education Committee, China.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2183

Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators Xiu Yin Zhang, Student Member, IEEE, and Quan Xue, Senior Member, IEEE

Abstract—This paper presents a novel approach for designing dual-mode dual-band bandpass filters with independently controlled center frequencies and bandwidths. Two microstrip perturbed ring resonators are employed to obtain dual-mode dual-band responses. Novel feeding structures are introduced to simultaneously feed the ring resonators and conveniently control the coupling strength between resonators and feeding lines, resulting in a wide tunable range of external quality factors. Two kinds of filter configurations with compact size are proposed. Both of them provide sufficient degrees of freedom to satisfy various requirements of external qualify factors and coupling coefficients at both passbands. Therefore, the center frequencies and fractional bandwidths of both passbands can be independently tuned to desired specifications within a wide range. To verify the proposed method, four filters are implemented. The measured results exhibit dual-mode dual-band bandpass responses with high selectivity. Index Terms—Bandpass filter, compact size, dual band, dual mode, feeding structure.

I. INTRODUCTION

D

UAL-BAND filters are highly desired in modern dualband wireless communication systems. Therefore, they have been extensively investigated and various design approaches have been proposed [1]–[12]. Among them, there are three typical methods. The first approach is to use the cascade connection of a bandpass filter and a bandstop filter [1]. However, it occupies large size. The second method is to utilize stepped-impedance resonators [2]–[7]. In [2]–[5], halfand one-wavelength resonators of this kind are used to design dual-band filters. Extra transmission zeros are introduced in [6] and [7] to improve the selectivity. The third approach is to combine two individual resonators with common input and output [8]–[12]. In [9] and [10], two sets of resonators are employed in parallel configurations to design dual-band filters, leading to large size. A compact dual-band filter is realized using two stacked ring resonators [11], with the drawback of high insertion loss at the upper passband and limited controllable range of passband frequency and bandwidth. In addition, it is difficult to obtain different external quality factors and coupling coefficients to simultaneously meet the specifications at both the passbands. To alleviate this problem, a novel configuration is proposed in [12], which can achieve desired coupling coefficients at all passbands. However, the tunable range of the

Manuscript received June 19, 2007; revised July 4, 2007. This work was supported by the Research Grants Council of Hong Kong Special Administrative Region under Grant CityU121905. The authors are with the Wireless Communications Research Center, Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.906501

external quality factors is still limited, causing the bandwidth restriction in filter design. To obtain the required specifications at two passbands, i.e., center frequencies and bandwidths, a novel approach for dualband filter design is proposed. Two microstrip ring resonators with perturbation patches are adopted to obtain dual-mode dualband responses. Although ring resonators possess the advantages of low radiation, easy fabrication and simple structure, ring filters with edge or end coupling suffer from loose coupling between resonators and feeding lines, resulting in high external quality factors [13]–[19]. Therefore, they can only obtain narrow fractional bandwidths and then cannot be adopted in wideband application. To alleviate this problem, some methods are proposed [20], [21]. Unfortunately, they are not suitable for dual-band application. In this paper, two novel feeding structures are introduced for designing dual-band filters with wide or narrow bandwidths. These feeding schemes can be used to enhance tunable range of the external quality factors. At the same time, the coupling coefficients can be controlled within a wide range by tuning the perturbation patches. Based on these features, two configurations with compact size are provided with enough degrees of freedom to obtain various external qualify factors and coupling coefficients at both the passbands. As a result, the frequency and bandwidth requirements of both passbands can be independently satisfied. To verify the proposed design, four filters with various bandwidths are implemented. This paper is organized as follows. Section II characterizes the microstrip ring resonators fed by coplanar waveguides (CPWs). In Section III, a configuration using the back-to-back microstrip ring resonators for dual-band filter design with different fractional bandwidths is presented. Two filters of this type are designed, and verified by experiment. In Section IV, another configuration utilizing the embedded ring resonators is proposed and characterized. Two experimental filters are implemented with diverse fractional bandwidths. A comparison and discussion are included in Section V. Finally, a conclusion is given in Section VI. II. CHARACTERISTICS OF CPW-FED MICROSTRIP RING RESONATORS As illustrated in Fig. 1(a), the CPW-fed microstrip ring resonator consists of two layers [21]. On the top layer, a square-ring resonator and a perturbation patch are etched. On the bottom layer, two 50- orthogonal CPW feeding lines and open stubs are fabricated to feed the microstrip ring resonator. As shown in Fig. 1(a), the circuits on different layers enclosed within dashed lines are overlapped to provide broadside coupling. By adopting this broadside-coupled mechanism, the coupling strength between the feeding lines and resonators can be conve-

0018-9480/$25.00 © 2007 IEEE

2184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 2. Comparison of external quality factors between edge- and broadsidecoupled configurations.

Fig. 1. Structures of microstrip ring resonators. (a) Ring resonator fed by CPW. (b) Ring resonator fed by microstrip.

niently tuned within a wide range. Since the coupling strength for this configuration is related to the external quality factor can be achieved. On the bottom [22], a wide tunable range of layer, the stub length , stub width , and gap can be adjusted to obtain various . On the top layer, the microstrip width can be used to control . Another tuning element is the substrate between the two layers. Use of a thin- and high-permit. tivity substrate will lead to low Compared with the edge-coupled configuration shown in Fig. 1(b), the broadside-coupled one can obtain wider tunable range of . A full-wave simulator is used to extract the of these two structures for comparison. can be extracted as

Fig. 3. Configuration of the proposed dual-band filters using back-to-back resonators.

(1) denotes the resonant frequency, and is the where bandwidth over which the phase shifts 90 with respect to the absolute phase at [22]. For comparison, two resonators with the same dimensions are fed by microstrip and CPW stubs, as shown in Fig. 1(a) and (b). The lengths of these stubs are used to control . The substrate has a relative dielectric constant of 6.15 and thickness of 0.635 mm. The parameters are mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The extracted results are compared in Fig. 2. As can be observed, the broadside-coupled configuration obtains a wider range of and, therefore, can be used to design filters with wide or narrow bandwidths. Conversely, the edgecoupled one has high within a limited range and, thus, can only be applied to narrowband filter design.

Based on this structure, two configurations are proposed for designing dual-mode dual-band filters. Detailed descriptions follow in Sections III and IV. III. DESIGN OF DUAL-MODE DUAL-BAND FILTERS USING BACK-TO-BACK RING RESONATORS A. Filter Configuration The proposed configuration is shown in Fig. 3. It consists of three layers. The 50- feeding lines and open stubs are fabricated on the midlayer to simultaneously feed the two microstrip ring resonators, which are etched on the top and bottom layers, respectively. The two resonators are placed back-to-back, indicating the occupied area is the same as that of a conventional single-band microstrip square-ring filter centered at the lower

ZHANG AND XUE: NOVEL DUAL-MODE DUAL-BAND FILTERS USING CPW-FED RING RESONATORS

2185

TABLE I DIMENSIONS (IN MILLIMETERS) OF THE PROPOSED FILTERS USING BACK-TO-BACK RESONATORS

Fig. 4. Operating frequencies against the side length of the upper ring.

passband frequency of the proposed dual-band filter. It should be noted that the upper substrate is smaller than the lower one so that the 50- feeding lines can accommodate subminiature (SMA) connectors. The perimeters of the rings are around one guided wavelength at corresponding resonant frequencies. Thus, the resonant frequencies of the upper and lower resonators can be approximately expressed as (2) (3)

For filter design, the coupling coefficients and external quality factors are key issues to obtain the desired specifications. In this configuration, the required coupling coefficients can be independently obtained by adjusting the dimensions of corresponding perturbation patches. As for the external quality factors, this configuration also provides plenty of tuning elements to meet the requirements. Assume the resonant frequency of the upper resonator is higher than that of the lower resonator. The external quality factors of the upper and lower passbands, and , can be expressed as follows: i.e., (5) (6) by adjusting the microstrip We can satisfy the demand of width , CPW stub length , width , and gap . The recan be met by tuning the width and length quirement of of the microstrip line on the top layer. By this way, the required coupling coefficients and external quality factors at the two passbands can be simultaneously obtained. B. Implementation of Two Filters With Various Bandwidths

where is the speed of light in free space, and denote the side and represent lengths of the upper and lower rings, and the effective permittivities of the upper and lower substrates, respectively. It can be seen from (2) and (3) that resonant frequencies can be controlled by tuning the side lengths of the rings and using various substrates. Since the two resonators are separated by the ground plane in the middle, changing the center frequency of one passband will not affect that of the other. As shown in Fig. 4, the upper passband is shifted by altering the side length of the upper ring. Meanwhile, the characteristics of the lower passband remain unchanged. Note that when tuning the side length of the upper ring, the circuits on the three layers enclosed within dashed lines are always overlapped so that the open stubs can simultaneously feed the two resonators. Obviously it is difficult to feed the resonators if the length difference of the two side lengths is quite large. Therefore, equal side lengths are preferred, namely, . In this case, the substrates as well as the ring perimeters will be utilized to control the center frequencies. It can be obtained from (2) and (3) as follows: (4) Based on (4), two substrates can be properly selected to facilitate the filter design.

According to the above discussion, the overall design procedure of this kind of filters can be summarized as follows. First, select two proper substrates based on (4) and then determine the side lengths of the square-ring resonators according to (2) and (3). The next step is to adjust the microstrip width , CPW stub length , width , gap , and the lower perturbation patch to obtain the desired characteristics of the lower passband. Finally, tune the microstrip width , length , and the upper perturbation patch to meet the specifications of the upper passband. Following this design procedure, two dual-mode dual-band filters, designated as Filter I and Filter II, can be constructed with diverse bandwidths using the same configuration shown in Fig. 3. The upper and lower resonators are used to generate the upper and lower passbands, respectively. The relative permittivities of and . the two substrates are selected as Filter I has the geometric parameters listed in Table I. The circuit size of this filter is around , where is the guided wavelength on the lower substrate at the center frequency of the lower passband. Simulation and measurement were carried out using Ansoft’s High Frequency Structure Simulator (HFSS) and Agilent’s E8753ES network analyzer, respectively. The simulated and measured results are illustrated in Fig. 5(a). A dual-mode dual-band bandpass response with high selectivity is observed. The two passbands, centered at 1.40 and 2.15 GHz,

2186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. Simulated and measured results of Filter II. TABLE II BANDWIDTHS OF THE FILTERS USING BACK-TO-BACK RESONATORS

Fig. 5. Simulated and measured results of Filter I. (a) Comparison of measurement and simulation without air layer. (b) Comparison of measurement and simulation with a 0.07-mm air layer.

have the fractional bandwidths of 10% and 3.3%. The insertion losses are 0.9 and 1.9 dB at the lower and upper passbands. Four transmission zeros are realized on either side of each passband. These transmission zeros are created due to the presence of a parasitic coupling between the input and output and will be influenced by changing the perturbation sizes [23], [24]. The measured results are fairly in agreement with the full-wave simulated ones. Some discrepancies within the upper passband are observed, which are mainly attributed to an imperfect combination of the two substrates in our manual fabrication. To confirm it, we simulate the structure with a 0.07-mm air layer inserted between the two substrates. Other dimensions are fixed, except the size of perturbation patch. It should be mentioned that two ground planes are etched on the two substrates both in simulation and implementation to keep the passband frequency unaltered. As shown in Fig. 5(b), the simulation agrees with the measurement. Therefore, the imperfect combination of the substrates is the primary source for the discrepancy. For practical

application, this problem can be easily overcome by using a multilayer printed circuit board (PCB) fabrication technique instead of manual implementation. Filter II is implemented to obtain a wide passband and a narrow one. Filter dimensions are given in Table I. Compared with those of Filter I, the lengths of the CPW feeding stubs and the lower perturbation patch are increased, resulting in a wider bandwidth of the lower passband. Fig. 6 depicts the results of simulation and measurement. As can be seen, two passbands with quite different bandwidths are achieved. The wide and narrow passbands are centered at 1.39 and 2.12 GHz, with the fractional bandwidths of 14.4% and 4.3%. The insertion losses are 0.8 and 1.6 dB at the lower and upper passbands. The measured results agree with the simulated ones. The discrepancies within the upper passband stem mainly from the imperfect combination of the substrates, as discussed above. The bandwidths of Filter I and Filter II are compared in Table II. It can be observed that various bandwidths have been obtained by using the same configuration. It shows that the bandwidth of each passband can be independently controlled. IV. DESIGN OF DUAL-MODE DUAL-BAND FILTERS USING EMBEDDED RING RESONATORS A. Filter Configuration Different from the above configuration, two microstrip ring resonators in this design are fabricated on the same layer, resulting in a single-substrate configuration, as shown in Fig. 7. It consists of two layers. On the top layer, two homocentric square-ring resonators are etched. One resonator is inside the

ZHANG AND XUE: NOVEL DUAL-MODE DUAL-BAND FILTERS USING CPW-FED RING RESONATORS

Fig. 7. Configuration of the proposed dual-band filters using embedded ring resonators.

2187

less. First, we will investigate the characteristic admittance of the microstrip-ring-backed CPW open stubs. At the upper resonant frequency , the resonance will occur within the inner ring, but not within the outer ring. Thus, the outer ring exhibits high impedance for microwave signals around the frequency . Therefore, these signals almost cannot be delivered to the outer ring. In turn, the outer ring will not significantly influence the characteristics of the left stub, except adding a finite conductor on its back. The influence of the inner ring on the right stub is the same at the lower resonant frequency . For the feeding circuits of the inner resonator, the left stub with the length induces a discontinuity to the 50- transmismatches sion line. Assume that the terminating admittance of the 50- transmission line. the characteristic admittance The input admittance at the upper resonant frequency, viewed , is then from the right side of line (7) where represents the characteristic admittance of the left microstrip-backed CPW stubs at the upper resonant frequency , and denotes the propagation constant at . If , the circuits on the left side of line have no effect on the feeding circuits of the inner resonator. However, it can not be satisfied, indicating the existence of interaction. To reduce should be as close to as possible. Therefore, the it, should be low and the length characteristic admittance should be short. may have a loading The circuit on the right side of line effect on the feeding circuits of the outer resonator. The input is admittance viewed from the left side of line (8)

Fig. 8. Feeding structure. (a) Geometry. (b) Equivalent circuit for the circuit on the left side of line AA . (c) Equivalent circuit for the circuit on the right side of line AA .

other one to make full use of the space inside the large ring, indicating the size is the same as that of a single-band microstrip square-ring filter centered at the lower passband frequency of the dual-band filter. The perimeters of the two rings can be adjusted to obtain the desired center frequencies. To avoid mutual coupling, the perturbation patches are attached to the inner and outer corners of the respective rings. On the bottom layer, CPW feeding lines and open stubs are fabricated. Shunted to the 50- CPW lines, the CPW open stubs are adopted to couple energy between the feeding lines and resonators. As illustrated in Fig. 7, the circuits on different layers enclosed within dashed lines are overlapped to provide broadside coupling. The coupling strength or external quality factors can be controlled by tuning the stub dimensions. Since four stubs are shunted at the same CPW line, there may be interactions between them. Therefore, analysis on the feeding structure is necessary. B. Analysis on the Feeding Structure The feeding structure and its equivalent circuits are illustrated in Fig. 8. It is assumed that all the transmission lines are loss-

where denotes the characteristic admittance of the right microstrip-backed CPW stubs at lower resonant frequency , represents the propagation constant at , and is the dis, there will be tance between the stubs. Ideally, if no loading effect. However, it cannot hold and, therefore, the loading effect cannot be eliminated. To lessen it, input admitis required to be as close to zero as possible. Since tance is controlled by the separation distance of the two rings and, and can be adjusted. It can be thus, cannot be tuned, only deduced that the lower , the lower . Consequently, the feeding stubs of the inner resonator are also required to have low admittance and short length. To meet this requirement, wide gap and narrow stub should be employed. On the other hand, the stub dimensions affect the coupling strength or external quality factors. As a result, proper dimensions are required to simultaneously satisfy these requirements, which can be accomplished by full-wave simulation. C. Implementation of Two Filters With Various Bandwidths Based on the preceding discussion, these types of filters can be designed as follows. First, determine the perimeters of the square-ring resonators according to the desired passband frequencies. Then tune the feeding stubs and perturbation patches to get the desired specifications of the lower and upper

2188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE III DIMENSIONS (IN MILLIMETERS) OF THE PROPOSED FILTERS USING EMBEDDED RING RESONATORS

Fig. 10. Simulated and measured results of Filter IV. TABLE IV BANDWIDTHS OF THE FILTERS USING EMBEDDED RING RESONATORS

Fig. 9. Simulated and measured results of Filter III.

passbands, respectively. To verify this design, two dual-mode dual-band filters are implemented using the same configuration shown in Fig. 7. The substrate Duroid/RT 6002 is used for this design with a relative permittivity of 6.15 and thickness of 0.635 mm. Filter III is constructed to have two similar passband bandwidths. The dimensions are provided in Table III. This filter has , where is the guided the size of around wavelength at the center frequency of the lower passband. The simulated and measured results are plotted in Fig. 9. Centered at 1.45 and 2.02 GHz, the lower and upper passbands have the fractional bandwidths of 8.5% and 7.9%, respectively. The insertion losses are 0.9 and 1.3 dB at the lower and upper passbands, and both passband return losses are better than 14 dB. The out-of-band rejection level is better than 25 dB. Some discrepancies between simulation and measurement are observed, which can be attributed to the fabrication tolerances. Filter IV is built to achieve a wide passband and a narrow one. Geometric parameters of this filter are given in Table III. Fig. 10 shows the calculated and measured results. The two passbands are centered at 1.53 and 2.16 GHz with the fractional bandwidths of 10.6% and 3.6%. The lower and upper passbands have the insertion losses of 0.8 and 1.8 dB, respectively. Still, four transmission zeros are created near the passbands. The measured results agree well with the simulated ones.

The fractional bandwidths of these two filters are listed in Table IV. It can be seen that various bandwidths have been achieved by altering the filter dimensions. It is verified that the dual-band filters with independently controlled bandwidths can be obtained. V. COMPARISON AND DISCUSSION The implemented four filters exhibits lower insertion losses than those using ring resonators reported in [11] and [13]–[19]. However, the bandwidths of the passbands are different. Therefore, a further comparison on unloaded quality factors is necessary. Table V lists the measured results of the implemented resonators and other resonators whose unloaded quality factors are provided in the references. It can be observed that there is no significant difference since the resonators are of the same type, but as for external quality factors, the developed filters can provide a wider tunable range than others, as detailed in Section II. With regard to the comparison of dual-band filter design, the proposed approach offers high design flexibility such as independently controlled center frequencies and bandwidths within a wide range. Moreover, the structure is simple and compact. The comparison of the developed filters with some typical filters is summarized in Table VI. To design filters for practical applications, the main concern is to obtain required specifications including center frequencies, fractional bandwidths, insertion loss, size, and so on. For filters using stepped-impedance resonators [2]–[7], [12], the center frequencies and fractional bandwidths of the two passbands are dependent on each other. In turn, it is difficult to adjust the bandwidth to meet required specifications of each passband. For filters utilizing two sets of

ZHANG AND XUE: NOVEL DUAL-MODE DUAL-BAND FILTERS USING CPW-FED RING RESONATORS

TABLE V COMPARISON OF UNLOADED QUALITY FACTORS

2189

implemented to demonstrate the proposed approach. Dual-mode dual-band bandpass responses with high selectivity and various fractional bandwidths have been observed. Transmission zeros have been realized on both sides of each passband to achieve sharp rolloff. In addition, all the filters have compact size. With the advantages of independently controlled center frequencies and bandwidths within a wide range, sharp rolloff, simple structures, and compact size, these types of dual-mode dual-band filters will be useful for wireless applications. ACKNOWLEDGMENT The authors would like to thank the editors and reviewers of this paper for their valuable comments and suggestions, which greatly improved this paper. The authors would also thank J.-X. Chen, City University of Hong Kong, Kowloon, Hong Kong, for his helpful discussions. REFERENCES

TABLE VI COMPARISON WITH SOME PRIOR DUAL-BAND FILTERS

resonators, they have controllable center frequencies. Unfortunately, some need an extra matching network [8], others have limited controllable range of bandwidths or even fixed bandwidths [9]–[11]. Our work can overcome this problem. Both the center frequency and bandwidth of each passband can be separately controlled within a wide range. As for filter size, the proposed filters achieve the same size with corresponding single-band counterparts, whereas the area occupied by the filters presented in [1], [9], [10], and [12] is larger than that of corresponding single-band filters. In practical application, the size of the proposed filters can be further reduced by using meandering ring or slow-wave structures. VI. CONCLUSION In this paper, a novel approach has been presented to design dual-mode dual-band filters. Two configurations have been proposed. By using these configurations, the center frequencies and fractional bandwidths of both passbands can be independently controlled within a wide range. Four filters have been

[1] L.-C. Tsai and C.-W. Huse, “Dual-band bandpass filters using equallength coupled-serial-shunted lines and Z -transform techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1111–1117, Apr. 2004. [2] Y. P. Zhang and M. Sun, “Dual-band microstrip bandpass filter using stepped-impedance resonators with new coupling schemes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3779–3885, Oct. 2006. [3] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filter with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [4] S. Sun and L. Zhu, “Compact dual-band microstrip bandpass filter without external feeds,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 644–646, Oct. 2005. [5] T.-H. Huang, H.-J. Chen, C.-S. Chang, L.-S. Chena, Y.-H. Wang, and M.-P. Houng, “A novel compact ring dual-mode filter with adjustable second-passband for dual-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 360–362, Jun. 2006. [6] S.-F. Chang, Y.-H. Jeng, and J.-L. Chen, “Dual-band step-impedance bandpass filter for multimode wireless LANs,” Electron. Lett., vol. 40, no. 1, pp. 38–39, Jan. 2004. [7] J. Wang, Y.-X. Guo, B.-Z. Wang, L. C. Ong, and S. Xiao, “High-selectivity dual-band stepped-impedance bandpass filter,” Electron. Lett., vol. 42, no. 9, pp. 538–539, Apr. 2006. [8] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamanda, and Y. Nagatomi, “A miniaturized monolithic dual-band filter using ceramic lamination technique for dual-mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 789–792. [9] M. H. Weng, C. Y. Huang, H. W. Wu, K. Shu, and Y. K. Su, “Compact dual-band bandpass filter with enhanced feed coupling structures,” Microw. Opt. Technol. Lett., vol. 49, no. 1, pp. 171–173, Jan. 2007. [10] C.-Y. Chen and C.-Y. Hsu, “A simple and effective method for microstrip dual-band filters design,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 246–248, May. 2006. [11] J.-X. Chen, T. Y. Yum, J.-L. Li, and Q. Xue, “Dual-mode dual-band bandpass filter using stacked-loop structure,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 502–504, Sep. 2006. [12] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of dual- and triplepassband filters using alternately cascaded multiband resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3550–3558, Sep. 2006. [13] I. Wolff, “Microstrip bandpass filter using degenerate modes of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 29–30, Jun. 1972. [14] K. K. M. Cheng, “Design of dual-mode ring resonators with transmission zeros,” Electron. Lett., vol. 33, no. 16, pp. 1392–1393, Jul. 1997. [15] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [16] A. Gorur, “Realization of a dual-mode bandpass filter exhibiting either a Chebyshev or an elliptic characteristic by changing perturbation’s size,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 118–120, Mar. 2004. [17] J. L. Li, J. X. Chen, and Q. Xue, “Investigation into microstrip dualmode bandpass filters (BPFS) with in-line feed-line configurations,” Microw. Opt. Technol. Lett., vol. 48, no. 10, pp. 2008–2012, Oct. 2006.

2190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[18] C. S. Cho, J. W. Lee, and J. Kim, “Dual- and triple-mode branch-line ring resonators and harmonic suppressed half-ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3968–3974, Nov. 2006. [19] G. K. Gopalakrishnan and K. Chang, “Novel excitation schemes for the microstrip ring resonator with lower insertion loss,” Electron. Lett., vol. 30, no. 2, pp. 148–149, Jan. 1994. [20] L.-H. Hsieh and K. Chang, “Compact dual-mode elliptic-function bandpass filter using a single ring resonator with one coupling gap,” Electron. Lett., vol. 36, no. 19, pp. 1626–1627, Sep. 2000. [21] X. D. Huang and C. H. Cheng, “A novel coplanar-waveguide bandpass filter using a dual-mode square-ring resonator,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 13–15, Jan. 2006. [22] J. S. Hong and M. J. Lancaster, Microwave Filter for RF/Microwave Application. New York: Wiley, 2001. [23] A. Gorur, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [24] S. Amari, “Comments on ‘Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications’,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2190–2192, Sep. 2004.

Xiu Yin Zhang (S’07) was born in Hubei Province, China. He received the B.S. degree in electrical engineering from Chongqing University of Posts and Telecommunications, Chongqing, China, in 2001, the M.S. degree in electrical engineering from South China University of Technology, Guangzhou, China, in 2006, and is currently working toward the Ph.D. degree at the City University of Hong Kong. From 2001 to 2003, he was with the ZTE Corporation, Shenzhen, China, where he was involved with wireless communications systems. His research interests include RF and microwave passive and active circuits, and microstrip antennas.

Quan Xue (M’02–SM’04) was born in Xichang, Sichuan Province, China. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined the UESTC, as a Lecturer, becoming an Associate Professor in 1995 and a Professor in 1997. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, Kowloon, Hong Kong, where he is currently an Associate Professor and the Director of the Applied Electromagnetics Laboratory. Since May 2004, he has been the Principal Technological Specialist of the State Integrated Circuit Design Base, Chengdu, Sichuan Province, China. He has authored or coauthored over 90 internationally referred papers. His current research interests include antennas, smart antenna arrays, active integrated antennas, power amplifier linearization, microwave filters, millimeter-wave components and subsystems, monolithic microwave integrated circuits (MMICs), and RF integrated circuits (RFICs). Dr. Xue was the recipient of the Distinguished Academic Staff Award for his contribution in development of millimeter-wave components and subsystems. He was co-supervisor of two IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Contest award winners (third place 2003, and first place 2004).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2191

Design of Stepped-Impedance Combline Bandpass Filters With Symmetric Insertion-Loss Response and Wide Stopband Range Yi-Ming Chen, Student Member, IEEE, Sheng-Fuh Chang, Member, IEEE, Chia-Chan Chang, Member, IEEE, and Tin-Jae Hung Abstract—An enhanced stepped-impedance combline bandpass filter employs an array of stepped-impedance resonators with tapped-transformer coupling at input and output is presented in this study. This filter has enhanced performance, including symmetric insertion-loss response around the passband and wider stopband range. The structure is compact and suitable for multilayer realization because it is free of lumped capacitors and has fewer via-hole grounds. The circuit is investigated with the characteristic mode theory of coupled lines to prove the existence of multiple transmission zeros around the passband. Numerous diagrams are given for circuit design purposes. The second- and fourth-order bandpass filters at 2.45 GHz were designed, measured, and compared with the conventional combline structure to demonstrate their performance enhancement. Index Terms—Asymmetric coupled line, combline filter, even–odd mode, stepped-impedance resonator (SIR).

I. INTRODUCTION

F

OR applications in rapidly growing wireless broadband communications, stringent performance of a bandpass filter is required, which includes symmetric insertion-loss response around the passband, wide stopband range, and compact planar structure without lumped elements suitable for multilayer implementation. For example, in a quad-band dual-mode global system for mobile communications (GSM)-wireless local area network (WLAN) mobile handset, the operation at the 2450/5150-MHz WLAN mode requires a bandpass filter to possess high selectivity near the low band edge to reject the 900/1900/2100-MHz GSM signal. On the other hand, the operation at the GSM mode needs higher selectivity on the high band edge to prevent the 2450- and 5150-MHz WLAN signals from desensitizing the receiver. To meet the aforementioned requirements, the elliptic bandpass filter in a planar structure without lumped components Manuscript received March 15, 2007; revised June 9, 2007. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 95-2219-E-194-001. Y.-M. Chen is with the Department of Electrical Engineering, National Chung Cheng University, Chia-Yi, Taiwan 621, R.O.C. (e-mail: [email protected]). S.-F. Chang and C.-C. Chang are with the Department of Electrical Engineering, the Department of Communications Engineering, and the Center for Telecommunication Research, National Chung Cheng University, Chia-yi, Taiwan 621, R.O.C. (e-mail: [email protected]). T.-J. Hung was with the Department of Electrical Engineering, National Chung Cheng University, Chia-Yi, Taiwan 621, R.O.C. He is now with the Mobile Communication Department, ASUSTek Computer Inc., Taipei County 235, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2007.906482

is necessitated. It can be designed based on the combline, stepped digital, and cross-coupled filter configurations [1]–[7]. The stripline combline filter, introduced in [1], consists of an array of parallel-coupled uniform-impedance resonators (UIRs), where all resonators are short circuited to the ground on the same side and capacitively loaded on the other side. The capacitive loading reduces the resonator length to less than one-quarter of a wavelength such that the nearest spurious band is higher than three times the passband center frequency. To be free of lumped components, the capacitively loading can be realized by the fringing capacitor of the resonator open-end, but this fringing capacitance is usually inadequate to obtain an acceptable size reduction. Another type of elliptic bandpass filter, termed as the stepped digital elliptic filter, was proposed in [2]. It was developed based on the bandpass transformation from an odd-order elliptic lowpass prototype. The lumped resonator was then realized with two different forms of parallel-coupled stepped-impedance resonators (SIRs). In the first form, the coaxial SIR is a quarterwavelength long and is grounded at one end and open circuited at the other end [2] and the second form utilizes a half-wavelength SIR grounded at both ends [3]. The experimental results of the fifth- and seventh-order coaxial stepped digital filters demonstrate excellent symmetric insertion-loss response. Their microstrip form can be designed following the same method, but the size is still large because the utilized SIR is a quarterwavelength or half-wavelength long. To reduce the circuit size, the design procedure was applied to the combline structure [4], where each resonator is 90 shorter in length by cascading a lumped capacitor to the resonator. Thus, the compact planar structure and lumped-element-free requirements are difficult to meet simultaneously. Recently, a compact lumped-element-free combline filter was reported [8], where the circuit was realized with low-temperature co-fired ceramic technology. It shows a very compact form factor, but the insertion loss response is asymmetric around the passband. This problem was overcome by applying a new tapped-transformer input/output coupling scheme to the microstrip SIR combline configuration [9] or by adopting a special zig–zag hairpin-comb configuration [10] or the cross-coupled quadruplet configuration [11], [12]. In this paper, the microstrip SIR combline filter in [9] is further developed. The removal of spurious bands and the generation of controllable transmission zeros near the passband are analyzed in Section II by using the resonance analysis for the SIR [13] and the characteristic-mode theory for coupled SIRs

0018-9480/$25.00 © 2007 IEEE

2192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[7], [14], [15]. The filter design based on the coupling coefficient method [16] is described in Section III, where two experimental examples of the second- and fourth-order SIR combline filters are designed, simulated, and measured. Comparison with the conventional UIR combline filter is described to indicate the performance enhancement. Finally, a conclusion is given in Section IV. II. CIRCUIT ANALYSIS The proposed SIR combline filter is composed of an array of SIRs with a new scheme of tapped-transformer coupling at the input and output, as shown in Fig. 1, where the conventional UIR combline filter is also illustrated. There are two improvements in the SIR combline structure. First, the capacitively loaded UIR is replaced with the SIR so that there is no need of lumped capacitors because the capacitive loading effect is achieved by the step change of the SIR line impedance. Second, the short-circuited transformer coupling at input and output is reconstructed with the open-circuited tapped-transformer coupling. Hence, fewer via-hole grounds are required, dramatically reducing the implementation complexity. A. Removal of Spurious Bands The removal of spurious bands can be understood from the resonance property of the SIR in the combline structure. As shown in the detailed schematic in Fig. 2, the short-circuited SIR consists of Line , Line , Line , and Line consecutively from top. Line has the physical length and the , Line has the physical length characteristic impedance and the characteristic impedance , Line has the physand the characteristic impedance , and Line ical length has the physical length and the characteristic impedance . The corresponding electrical lengths of , , , and are denoted as , , , and , respectively. Define the and the electric-length ratio impedance ratio as as , where . From [13], the resonance condition of the short-circuited SIR is given as (1) In general, is unequal to the value of . Therefore, (1) must be solved numerically. The resonance diagrams are plotted in Fig. 3(a) using the same representative diagram of an open-circuited SIR in [11]. The first group of traces on the left denotes the fundamental resonance mode with different ’s and the consecutive groups of traces represent the second and third resonance modes, respectively. If the dielectric dispersion effect is weak, the frequency ratio of the second to the fundamental resonance mode can be obtained from Fig. 3(a). As , the frequency illustrated in Fig. 3(b), for the SIR with is larger than 3. This implies that the nearest spuratio rious band can be moved at least three times higher than the fundamental passband. B. Symmetric Insertion-Loss Response We will show that the symmetric insertion-loss response resulted from the existence of transmission zeros near both edges

Fig. 1. Schematic diagrams of combline filters with tapped-transformer I/O coupling. (a) Proposed second-order SIR combline structure. (b) Proposed fourth-order SIR combline structure. (c) Conventional second-order UIR combline structure.

of the passband. For manageable calculation complexity, consider only the second-order case, as shown in Fig. 2(a). Since the structure is symmetric with respect to axis , the circuit can be analyzed with the even–odd-mode theory [7], where axis

CHEN et al.: DESIGN OF STEPPED-IMPEDANCE COMBLINE BANDPASS FILTERS

2193

Fig. 3. Resonance diagram of short-circuited SIR. (a) Relation of  r . (b) Resonance frequency ratio.

Fig. 2. Detailed schematic diagram of the second-order stepped-impedance combline filter. (a) Complete circuit. (b) Pair U . (c) Pair D .

is replaced with an open-circuited and a short-circuited condition, respectively. Let the input admittance of the even- and and , respectively. The admittance odd-mode circuits be matrix of the entire SIR combline filter can then be exand as pressed in terms of (2) By imposing the even- and odd-mode condition on the symmetric axis , only half of the original circuit is needed to be calculated. This half-circuit is further decomposed into the and -mode subcircuits. The calculation details are described in the following. : The even-mode cir1) Even-Mode Input Admittance cuit consists of one section of transmission line (Line ) and two pairs of loaded asymmetric coupled lines (Pair and Pair

, r , and

). Line has the electrical length and the characteristic . Pair can be viewed as a cascade of two secimpedance tions of asymmetric coupled lines [shown in Fig. 2(b)] with two open-circuited ends at Port 3 and 4, while Pair is formed by adding a grounded stub on Port 3 and being open-circuited at Port 4 [shown in Fig. 2(c)]. Let the impedance matrix of Pair and Pair be and , respectively. and can then be obtained by imposing the appropriate end condiof asymmetric coupled lines. tions on the impedance matrix is To simplify the further calculation, the coupled section of neglected since is much smaller than in the realistic case. As presented in [14], the characteristic modes in an asymmetric coupled line are named the mode and mode. Hence, a finite section of asymmetric coupled lines has the impedance , represented in terms of the mode voltage ratios ( matrix and ), the electric lengths ( and ), and the characteristic , , , ) are provided as follows: impedances (

(3)

2194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

where the impedance parameters are (4a)

(4b)

(4c)

Fig. 4. Y of the second-order stepped-impedance combline filter with respect to normalized frequency.

(4d)

When Pair and Pair are connected in parallel as Port 1U to Port 2-D and Port 2U to Port 1-D and the signal is tapped at node , port currents and voltages are related by , , , and . Thus, from (5) and (6), the resultant one-port admittance becomes

(4e)

(7) The even-mode input admittance can then be attained as (8)

(4f)

By imposing the open-circuit conditions ( ) into (3), the even-mode impedance matrix found as

and of Pair

is (5a)

(5b)

(5c) Similarly, by substituting the grounded-stub condition and the open-circuit condition into (3), of Pair is found as (6a) (6b) (6c) (6d)

2) Odd-Mode Input Admittance : By using the same derivation procedure above, the odd-mode input admittance can be obtained by setting the short-circuited condition on axis . has the same expressions in (5)–(8). 3) Existence of Transmission Zeros: Once the even-mode adand the odd-mode admittance are obtained, the mittance admittance matrix of the SIR combline filter can be directly obtained by substituting them into (2). The closed-form expression is difficult to obtain, but can be numerically evaluated. It is imand , different - and portant to note that when evaluating -mode characteristic parameters, including , , , , , , , and , must be used, respectively, because they are subjected to different open- and short-circuit conditions on axis . As for an example of the SIR combline filter with dimenmm, mm, mm, sions of mm, mm, mm, mm, mm, mm, and mm on the substrate with of 3.38, loss tangent of 0.0027, and thickness of 0.76 mm, the characteristic parameters of and modes are calwith culated using the method in [15]. Thus, the relation of respect to normalized frequency is obtained as shown in Fig. 4. crosses zero. It is found The transmission zero exists if that two transmission zeros exist on each side of passband. The and , where transmission zeros are located around is the fundamental resonance frequency of the SIR. Due to the pair generation of transmission zeros on both sides of the passband, better selectivity of the proposed SIR combline structure

CHEN et al.: DESIGN OF STEPPED-IMPEDANCE COMBLINE BANDPASS FILTERS

is achieved than that of the conventional UIR combline filter. It is also found that the transmission zeros can be changed by varying the tapping position.

2195

TABLE I DESIGN PARAMETERS OF STEPPED-IMPEDANCE COMBLINE FILTERS

III. STEPPED-IMPEDANCE COMBLINE FILTER DESIGN AND EXPERIMENTAL DEMONSTRATION A. Design Procedure In Section II, we have used the characteristic mode theory to demonstrate that the proposed SIR combline filter has symmetric selectivity around the passband and high-frequency removal of spurious bands. Here, we use the coupling coefficient method proposed in [16] to determine the circuit dimensions to meet the prescribed specifications. The design procedures are outlined below. Step 1) Select a low-pass prototype with order . We then . The have prototype elements , even-order configuration is easier to be realized with our proposed structure. For demonstration purposes, two SIR combline filters at 2.45 GHz with 10% fractional bandwidth were designed. The fractional , where is bandwidth is defined as the bandwidth of passband and is the central frequency of passband. The first demonstrated circuit is derived from the second-order prototype with and , and the second circuit is from the fourth-order prototype with , , . and Step 2) Calculate the input and output external quality facand and inter-coupling coefficients tors between adjacent SIRs (9a) (9b) (9c) , For the demonstrated second-order filter and , while for the fourth-order filter , , , and . The design parameters are listed in Table I. Step 3) Determine the length and line impedance of the SIR, and the spacing between adjacent SIRs. The resonator length and impedance ratio are determined from the resonance diagram and resonance frequency ratio in Fig. 3. For both demonstrated filters, the stepped-impedance ratio and the electric length ratio are conveniently selected as 0.39 and 0.43 such that the total electrical length of the SIR, determined from Fig. 3(a), is 63.6 , giving the physical length of 13.75 mm. From Fig. 3(b), equals 4.86, meaning that the nearest spurious band will be moved to 10.8 GHz. Following the method in [16], the relation of exand ) and coupling ternal quality factors (

Q

M

M

Fig. 5. Simulated external quality factor and coupling coefficients versus the . (b) and . spacing. (a)

coefficients ( and ) with the spacing between adjacent SIRs are obtained in Fig. 5, where the substrate is with the relative dielectric constant of 3.38, loss tangent of 0.0027, and thickness of 0.76 mm. Thus, the initial SIR spacings are mm, mm for the second-order case,

2196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. Implemented 2.45-GHz stepped-impedance combline filters. (a) Second order [9]. (b) Fourth order.

and

mm, mm, and mm for the fourth-order case. Step 4) The estimated circuit dimensions from previous steps are substituted into a full-wave electromagnetic (EM) simulator for including discontinuity, via-hole, and nonadjacent coupling effects. The fine-tuned circuit dimensions by an EM simulator mm, mm, IE3D [17] are mm, mm, mm, mm, mm, mm, mm, and mm for the second-order case. For the fourth-order case, they mm, mm, mm, are mm, mm, mm, mm, mm, mm, mm, and mm. The circuit photographs are illustrated in Fig. 6.

Fig. 7. Comparison of simulation results of the fourth-order SIR, second-order SIR, and second-order UIR combline filters. (a) Return loss. (b) Insertion loss.

B. Simulation Results The simulation results of the second-order UIR, second-order SIR, and fourth-order SIR combline filters are shown in Fig. 7 to highlight performance enhancement. The conventional secondmm, mm, order UIR filter has mm,and mm (equivalentto 45 electric length) with the 0402 SMD capacitor of 0.75 pF. The second-order SIR and thefourth-orderSIR comblinefiltershavetwofoldenhancements. First, the asymmetric insertion-loss response of the UIR combline filter is improved in both SIR cases because of the generation of extra low-side transmission zeros, 1.45 GHz for the second-order case and 1.83 and 2.23 GHz for the fourth-order case. Hence, the suppression on both sides of 2.45 GHz is dramatically improved

Fig. 8. Control of transmission-zero frequency with tapping position.

greater than 58 dB. Second, it is observed that an extra transmission zero exists at 7.8 GHz for the second-order SIR case and at 6.8 GHz for the fourth-order SIR case. This zero is generated from the quarter-wavelength resonance of the input and output tapped-transformer coupling circuit. Therefore, in the 3–8-GHz range, the fourth-order SIR combline filter reaches 58-dB suppression, which is much better than 34 dB of the second-order SIR case and 13 dB of the second-order UIR case.

CHEN et al.: DESIGN OF STEPPED-IMPEDANCE COMBLINE BANDPASS FILTERS

Fig. 9. Measured and simulated results of the 2.45-GHz second-order SIR combline filter. (a) Insertion and return losses near passband. (b) Insertion and return losses in wide frequency range. (c) Group delay.

In Section II, it was found that the transmission-zero frequency can be controlled by the tapping position. To verify this effect, we change the tapping position, while the impedance step position and the total resonator length are unchanged. The simulated frequency response of insertion loss, illustrated in Fig. 8, indicates that both the low- and high-side transmission zeros shift upward when the tapping length of both input and output transformer coupling circuits are simultaneously decreased.

2197

Fig. 10. Measured and simulated results of the 2.45-GHz fourth-order SIR combline filter. (a) Insertion and return losses near passband. (b) Insertion and return losses in wide frequency range. (c) Group delay.

C. Experimental Verifications The measured results are shown in Figs. 9 and 10, where the EM simulated results are also drawn for comparison. For the second-order SIR combline case, the measured return loss is better than 20 dB and the insertion loss is less than 1.2 dB in the passband range of 2.4–2.5 GHz. Two transmission zeros are

2198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

measured at 1.3 and 3.0 GHz on both sides of the passband. The third transmission zero at 7.8 GHz is observed. The group-delay variation is within 0.15 nS in the passband. The measurement results agree well with the EM simulation results, except that the 1.3-GHz transmission zero is 150 MHz down-shifted from the simulation and the slight decrement of stopband suppression level above 8 GHz. For the fourth-order case, the measured return loss is better than 17 dB and the insertion loss is less than 2.0 dB. There are two measured low-side transmission zeros at 1.4 and 2.2 GHz and one high-side transmission zero at 3.1 GHz. The fourth transmission zero exists around at 6.4 GHz. The group-delay variation is within 0.65 nS in the passband. The measured group delay is smaller than the simulated value, which resulted from the slower measured rolloff rate, as opposed to the simulated rolloff rate. IV. CONCLUSION An enhanced stepped-impedance combline bandpass filter that consists of an array of SIRs with a new tapped-transformer coupling scheme at the input and output ports has been presented. The structure has the advantages of no lumped capacitors and fewer via-hole grounds, which is suitable for multilayer planar transmission line implementation. The proposed stepped-impedance combline filter has a better symmetric insertion loss response near the passband and wider stopband range. The symmetric near-passband response is contributed from multiple transmission zeros generated on both sides of the passband. These transmission-zero frequencies can be controlled by the tapping position of the tapped-transformer coupling circuit. The wide stopband range is attributed to the removal of the spurious passband by the step change of the constituent resonator impedance and from an extra transmission zero generated by the tapped-transformer coupling circuit. A design procedure and characteristic diagrams have been given for high-order stepped-impedance combline filter design. The second- and fourth-order filters were implemented. For the fourth-order case, the insertion loss is 2.0 dB and the group-delay variation is less than 0.65 nS in the passband range of 2.4–2.5 GHz. The measured stopband suppression at the GHz and high-side GHz low-side range is better than 56 dB. The measurement results agree very well with the theoretical prediction and simulation results. These demonstrate the promising performance of proposed stepped-impedance combline bandpass filters. REFERENCES [1] G. L. Matthaei, “Comb-line bandpass filters of narrow or moderate bandwidth,” Microw. J., vol. 6, pp. 82–91, Aug. 1963. [2] J. D. Rhodes, “The stepped digital elliptic filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 4, pp. 178–184, Apr. 1969. [3] J. D. Rhodes, “The half-wave stepped digital elliptic filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1102–1107, Dec. 1969. [4] R. Levy and J. D. Rhode, “A comb-line elliptic filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 3, pp. 26–29, Jan. 1971. [5] R. Levy and S. Cohn, “A history of microwave filter research, design, and development,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 9, pp. 1055–1067, Sep. 1984.

[6] R. Levy, V. S. Richard, and G. Matthaei, “Design of microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 783–793, Mar. 2002. [7] G. Matthaei, L. Young, and E. T. Jones, Microwave Filters, ImpedanceMatching Networks, and Coupling Structures. Norwood, MA: Artch House, 1980. [8] T. Ishizaki and T. Uwano, “A stepped impedance comb-line filter fabricated by using ceramic lamination technique,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1994, vol. 2, pp. 617–620. [9] Y.-M. Chen, S.-F. Chang, C.-C. Chang, T.-J. Hong, and W.-C. Lo, “A compact stepped-impedance combline filter with symmetric insertionloss response and wide stopband range,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1209–1212. [10] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filters with zig–zag hairpin-comb resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003. [11] C. F. Chen, T. Y. Huang, and R. B. Wu, “Compact microstrip crosscoupled bandpass filters using miniaturized stepped impedance resonators,” in Proc. Asia–Pacific Microw. Conf.. [12] C. F. Chen, T. Y. Huang, and R. B. Wu, “Design of microstrip bandpass filters with multiorder spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [13] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [14] V. K. Tripathi, “Asymmetric coupled transmission lines in an inhomogeneous medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 9, pp. 734–739, Sep. 1975. [15] G. I. Zysman and A. K. Johnson, “Coupled transmission lie networks in an inhomogeneous dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [16] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [17] IE3D Simulator. Zeland Software Inc., Fremont, CA, Jan. 1997.

Yi-Ming Chen (S’04) was born in Hsinchu, Taiwan, R.O.C., in 1977. He received the M.S. degree in computers and communication from Shu-Te University, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in electrical engineering at National Chung Cheng University, Taiwan, R.O.C. His main research interests are 3-D miniature microwave and millimeter-wave filter techniques.

Sheng-Fuh Chang (S’83–M’92) received the B.S. and M.S. degrees in communications engineering from National Chiao-Tung University, Taiwan, R.O.C., in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Wisconsin–Madison, in 1991. He has been involved with high-power microwave and millimeter-wave sources such as free-electron lasers and Cerenkov masers at the Center for Plasma Theory and Computation, University of Wisconsin–Madison. In 1992, he joined the Hyton Technology Corporation, where he was responsible for C - and Ku-band satellite low-noise down-converter and multipoint microwave distribution system (MMDS) transceivers. In 1994, he joined the Department of Electrical Engineering, National Chung Cheng University, Taiwan, R.O.C., where he is currently a Full Professor with the Department of Electrical Engineering and Vice Director of the Center for Telecommunication Research, National Chung Cheng University. His research interests include microwave and millimeter-wave integrated circuits with CMOS, HBT, and pseudomorphic HEMT (pHEMT) technologies, multifunctional RF transceivers, smart-antenna RF systems, and high-power microwave sources. Prof. Chang is a member of Phi Tau Phi and Sigma Xi.

CHEN et al.: DESIGN OF STEPPED-IMPEDANCE COMBLINE BANDPASS FILTERS

2199

Chia-Chan Chang (S’99–M’04) was born in Tainan, Taiwan, R.O.C., in 1973. She received the B.S. degree in communication engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1995, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of California at Davis (UCD), in 2001 and 2003, respectively. From 1995 to 1997, she was a Full-Time Teaching Assistant with the Department of Electronics Engineering, National Chiao-Tung University. Since February 2004, she has become an Assistant Professor with Department of Electrical Engineering, National Chung-Cheng University, Chia-Yi, Taiwan, R.O.C. She also holds a joint-appointment with the Department of Communications Engineering, National Chung-Cheng University. Her research currently focuses on phased antenna array technology developments, microwave/millimeter-wave circuit designs, and the application of radar systems.

Tin-Jae Hung was born in Taoyuan, Taiwan, R.O.C., in 1981. He received the M.S. degree in electrical engineering from National Chung Cheng University, Taiwan, R.O.C., in 2006. He is currently a Research and Development Engineer with the Mobile Communication Department, ASUSTek Computer Inc., Taiwan, R.O.C. His main research interests are WLAN communication systems and filter design.

2200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure Mu-Shui Zhang, Yu-Shan Li, Chen Jia, and Li-Ping Li

Abstract—In this paper, a deep bandgap behavior analysis of the vertical cascaded electromagnetic-bandgap (EBG) structure is made. It is shown that the vertical cascaded EBG structure can be decomposed into two EBG structures cascaded horizontally, one with the bigger patches and the other with the smaller patches. The design guidelines of the vertical cascaded EBG structure are drawn. Furthermore, the vertical cascade concept is extended to 3-D cascade for wideband simultaneous switching noise (SSN) suppression. The number of rows of patches for noise coupling reduction is investigated. Building SSN isolation walls along a printed circuit board for wideband electromagnetic-interference reduction and along sensitive devices for SSN isolation using a 3-D cascaded EBG structure is proposed. Simulations and measurements are performed to verify the SSN suppression. High performance is observed. Index Terms—Electromagnetic bandgap (EBG), electromagnetic interference (EMI), high-speed digital circuit, printed circuit board (PCB), simultaneous switching noise (SSN).

I. INTRODUCTION ITH THE trend of current packaging technologies, data, video, voice sensing and other function modules such as digital, analog, RF, memory devices, sensors, etc. are required to be integrated into one package known as “system on package” or “system in package,” which are used more widely in cell phones and other communication systems [1]. Substrates are stacked in three dimensions and interconnects are also routed vertically for ever higher integration and routing density in a 3-D package. Simultaneous switching noise (SSN), also known as ground bounce noise, or delta-I noise, on the power/ground buses have become one of the major concerns during the design cycle of high-speed digital communication systems with even faster edge rates, lower voltage levels, and higher integrations [2]. Cavity resonance modes formed by the power/ground plane pairs can be stimulated by SSN [3], [4], which would introduce significant signal integrity problems, power integrity issues, and electromagnetic interference (EMI).

W

Manuscript received January 12, 2007; revised May 27, 2007. This work was supported by the National Natural Science Foundation of China under Grant 60672027, by the Ministry of Education Doctoral Program Fund under Grant 20050701002, and by Xidian University under the Graduate Innovation Fund. The authors are with the Institute of Electronic Computer Aided Design, Xidian University, Xi’an, China (e-mail: [email protected]; yshli1945@sina. com.cn; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906475

Recently, a novel concept of mitigating SSN using an electromagnetic-bandgap (EBG) structure or high-impedance surface was introduced [5]. The EBG structure exhibits a novel electromagnetic characteristic within its stopband. It provides a very low impedance path for high-frequency currents between the two reference planes, therefore, shorting the two planes at the physical location of the patches that traps the SSN within the location where it is generated. Thus, suppressing SSN, cavity resonances, and reduces radiation. However, the stopband of EBG structures is narrow and its relative bandwidth is low, and SSN is distributed in a wide frequency of the high-speed switching current [2]. SSN below approximately 0.3 GHz can be mitigated by adding surface-mounted capacitors whose lead inductance is small [6]. There is research focused on the EBG structure design to either lower the stopband center frequency or broaden the stopband bandwidth for more efficient noise suppression. Enhancing inductances of the vias [7] and using a high dielectric constant thin film [8] can lower the lower corner frequency of the stopband. The stopband bandwidth can also be broadened by cascading EBG structures with different stopbands horizontally [9]. However, these implementations do not provide a good solution. Enhancing via inductances has a slight improvement on the SSN suppression bandwidth. This implementation is expensive and will degrade the reliability of the boards. Using a high dielectric constant thin film is very expensive, and will also degrade the reliability due to the friability of the thin film. Cascading EBG structures with different patch sizes can obtain a very wide stopband. However, the horizontal cascade requires much board area and the SSN suppression is selective. Other two low-cost wideband EBG structures, low-period coplanar EBG structure [10] and L-bridged EBG structure [11], were proposed by Wu et al. to provide wideband SSN suppression. However, severe signal integrity problems are introduced since the continuity of the reference plane is broken. A double-surface EBG structure was proposed in [12]. This is a vertical cascaded EBG structure. Two EBG surfaces are cascaded vertically to broaden the stopband bandwidth and reduce the board area, but the bandgap behavior of the vertical cascaded EBG structure is not investigated in [12], and also no design guidelines are available. Furthermore, the vertical cascaded EBG structure needs two additional metallic layers, it is impractical to design two EBG surfaces throughout the entire board since it will greatly increase the cost of the boards. In this paper, the bandgap behavior of the vertical cascaded EBG structure is

0018-9480/$25.00 © 2007 IEEE

ZHANG et al.: SSN SUPPRESSION IN PCBs USING COMPACT 3-D CASCADED EBG STRUCTURE

2201

Fig. 2. Measured and simulated SSN suppression stopband of the test board.

Fig. 3. Dispersion diagram of the vertical cascaded EBG structure. Fig. 1. Test board of vertical EBG structure. (a) Parameters of the unit cell. (b) Top view of the test board.

analyzed and the design guidelines are drawn. The vertical cascade is extended to a 3-D cascade. A low-cost high-performance SSN isolation wall is proposed for wideband EMI reduction and SSN isolation for analog/RF devices. This paper is organized as follows. In Section II, the bandgap behavior of the vertical cascaded EBG structure is investigated. The vertical cascade is decomposed into a horizontal cascade and the design guidelines are drawn. In Section III, the vertical cascade concept is extended to a 3-D cascade for wideband SSN suppression. In Section IV, the low-cost SSN isolation wall using 3-D cascaded EBG structures is introduced. Conclusions are drawn in Section V. II. DECOMPOSITION OF THE VERTICAL CASCADED EBG STRUCTURE A. Bandgap Behavior Investigation of the Vertical Cascaded EBG Structure It is observed that the vertical cascaded EBG structure has two stopbands [12]. A test board was designed and fabricated to investigate the bandgap behavior, as shown in Fig. 1. The measured and simulated SSN suppression is shown in Fig. 2. Two stopbands are observed. Measured and simulated results correlated very well with each other. The dispersion diagram of the vertical cascaded EBG structure shown in Fig. 1 is derived, as shown in Fig. 3. The dispersion diagrams of the bigger and

smaller patch EBG structures are also simulated for investigation, as shown in Fig. 4. Vertical cascaded EBG structure creates two stopbands. The first stopband ranges from 1.6 to 2.6 GHz, and the second stopband ranges from 4.0 to 7.4 GHz. It is noted that the stopband of the bigger patch EBG structure ranges from 1.8 to 2.7 GHz, and the stopband of the smaller patch EBG structure ranges from 4.0 to 7.4 GHz. The first stopband is correlated to the stopband of the bigger patch EBG structure and the second stopband is correlated to the stopband of the smaller patch EBG structure. A slight discrepancy is seen. We can conclude that the first stopband is introduced by the bigger patch EBG surface and the second stopband by the smaller patch EBG surface. The stopband of the vertical cascaded EBG structure can be approximated by (1) where , , and are the stopband of the vertical cascaded, bigger patch, and smaller patch EBG structures, respectively. The bandgap behaviors of the bigger patch high-impedance surface and the smaller patch high-impedance surface do not affect each other in the vertical cascaded EBG structure. They are electrically independent. In the test board, the two stopbands are intentionally separated for bandgap behavior analysis. They can be adjusted to be overlapped with each other. Two other types of vertical cascaded EBG structures are shown in Fig. 5. Fig. 5(a) shows a vertical cascaded EBG structure with two EBG surfaces sharing a common metal layer. This

2202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 6. Single-direction decomposition of the vertical cascaded EBG structure. (a) Side view. (b) Top view. The decomposition direction is from left to right.

B. Decomposition of the Vertical Cascaded EBG Structure According to the aforementioned analysis, the vertical cascaded EBG structure can be decomposed into two EBG structures cascaded horizontally, one with the bigger patches and the other with the smaller patches, as shown in Fig. 6. The decomposition has a direction. Its direction is the direction of the propagated waves. The parameters of the decomposed bigger patch and smaller patch EBG structures are Fig. 4. Dispersion diagram. (a) Bigger patch EBG structure. (b) Smaller patch EBG structure.

(2a) (2b) (2c) (2d) (3a) (3b) (3c) (3d)

Fig. 5. Two other vertical cascaded configurations. (a) Two high-impedance surfaces in the same metallic layers. (b) Two high-impedance surfaces are separated.

arrangement is the same as the horizontal cascade, except for the connection of the patches. There is no improvement over the horizontal cascade. No area is saved and the bandgap behavior is exactly the same as the horizontal cascaded EBG structure. Fig. 5(b) shows another vertical cascaded EBG structure where two EBG surfaces are not intercrossed. This arrangement of patches does not behave as a cascaded stopband characteristic. This is because the bandgap characteristic is mainly built by the patches and the other close continuous reference plane, which is broken by the introduction of the second EBG surface.

where is the thickness of the EBG surface. However, the vertical cascaded EBG structure has many advantages over the decomposed structures, which are cascaded in two dimensions or horizontally in the SSN suppression of high-speed printed circuit boards and packages. First, the vertical cascaded EBG structure is much more compact. The bigger patches and the smaller patches are cross-arrayed. One-half board area is saved for single-direction SSN suppression. Thus, the implementation of the vertical cascaded structure is much cheaper. Furthermore, saving in board area results in short interconnects, which will increases the reliability and speed of the circuit boards. Second, unlike the horizontal cascaded EBG structure, the SSN suppression of the vertical cascaded EBG structure is unselective. SSN distributed in both stopbands is mitigated simultaneously. Hence, the SSN performance is greatly enhanced. Third, there are many special applications available for the vertical cascaded EBG structure that cannot be realized using the horizontal cascaded EBG structure with low cost and high performance. These special applications will be discussed in Section IV.

ZHANG et al.: SSN SUPPRESSION IN PCBs USING COMPACT 3-D CASCADED EBG STRUCTURE

2203

Fig. 7. EBG structures are cascaded in 3-D. (a) One EBG surface is cascaded horizontally. (b) Both EBG surfaces are cascaded horizontally.

C. Design Guidelines of a Power Plane Pair With Vertical Cascaded EBG Structure The above discussion shows that the vertical cascaded EBG structure can be decomposed into the horizontal cascade of two EBG structures with different stopbands, which greatly simplifies the design of a power plane pair with wideband SSN suppression using a vertical cascaded EBG structure. The design process can be simplified into the following three steps. Step 1) Divide the SSN suppression bandwidth into two stopbands. These two stopbands can be either separated or overlapped. Step 2) Design the first stopband with a bigger patch EBG structure, and the second stopband with a smaller patch EBG structure. The design principles of these EBG structures were reported in [7] and [13]. Step 3) Cascade the bigger patch EBG structure and the smaller patch EBG structure vertically. In our aforementioned discussion, it is assumed that the size of the bigger patches equals two times the size of the smaller patches. This is not needed in practical design. The size of the patch, bigger or smaller, can be at any value. The only constraint is

Fig. 8. Test board of the 3-D cascaded EBG structure. (a) Side view. (b) Top view.

(4) where is the distance between the two power planes. Equation (4) holds that the two EBG surfaces must be intercrossed with each other. III. CASCADE EBG STRUCTURE IN 3-D

Fig. 9. Measured SSN suppression bandwidth of the test board. For simplicity, SB denotes stopband.

The vertical cascade concept of EBG structure can be extended to a 3-D cascade for wider band SSN suppression. 3-D means that EBG structures are not only cascaded horizontally, but also vertically. The design principle is similar to that of vertical cascade discussed above. Since there are two EBG surfaces, the horizontal cascade can be very flexible. A horizontal cascade can be made in any one of the two EBG surfaces or both. Two examples of the 3-D cascade are illustrated in Fig. 7. Fig. 7(a) shows a 3-D cascaded EBG structure with one EBG surface horizontally cascaded. Fig. 7(b) shows a 3-D cascaded EBG structure where both EBG surfaces are horizontally cascaded. A test board was designed and fabricated to verify the wideband SSN suppression of the 3-D cascaded EBG structure, as shown in Fig. 8. All parameters are denoted in this figure. The

test board has a small size of 40 mm 32 mm. The four ports P1 (12 mm, 24 mm), P2 (26 mm, 24 mm), P3 (8 mm, 8 mm), and P4 (30 mm, 8 mm) are designed for stopband measurements. The measured results are shown in Fig. 9. The measured stopband consists of three sub-stopbands. The 40-dB bandwidth of the first stopband is 1.1 GHz, from 1.2 to 2.3 GHz. This stopband is formed by the EBG surface with the biggest patch size (7.75 mm 7.75 mm). The 40-dB bandwidth of the second stopband is 6.1 GHz, from 3.5 to 9.6 GHz. This stopband is formed by the EBG surface with the medial patch size (3.75 mm 3.75 mm). The 40-dB bandwidth of the third stopband is 16 GHz, from 9.0 to 25.0 GHz. This stopband is formed by the EBG surface with the smallest patch size (1.75 mm 1.75 mm). The 40-dB

2204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

TABLE I RELATIONS BETWEEN THE NUMBER OF ROWS OF PATCHES AND ITS SSN SUPPRESSION

Fig. 11. Experimental setup for S measurements for boards under test. The excited port is connected to boards under test via a surface-mounted connector. The detected port is connected to a monopole antenna.

Fig. 10. Built isolation wall along a printed circuit board using vertical cascaded EBG structure.

suppression bandwidth between port 3 and 4 is extremely wide, from 1.1 GHz to more than 27 GHz. It is also noted that the distance between these two ports is very small, only 22 mm. It is noted that the more rows of patches SSN propagates, more noise will be suppressed. The noise suppression performance increases with the number of rows of patches. In most cases, it is unnecessary that the noise be suppressed by 80 dB or above. The relationship between the number of rows of patches and noise coupling is investigated by full-wave simulations, as shown in Table I. IV. BUILDING SSN ISOLATION WALLS USING 3-D CASCADED EBG STRUCTURE Compact in physical arrangement and highly efficient in SSN suppression, the 3-D cascade makes it possible to build isolation walls along a printed circuit board for wideband EMI reduction, or along a noise-sensitive device for noise isolation using EBG structures with different patch sizes. A. Building an SSN Isolation Wall for EMI Reduction Designing an EBG structure or horizontal cascaded EBG structure throughout a printed circuit board for EMI reduction was discussed in detail in [9]. However, the EMI reduction bandwidth is narrow if no cascade is applied, and it needs much more board area if the cascaded EBG structure is introduced. With the 3-D cascaded EBG structure, however, an isolation wall with small width and wideband EMI reduction can be built along the edges of a printed circuit board at low cost. Shown in Fig. 10 is a printed circuit board with an isolation wall for EMI reduction. Three EBG structures with different patch sizes are

Fig. 12. Measured S of the isolation wall along a printed circuit board for EMI reduction. (a) At port 1. (b) At port 2.

integrated into the isolation wall. Parameters of the vertical casmm, mm. caded EBG structure are mm, mm, mm, mm, mm, and mm. The width of the wall is 16 mm. The experimental setup for EMI reduction measurements is shown in Fig. 11. The measurement setup is similar to that reported in [9]. Measured scattering paat points 1 and 2 are shown in Fig. 12. Radiations rameters without the EBG structure are also provided for comparison. The reduction bandwidth is the same as that shown in Fig. 9 since all parameters of the three EBG surfaces are not changed. The radiation below 1 GHz is not suppressed, and there is a gap (passband) between 2–3 GHz because the two stopbands of the biggest patch EBG surface and the medial patch EBG surface are not overlapped. Wideband EMI reduction is achieved

ZHANG et al.: SSN SUPPRESSION IN PCBs USING COMPACT 3-D CASCADED EBG STRUCTURE

2205

Fig. 14. Measured bandwidth of L-shaped SSN isolation wall.

Fig. 15. Full-wave simulation setup of signal via transition. Fig. 13. Test board for SSN isolation wall. (a) Top view. (b) Side view of the SSN isolation wall.

with a narrow wall width of 16 mm. If the horizontal cascaded EBG structure was used, the width of the wall would be doubled. Compared with the horizontal cascaded structure, more than one-half of the wall area is saved, thus reducing further cost. B. Building an SSN Isolation Wall for Noise Isolation More and more analog and RF circuits are integrated with digital circuits in a board or package with ever high integration of the communication systems [1]. These analog/RF circuits are noise sensitive. They will be severely affected by the SSN in the power buses and the electromagnetic fluxes generated by signal vias through which high edge-rate switching currents pass. A conventional solution is etching trenches along these sensitive devices [14], [15]. However, the continuity of the reference plane would be broken, which would introduced severe signal integrity problems. A better substitute for etching trenches for SSN isolation for analog/RF devices by building isolation walls along these sensitive devices using a 3-D cascaded EBG structure is introduced here. A test board is made to show the SSN isolation performance, as shown in Fig. 13. The test board has a size of 72 mm 54 mm. An L-shaped SSN isolation wall is built along a sensitive block. Three test ports, i.e., P1 (56 mm, 16 mm), P2 (26 mm, 16 mm), and P3 (42 mm, 44 mm), are designed for isolation measured. P4 (22 m, 38 mm), P5 (22 mm, 6 mm), P6 (10 mm, 16 mm), and a signal via are added for full-wave simulation. The side view of the SSN isolation wall is shown in Fig. 13(b). Parameters of the vertical cascaded EBG structure mm, mm, mm. are

mm, mm, mm, and mm. Six patches, three smaller and three bigger, are arranged across the wall. The width of the wall is 18 mm. The measured isolation bandwidth is shown in Fig. 14. The 40-dB isolation bandwidth is 10.5 GHz, from 2 to 12.5 GHz. It consists of two sub-stopbands. The first stopband is 2 GHz, from 2 to 4 GHz. It is introduced by the bigger patch EBG surface. The second stopband is 8.5 GHz, from 4 to 12.5 GHz. It is introduced by the smaller patch EBG surface. The stopband of the SSN isolation wall is very wide and the width of the wall is narrow. There are mainly two sources of SSN. One is the signal vias through which high edge-rate switching currents pass. The other is a sudden sinking large switching current from the power buses. Full-wave analysis of these two cases in HSPICE via model-order reduction [16] was performed. A through signal via was investigated first. The full-wave SPICE model is generated in Ansoft’s High Frequency Structure Simulator (HFSS)1 and the simulation is performed in HSPICE. Port 4 is connected to a high edge-rate pulse source and port 5 is connected to ground via a 50- terminated resistor, as shown in Fig. 15. The simulated waveforms in ports 4 and 5 are shown in Fig. 16(a). The input pulse has a period of 5 ns, a rise/fall time of 0.05 ns, and a pulsewidth of 0.4 ns. Its amplitude is 2.5 V. Simulated SSN results are shown in Fig. 16(b). Severe SSN is generated at port 2 where no isolation is made. Its amplitude nearly reaches 0.15 V. SSN is considerably suppressed at port 3 because they are partly isolated by the isolation wall. It is evident that many high harmonics of the switching current are detected at these two ports. However, the detected voltage at port 1 is much smaller and clear, and high harmonics are isolated. Only one harmonic is detected at 1[Online].

Available: www.ansoft.com

2206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Now the case of sudden current sinking from the power planes is examined. A high edge-rate current source is added to port 2. The waveform of the current source is shown in Fig. 17(a). The current pulse has a period of 5 ns, a rise/fall time of 0.1 ns, and a pulsewidth of 0.01 ns. The amplitude of the pulse is 1 A. Generated SSN at ports 1, 3, and 6 are detected. Results are shown in Fig. 17(b). Severe SSN is generated at port 6. Its amplitude reached 1.5 V, which is much bigger than that generated by signal vias. The amplitude is reduced at port 3 since some noise is isolated. At port 1, however, only one harmonic at 1 GHz is detected. All high-frequency harmonics within the stopband are suppressed by the isolation wall. The SSN isolation wall built by 3-D cascaded EBG greatly isolate the SSN within the stopband. The isolation wall is very compact. Little board area is added so the additional cost introduced by it is small. The width of the isolation walls can be reduced further by patch-split enhancement [17] or using high constant dielectrics. V. CONCLUSION

Fig. 16. SSN isolation for signal via transition. (a) Switching signal at input and output of the signal via. (b) Simulated SSN.

In this paper, the bandgap behavior of the vertical cascaded EBG structure is analyzed. The vertical cascade EBG structure is decomposed into a horizontal cascade and the design guidelines are drawn. The vertical cascade concept is extended to a 3-D cascade for wider band SSN suppression. Building SSN isolation walls along a printed circuit board for wideband EMI and along sensitive devices for wideband SSN isolation using the 3-D cascaded EBG structure is proposed. The isolation wall is a better substitute for edge terminations and isolation trenches. This implementation needs little additional board area and the isolation performance is excellent. Simulations and measurements are performed to verify the SSN suppression. Good performance is noted. The 3-D cascade is a physical arrangement of EBG structures. It has no constraints on the cascaded EBG structures. EBG structures with the same patch size can also be cascaded vertically. With the 3-D cascade, an EBG structure with multiple stopbands or broad bandwidth can be easily realized. The 3-D cascaded EBG structure is very compact and its noise suppression is highly efficient. It is valuable for the SSN suppression and signal integrity improvement in 3-D packages and high-speed printed circuit boards. REFERENCES

Fig. 17. SSN isolation for switching current sinking from the power buses. (a) High-speed switching current at port 2. (b) Simulated SSN.

a frequency of 1 GHz. This harmonic is not isolated because it is outside the stopband. The SSN generated by the signal vias is greatly suppressed by the isolation wall.

[1] R. R. Tummala, “SOP: What is it and why? A new microsystem-integration technology paradigm—Moor’s law for system integration of miniaturized convergent systems of the next decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 241–249, May 2004. [2] J. Park, H. Kim, Y. Jeong, J. Kim, J. S. Pak, D. G. Kam, and J. Kim, “Modeling and measurement of the SSN coupling through signal via transition,” IEEE Trans. Adv. Packag., vol. 29, no. 3, pp. 548–559, Aug. 2006. [3] L. D. Smith, “SSN and power plane bounce for CMOS technology,” in Proc. IEEE 8th Elect. Perform. Electron. Packag. Top. Meeting, 1999, pp. 136–166. [4] S. V. Berghe, F. Olyslager, D. D. Zutter, J. D. Moerloose, and W. Temmerman, “Study of the ground bounce caused by power plane resonances,” IEEE Trans. Electromagn. Compat., vol. 40, no. 2, pp. 111–119, May 1998. [5] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric EBG structures for suppression and isolation of the parallel-plate noise in high-speed circuit,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003.

ZHANG et al.: SSN SUPPRESSION IN PCBs USING COMPACT 3-D CASCADED EBG STRUCTURE

[6] L. D. Smith, R. E. Anderson, D. W. Forehand, T. J. Pelc, and T. R. Oy, “Power distribution system design methodology and capacitor selection for modern CMOS technology,” IEEE Trans. Adv. Packag., vol. 22, no. 3, pp. 284–291, Aug. 1999. [7] T. Kamgaing and O. M. Ramahi, “Design and modeling of high-impedance electromagnetic surface for switching noise suppression in power planes,” IEEE Trans. Electromagn. Compat., vol. 47, no. 3, pp. 479–489, Aug. 2005. [8] J. Lee, H. Kim, and J. Kim, “Broadband suppression of SSN and radiated emissions using high-DK thin film EBG power distribution network for high-speed digital PCB applications,” in Proc IEEE Int. Electromagn. Compat. Symp., Aug. 2005, vol. 3, pp. 967–970. [9] S. Shahparnia and O. M. Ramahi, “Electromagnetic interference (EMI) reduction from printed circuit boards (PCB) using EBG structures,” IEEE Trans. Electromagn. Compat., vol. 46, no. 4, pp. 580–586, Nov. 2004. [10] T. L. Wu, Y. Y. Lin, C. C. Wang, and S. T. Chen, “EBG power/ground planes for wideband suppression of ground bounce noise and radiated emission in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2935–2942, Sep. 2005. [11] T. L. Wu, C. C. Wang, Y. H. Lin, T. K. Wang, and G. Chang, “A novel power plane with super wideband elimination of ground bounce noise on high speed circuits,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 174–176, Mar. 2005. [12] J. Park, A. C. W. Lu, K. M. Chua, L. L. Wai, J. Lee, and J. Kim, “Double-stacked EBG structure for wideband suppression of simultaneous switching noise in LTCC-based SiP application,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 481–483, Sep. 2006. [13] G. Chen and K. L. Melde, “Cavity resonance suppression in power delivery system using electromagnetic bandgap structure,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 21–30, Feb. 2006. [14] T. L. Wu, S. T. Chen, J. N. Huang, and Y. H. Lin, “Numerical and experimental investigation of radiation caused by the switching noise on the partitioned DC power/ground-planes of high-speed digital PCB,” IEEE Trans. Electromagn. Compat., vol. 46, no. 1, pp. 33–45, Feb. 2004. [15] T. Hubing, J. Chen, J. Drewnik, T. V. Doren, Y. Ren, J. Fan, and R. E. DuBroff, “Power bus noise reduction using power island in printed circuit board designs,” in Proc. Int. Electromagn. Compat. Symp., Aug. 1999, pp. 1–4. [16] B. Anderson, J. E. Bracken, J. B. Manges, G. Peng, and Z. Cendes, “Full-wave analysis in SPICE via model-order reduction,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2314–2320, Sep. 2004. [17] C. L. Wang, G. H. Shiue, W. D. Guo, and R. B. Wu, “A systematic design to suppress wideband ground bounce noise in high-speed circuits by electromagnetic-bandgap enhanced split powers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4209–4217, Dec. 2006.

2207

Mu-Shui Zhang received the B.S. degree in electrical engineering from Xidian University, Xi’an, China, in 2004, and is currently working toward the Ph.D. degree at Xidian University. His current interests focus on signal integrity analysis and high-speed digital design.

Yu-Shan Li received the B.S. degree from Harbin Engineering University, Harbin, China, in 1968, and the M.S.E. degree from Xidian University, Xi’an, China in 1981, both in electronic engineering. In 1981, he joined the faculty of the School of Electronic Engineering, Xidian University, where he is a Professor/Ph.D. Candidate Advisor and the Director of the Institute of Electronic Computer Aided Design. From February 1986 to December 1987, he was a Visiting Scholar and Research Associate with the Department of Electrical and Computer Engineering, University of Miami, Miami, FL. His research concerns digital vision system and circuit design, electronic design automation, and signal integrity analysis.

Chen Jia received the B.Sc. and M.Sc. degrees in electronic engineering from Xidian University, Xi’an, China, in 2003 and 2006, respectively, and is currently working toward the Ph.D. degree at Xidian University. His current research interests are in the field of signal integrity in deep-submicrometer (DSM) and system-on-package (SOP) design.

Li-Ping Li received the B.Sc. and M.Sc. degrees in electronic engineering from Xidian University, Xi’an, China, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree at Xidian University. Her current research interests are in field of jitter in high-speed serial links design.

2208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

General Design of Multiway Multisection Power Dividers by Interconnecting Two-Way Dividers Jiafeng Zhou, Kevin A. Morris, and Michael J. Lancaster, Senior Member, IEEE

Abstract—It is common practice to design multiway power dividers by interconnecting two-way power dividers. Transmission lines are used to link the two-way dividers. This paper investigates the performance of the interconnected power divider and the effects of the interconnecting transmission lines. In particular, it will be shown that the performance of multiway dividers constructed by interconnecting even-number-section two-way dividers deteriorates significantly as the number of output ports increases. The interconnecting lines can be used to improve the performance of such dividers. Index Terms—Broadband, power combiner, power divider, power splitter, quarter-wavelength, transmission lines, Wilkinson.

I. INTRODUCTION OWER dividers or combiners/splitters are passive microwave components used for distributing or combining microwave signals. Multiway power dividers are needed in many microwave applications such as phased antenna arrays and power amplifiers. There are many ways to design power dividers. Wilkinson-type dividers [1] are widely used. However, an -way Wilkinson power divider is planar only when , and is not planar for . Planar multiway dividers can usually be realized by interconnecting multiple two-way Wilkinson dividers. In an interconnected multiway divider, usually it is physically difficult to link the two-way dividers directly with each other. Unwanted cross-coupling may also exist if the two-way dividers are too close to each other. To keep them apart, extra transmission lines are usually needed to link the two-way dividers. Although many have reported the design [2], [3] and improvement [4]–[6] of Wilkinson dividers, it is interesting to note that few have investigated the interconnection of multiway dividers in detail. It seems that the lengths of the interconnecting transmission lines are randomly chosen [7], [8]. This paper will investigate the performance of interconnected multiway dividers and the effects the interconnecting lines have on the performance of the multiway dividers.

P

Manuscript received April 19, 2007; revised July 16, 2007. This work was supported by the European Commission. J. Zhou and K. A. Morris are with the Department of Electronic and Electrical Engineering, University of Bristol, Bristol BS8 1UB, U.K. (e-mail: [email protected]). M. J. Lancaster is with the Department of Electronic, Electrical and Computer Engineering, The University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906541

Fig. 1. (a) Schematic layout of the traditional two-way Wilkinson divider and (b) its typical performance.

II. FOUR-WAY SINGLE-SECTION DIVIDER The simplest scenario of an interconnected multiway divider is a four-way construction produced by linking three two-way dividers. A. Two-Way Wilkinson Divider The traditional two-way Wilkinson divider is shown in Fig. 1(a). It is composed of a pair of quarter-wavelength transmission lines with a characteristic impedance of and an isolation resistor of , where , usually 50 , is the matched impedance of the divider. The typical performance of the two-way Wilkinson divider is shown in Fig. 1(b). Since all three ports in the divider are perfectly matched at the center frequency , in the ideal case, the reflection is zero from all ports. Due to the limited-bandwidth approximation of the quarter-wavelength transmission lines, the input impedance at other frequencies, seen from each port is generally not and the return loss is, therefore, not infinite. The usable bandwidth of a single-section Wilkinson divider can be taken as for (reflection 20 dB) 20 dB [9]. and isolation

0018-9480/$25.00 © 2007 IEEE

ZHOU et al.: GENERAL DESIGN OF MULTIWAY MULTISECTION POWER DIVIDERS BY INTERCONNECTING TWO-WAY DIVIDERS

2209

. The reflection coefficient length is given by of the two-way divider seen at the input can be calculated by

(2)

Fig. 2. Schematic layout of a four-way divider made by interconnecting three two-way dividers, linked with transmission lines.

For the four-way divider, if the two two-way dividers in the second stage are connected directly to the output ports of the first stage, the input impedance of the four-way divider can be calculated as a two-way divider loaded with frequency-dependent impedances given by (1). Assuming that the electrical length of the interconnecting transmission lines is at , the electrical length at is given . The input impedance of the four-way divider seen by at the input port can be calculated by (3)

In the Wilkinson divider, the resistor plays a key role in providing the output-port match and isolation. Ideally, the resistor should have no phase difference across it to provide the best isolation; otherwise, the isolation of the output ports will not be infinite at the center frequency.

where (4) Using (1)–(4), the reflection coefficient of the four-way divider is given by

B. Design of a Four-Way Divider A four-way divider can be realized in a two-stage structure by interconnecting three two-way Wilkinson dividers, as shown in Fig. 2. As indicated above, the output ports of a two-way Wilkinson divider should be kept as close as possible to minimize the phase shift across the resistor. Otherwise, extra transmission lines would be needed to connect the resistor to the ports, which introduce unwanted phase shift. It is, therefore, physically difficult to interconnect the two-way dividers directly without affecting each other. In practice, transmission lines with are usually used to link the two-way dividers. For impedance simplicity, the two lines used are of equal length. It should be noted that transmission lines may also be needed to connect the input and output ports to microwave components outside the divider. These transmission lines have little effect on the performance because a load with an impedance of transformed by any length of an ideal transmission line with a is always at all frequencies. characteristic impedance of C. Reflection Seen at the Input Since the resistor has no contribution to the input reflection coefficient, the input impedance of the traditional two-way Wilkinson divider can be obtained by calculating two loads transformed by the quarter-wavelength transmission lines in parallel, which is given by (1) where is the length of the transmission line and is the phase constant. At the center frequency , the electrical length of the . At frequency , or the transmission line is , the electrical normalized frequency

(5) where

The reflection coefficient at the input port of the four-way divider has a few interesting characteristics that can be deduced from (5) as follows. when , or , as is infinite, i.e., • the input port of the four-way divider is always matched at are dependent on the center frequency. Other nulls of , and given by . only when • . The reflection from the divider is symmetonly when the interconnecting transrical about mission line is zero length or multiple quarter-wavelength. This property is important because it points out the optimal length of the transmission line to achieve good reflection. More details will be given below. of the four-way diThe calculated input reflection, i.e., vider, is shown in Fig. 3(a) with different lengths of intercon, , , and . It can be seen that, necting lines of , the usable band of in the band 1.18 : 0.82 ( the two-way divider, as given in Section II-A), the input reflec, and is the second lowest when tion is the lowest when . It will be shown in Section IV that generally the performance of the four-way divider is optimal when . In Fig. 3(a), the reflection is symmetrical , , or , and is not symmetrical when only when

2210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

as a resistor to ground with an impedance of . Thus, the threeport network is simplified to a two-port network. The network between the output ports can be represented by two sub-networks connected in parallel. One of the sub-networks is the isolation resistor; the other consists of two quarter-wavelength resistor to ground in between. transmission lines with a The reflection coefficient at the output ports can be expressed as (6) The isolation is given by (7) The reflection and isolation of the four-way divider can be calculated in a similar way described above. They can be computed, and the expression will be much more complicated than (6) and (7). However, by comparing (6) and (2), it can be seen that the reflection from the output port of a two-way divider is very small in the usable band. The relationship of the magnitudes of the input and output reflection coefficient is given by (8)

Fig. 3. Calculated: (a) input reflection S , (b) output reflection S , and (c) isolation S of the four-way divider with different lengths of interconnecting transmission lines.

, as indicated above. Indeed, the length of the interconnecting transmission line has significant effects on the performance of the divider. D. Reflection and Isolation of the Output Ports The reflection and isolation at the two output ports of the two-way divider can be calculated by network analysis. To calculate the reflection and isolation, the input port can be regarded

From (8), it can be seen that the magnitude of the output reflection is always better than the input reflection in the whole band. For the 1.18 : 0.82 band of interest, the output reflection is at most 39.8 dB. At any frequency in this band, the reflection seen at the output is at least 19.8 dB better than that at the input port. Therefore, the impedance seen at the output is well in the band of interest. matched to The input of the second stage elementary two-way divider is loaded with the output impedance of the first stage one transformed by the interconnecting transmission line. Since the output impedance of the two-way divider is very close to , as deduced above, the isolation between adjacent output ports of the four-way divider is, therefore, very similar to a two-way one, and is not significantly affected by the interconnecting transmission lines. Due to the way the dividers are connected, the isolation between nonadjacent output ports is better than that of adjacent ports. is shown in Fig. 3(b), when The calculated reflection , , , and . It can be seen that the output reflection is well below 20 dB in the band of interest. Due to symmetry, the reflection at other output ports is the same as port is shown in Fig. 3(c), when , , 2. The isolation , and . It can be seen that the isolation is affected negligibly, as indicated above. E. Transmission In these equal power dividers, a signal enters the input port, and is split into equal-amplitude equal-phase output signals at the output ports. Since each end of each isolation resistor is at the same potential, no current flows through it and, therefore, the resistors are decoupled from the input. Thus, the transmission coefficient maintains high values with little loss as long as the

ZHOU et al.: GENERAL DESIGN OF MULTIWAY MULTISECTION POWER DIVIDERS BY INTERCONNECTING TWO-WAY DIVIDERS

2211

input and output are well matched. If the reflection is better than 20 dB from the input and output ports, the transmission will be better than 0.09 dB if the network is lossless, except for the resistors. Due to symmetry, the transmission coefficients to all output ports are equal magnitude and equal phase. III. MULTIWAY SINGLE-SECTION DIVIDERS The input impedance of a multiway divider can be calculated in a similar way to the four-way one described above. More -way divider generally, the input impedance of an -stage two-way dividers can be constructed by interconnecting calculated by the recursive function (9) where if if

(9a)

, and are defined above, and . where , is the electronic length of transmission lines interconnecting the th and th stage of the divider. For , the expression to calculate the input reflection coefficient will be much more complicated than that given in (5). It is probably more straightforward to simulate the response by circuit simulation using commercial software (e.g., Agilent Technologies’ ADS [10] or Applied Wave Research’s Microwave Office [11]). However, the theory above still applies that the lengths of the interconnecting transmission lines mainly affect the input reflection coefficient, and the response is symmetrical . The transabout the center frequency only when , output reflection , and isolation are much mission less sensitive to the length of the interconnecting transmission lines. IV. MULTISECTION POWER DIVIDERS A. Multisection Two-Way Divider As discussed above, a single-section divider usually has usable bandwidth of approximately 1.44 : 1. To achieve wider bandwidth, the design of broadband multisection two-way power dividers was introduced in [9] and was widely used in many applications [12], [13]. The design of broadband dividers with Butterworth and Chebyshev responses are detailed in [9] and [14]. The Butterworth type has a maximally flat performance in the specified band. The Chebyshev type has a wider usable band with equal-magnitude ripples in the band, and is favored when wide bandwidth is the main interest. For an -section Chebyshev two-way divider, the input renulls in the specified band. In particflection coefficient has is an even number, the reflection coefficient at the ular, when center frequency is not null. The magnitude of the reflection coefficient is the value of the ripple, and can be determined in the design procedure. It will be shown below that the performance of an -stage ( -way) -section divider deteriorates dramatically while

Fig. 4. (a) Layout and (b) simulated response of the two-way two-section divider with f =f = 3 : 1.

increases, especially when is an even number. By choosing the interconnecting transmission lines to be quarter-wavelength, the performance of the even-number-section divider can be significantly improved. B. Two-Section Two-Way Divider The schematic layout of a two-way two-section divider is shown in Fig. 4(a). The two-section divider has two pairs of quarter-wavelength transmission lines with characteristic and . Two resistors, i.e., and , are impedance of associated with the transmission lines. The method to calculate , and is detailed in [9] and [14]. To the values of , , , these values design a two-section divider with , , , and are found to be , assuming . The response of the two-section two-way divider can be calculated in a similar way described in Section II. The calculated response of the divider is shown in Fig. 4(b). The input reflecis 18.7 dB at the center frequency. tion C. Design of Multiway Multisection Dividers Multiway dividers can be designed by interconnecting the two-way dividers. The response of such a divider can be calculated in a similar way as discussed in Section IV-B. While the output port reflection and isolation are not affected significantly, the input reflection coefficient is quite different, and can be calculated using a recursive equation similar to (9). Although the expression will be much more complicated, it can be easily evaluated by computer. It still applies that the performance of the divider is symmetrical about the center frequency only when the interconnecting transmission lines are zero length or multiple

2212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

quarter-wavelength. Some other useful characteristics are analyzed below. As explained in Section IV-A, the two-section divider is not perfectly matched at its center frequency. It can be calculated , the impedance seen at the that, at the center frequency input port is given by (10) For an -stage ( -way) two-section divider constructed by dividers directly, the input impedance interconnecting at the center frequency is given by (11) It is evident from (11) that the mismatch at the center frequency deteriorates exponentially with the number of stages of the divider. The calculated input reflection of the 64-way without intercontwo-section divider with necting transmission lines is shown in Fig. 5(a). For the 64-way divider, the input reflection is as low as 4.4 dB at the center frequency, which is not good enough for most applications. Calculation indicates that the input reflections are 9.5 and 5.6 dB at the center frequency for the eight- and 32-way dividers, respectively. It was proposed above that it is usually physically necessary to use transmission lines to interconnect the two-way dividers. It was also proven by calculation that the performance of the dividers can be significantly affected by the transmission line interconnections. It will be shown below that the interconnecting transmission lines are useful to tune the performance of the multisection dividers. If a pair of quarter-wavelength transmission lines is added between adjacent stages of the two-section divider, the input impedance at the center frequency seen at the first stage is identical to the output impedance seen at the output port of the second stage. Taking a four-way two-section divider for an example, the input impedance seen at the input port of the second stage two-way divider is given by (10). If quarter-wavelength are transmission lines with a characteristic impedance of added after the first stage, the input impedance seen at the input port of the first stage can be calculated in a similar way to (10), and is given by (12) The input port is perfectly matched at the center frequency! Hence, the reflection is optimal around the center frequency. More generally, the electrical length of the transmission lines . Obviously it can be easily exadded can be trapolated that any two adjacent stages in an -stage divider can be “paired” to provide best matching. Therefore, the following conditions result. 1) The input impedance, seen at the input port of even-number-stage even-number-section dividers, can be perfectly matched in the vicinity of the center frequency ) by adding quarter-wavelength (or transmission lines between adjacent stages.

Fig. 5. Calculated response of the 64-way two-section divider when all two-way dividers are interconnected: (a) directly and (b) by quarter-wavelength transmission lines.

2) The input impedance, seen at the input port of odd-numberstage even-number-section dividers, can be matched to be similar to that of a single even-number-section two-way divider in the vicinity of the center frequency by adding ) transmission quarter-wavelength (or lines between adjacent stages. 3) Although the input port is always perfectly matched for odd-number-section dividers, including the single-section dividers detailed in Sections II and III, the input impedance or ) transis optimal by adding half-wavelength ( mission lines between adjacent stages. For even-numberstage odd-number-section dividers, the input impedance is well matched in the vicinity of the center frequency. For odd-number-stage odd-number-section dividers, the input impedance is similar to a single-stage divider around the center frequency. It should be pointed out that the above are sufficient conditions to achieve optimal responses. They are not necessary conditions to achieve the best match at the center frequency. For example, the input of a 16-way divider is perfectly matched at the center frequency if all stages are interconnected with quarterwavelength transmission lines. It is also perfectly matched at

ZHOU et al.: GENERAL DESIGN OF MULTIWAY MULTISECTION POWER DIVIDERS BY INTERCONNECTING TWO-WAY DIVIDERS

2213

Fig. 6. Layout of the eight-way two-section divider, where the two-way dividers are connected by transmission lines with electrical lengths of 3=2 and =2 (not to scale).

the center frequency if quarter-wavelength transmission lines are added only between the second and third stages. The response of even-number-section dividers is generally most optimal when all adjacent stages are interconnected transmission lines. The by quarter-wavelength response of odd-number-section dividers is generally most optimal when all adjacent stages are interconnected directly , or by using half-wavelength transmission lines if direct interconnection is physically difficult. This has been confirmed by the calculated responses of the four-way and have divider discussed in Section II, where better performance than the other cases do. Due to the nature of the quarter-wavelength transformers, the optimal bandwidth of the divider will be reduced if longer interconnecting transmission lines, rather than quarter-wavelength or half-wavelength ones, are used. The calculated response of a 64-way divider interconnected by quarter-wavelength transmission lines at all stages is shown in Fig. 5(b). Compared to Fig. 5(a), it can be seen that the reflection at the input port is significantly improved by adding the interconnecting transmission lines. On the other hand, the output reflection is much better than the input reflection, and generally around 20 dB lower in both Fig. 5(a) and (b). The isolation is very similar in both cases. In fact, this isolation is also similar to that of the single-stage two-section divider shown in Fig. 4(b). This again confirms the theory derived in Section II-D, i.e., the reflection and isolation are not significantly affected in the interconnected multiway dividers. The overall performance of the 64-way divider meets the specified 3 : 1 bandwidth of the single-stage two-section divider very well. The input reflection is better than 17.2 dB, the isolation is better than 19.7 dB, and the output reflection is better than 32.0 dB in the band of interest. D. Experimental Results To validate the theory above, an eight-way two-section divider was designed and tested. The layout of the circuit is shown in Fig. 6. As indicated above, for this two-section divider, the interconnecting transmission lines should be quarter-wavelength to achieve optimal performance. In the deor and sign, transmission lines with electrical lengths of were chosen to interconnect the two-way dividers at different stages, respectively. The divider was designed at -band with a center frequency of 5.75 GHz. The circuit was designed in a microstrip structure to be constructed on Duroid RO4003 laminate. The laminate has a dielectric constant of 3.38, a loss tangent of 0.0027,

Fig. 7. (a) Input reflection, (b) transmission, (c) output reflection, and (d) isolation of the eight-way two-section divider with 3=2- and =2-long interconnecting transmission lines between different stages.

and a thickness of 0.305 mm. Two pairs of bended transmission lines are used to construct the elementary two-way two-section

2214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

divider, as shown in Fig. 6. Different linewidths are used to realize the required characteristic impedances. The isolation resistors used in practice are 200 and 110 , respectively. To compensate for the nonideal T-junction and bend effects of the transmission lines, the lengths of the interconnecting lines and the dimensions of the two-way divider were further optimized by EM software [15] to achieve optimal performance. The calculated, simulated, and measured performance of the divider is shown in Fig. 7. It can be seen that the calculated isolation is 18.7 dB at the center frequency, the same as the two-way two-section one. The reflection at the center frequency would be 9.5 dB if the two-way dividers were connected directly. The measured performance is in good agreement with the calculated and simulated performance. The input reflection is better than 15.5 dB, the output reflection is better than 19 dB, and the isolation is better than 19 dB as well in the band of interest. The minimum measured insertion loss is 10 dB, including the loss of the input and output connectors, only 1 dB on top of the 9-dB intrinsic loss of an eight-way divider. V. CONCLUSIONS AND FUTURE WORK The general design of multiway multisection dividers by interconnecting two-way dividers is described in this paper. Transmission lines are needed to interconnect the dividers. These transmission lines not only provide the physical link among the two-way dividers, but can also be used to tune the performance of the dividers. It is proven by calculation that the response of the dividers is symmetrical about the center frequency only when the electric lengths of the interconnecting transmission lines are multiple quarter-wavelength. The multiway divider can achieve a similar usable bandwidth to that of its two-way dividers by properly choosing the lengths of the interconnecting transmission lines. It is also indicated in the paper that the interconnection has much less effect on the reflection and the isolation between the output ports. In particular, the input reflection of a multiway even-numbersection divider is optimal when the interconnecting transmission lines are quarter-wavelength or an odd-number of quarterwavelengths. Without these interconnecting lines, the input reflection deteriorates rapidly. The input reflection of a multiway odd-number-section divider is optimal when the interconnecting transmission lines are zero-length or multiple-half-wavelength. It is expected that this paper can provide general guidance on the design of multiway multisection dividers. It is anticipated that the analysis method can also be applied to analyze unequal power dividers and other interconnected microwave components, such as filters and couplers. ACKNOWLEDGMENT The authors would like to thank Microlab RF Ltd., Hereford, U.K., for fabricating the circuit. REFERENCES

N

[1] E. J. Wilkinson, “An -way hybrid power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960.

[2] Q. Guo, Y. Ma, and J. Ju, “A novel broadband high-power combiner,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 5, no. 4–7. [3] R. P. Tetarenko, “Broad-band properties of a class of TEM-mode hybrid,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 11, pp. 887–889, Nov. 1971. [4] M. Chongcheawchamnan, S. Patisang, M. Krairiksh, and I. D. Robertson, “Tri-band Wilkinson power divider using a three-section transmission-line transformer,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 8, pp. 452–454, Aug. 2006. [5] B. Piernas and M. Hirata, “Enhanced miniaturized Wilkinson power divider,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 8–13, 2003, vol. 2, pp. 1255–1258. [6] H. R. Ahn and I. Wolff, “General design equations of three-port unequal power-dividers terminated by arbitrary impedances,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 11–16, 2000, vol. 2, pp. 1137–1140. [7] D. Antsos, R. Crist, and L. Sukamto, “A novel Wilkinson power divider -band,” in IEEE MTT-S Int. with predictable performance at - and Microw. Symp. Dig., May 23–27, 1994, pp. 907–910. [8] K. J. Herrick and L. P. B. Katehi, “ -band micromachined circuit combining networks,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1647–1651, Jun. 2002. [9] S. B. Cohn, “A class of broadband three-port TEM-mode hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 2, pp. 110–116, Feb. 1968. [10] Advanced Design System (ADS). Agilent Technol., Palo Alto, CA, 2005. [11] Microwave Office. Appl. Wave Res. Inc., El Segundo, CA, 2007. [12] Y. Yang, C. Zhang, S. Lin, and A. E. Fathy, “Development of an ultra wideband Vivaldi antenna array,” in IEEE AP-S Int. Symp., Jul. 3–8, 2005, vol. 1A, pp. 606–609. [13] H. Oraizi and A.-R. Sharifi, “Design and optimization of broadband asymmetrical multisection Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2220–2231, May 2006. [14] G. L. Matthaei, L. Young, and E. M. T. Jone, Microwave Filter, Impedance Matching Networks, and Coupling Structure. New York: McGraw-Hill, 1980. [15] Sonnet Software. ver. 9.52, Sonnet Software Inc., North Syracuse, NY, 2003.

K

Ka W

Jiafeng Zhou was born in Jiangsu, China. He received the B.Sc. degree in radio physics from Nanjing University, Nanjing, China, in 1997, and the Ph.D. degree from The University of Birmingham, Edgbaston, Birmingham, U.K., in 2004. His doctoral research concerned high-temperature superconductor microwave filters. Beginning in July 1997, for two and a half years he was with the National Meteorological Satellite Centre of China, Beijing, China, where he was mainly involved with communications of the ground station and Chinese geostationary meteorological satellites. From August 2004 to April 2006, he was a Research Fellow with The University of Birmingham, where his research concerned phased arrays for reflector observing systems. He is currently with the Department of Electronic and Electrical Engineering, University of Bristol, Bristol, U.K. His current research interests include microwave power amplifiers and linearization.

Kevin A. Morris received the B.Eng. and Ph.D. degrees in electronics and communications engineering from the University of Bristol, Bristol, U.K., in 1995 and 1999, respectively. In 1998, he was a Research Associate with the Centre for Communications Research (CCR), University of Bristol, during which time he was involved with a number of projects including the EPSRC PACT LINK Program and the IST project SUNBEAM. In 2001, he became a Lecturer in RF engineering at the University of Bristol, and in August 2007, became a Senior Lecturer. He is currently involved with a number of research programs within the U.K., including the Mobile VCE Core 4 Research Program. He has authored or coauthored 14 academic papers. He co-holds three patents. His research interests are in the area of RF hardware design with specific interest in the design of efficient linear broadband power amplifiers for use within future communications systems.

ZHOU et al.: GENERAL DESIGN OF MULTIWAY MULTISECTION POWER DIVIDERS BY INTERCONNECTING TWO-WAY DIVIDERS

Michael J. Lancaster (M’91–SM’04) received the Physics and Ph.D. degrees from Bath University, Bath, U.K., in 1980 and 1984, respectively. His doctoral research concerned nonlinear underwater acoustics. Upon leaving Bath University, he joined the Surface Acoustic Wave Group, Department of Engineering Science, Oxford University, as a Research Fellow, where his research concerned the design of novel surface acoustic wave (SAW) devices including filters and filter banks. These devices

2215

worked in the 10-MHz–1-GHz frequency range. In 1987, he became a Lecturer with the School of Electronic and Electrical Engineering, The University of Birmingham, Edgbaston, Birmingham, U.K. Shortly after, he began the study of the science and applications of high-temperature superconductors (HTSs), involved mainly with microwave frequencies. He currently heads the Emerging Device Technology Research Center, The University of Birmingham. His current research interests include microwave filters and antennas, as well as the high-frequency properties and applications of a number of novel and diverse materials.

2216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications Marek E. Bialkowski, Fellow, IEEE, Amin M. Abbosh, and Norhudah Seman, Student Member, IEEE

Abstract—This paper presents the design of two compact fully integrated six-port devices, which, from scalar power measurements, are capable of determining the ratio of two complex voltages or two complex wave amplitudes over an ultra-wide frequency band of 3.1–10.6 GHz. These vector voltmeters are formed by multilayer microstrip/slot couplers and power dividers that are assembled without using wire vias or crossovers. An ultra-wideband operation of these fully integrated six-port voltmeters is confirmed by full electromagnetic simulations and measurements. Index Terms—Couplers, power dividers, six-port techniques, ultra-wideband (UWB).

I. INTRODUCTION ANY microwave applications require the determination of a ratio of two complex voltages or two complex wave amplitudes over a specified frequency band. Such a task can be performed using a microwave vector network analyzer (VNA), which is one of the most popular microwave measurement instruments in use today. Based on a heterodyning receiver technique, this device is capable of providing a very accurate magnitude and phase measurement of two complex signals over a large dynamic range (90 dB or more). This is possible due to the use of a sophisticated receiver technique that involves a frequency synthesized source and double frequency conversion from a gigahertz region to a 100-kHz region, where signals can be processed using digital techniques. Its excellent performance is offset by its high price tag and a bulky size, which make its use limited to a laboratory environment. In [1], Hoer and Roe, and in [2] and [3], Engen introduced the concept of a six-port vector voltmeter as a low-cost alternative to the conventional VNA. This device, which is formed by a six-port junction and four scalar power detectors, relies on a low-cost homodyne receiver technique. By performing simple mathematical operations on powers measured at the four chosen ports, it can provide information about the ratio of two complex microwave signals in the remaining two ports. An inclusion of additional couplers and/or power dividers turns this device into a reflectometer or a network analyzer [4]–[6]. For a dynamic

M

Manuscript received April 13, 2007; revised June 28, 2007. This work was supported by the Australian Research Council under Grant DP0449996 and Grant DP0773082, and by The University of Queensland under a Postdoctoral Research Fellowship. The authors are with the School of Information Technology and Electrical Engineering, The University of Queensland, Brisbane, St. Lucia, Qld. 4072, Australia (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.906539

range of 60 dB, the power levels at the four detectors vary only within a 15–20-dB range [3], which is convenient from the point of view of signal conditioning for the analog-to-digital conversion. The 60-dB dynamic range can be increased by employing a locking amplifier technique [7]. Due to its small size and much lower manufacturing price, the six-port voltmeter can be used as a portable device in harsh environments. Due to the same reasons, it can be used in multiples, as a receiver connected to individual elements of a large size array antenna. Our interest in a six-port vector voltmeter is with respect to two application areas, which are microwave imaging [8] and ultra-wideband (UWB) communications [9]. In the first case, we aim to use UWB vector voltmeters in conjunction with tapered slot antennas to form a planar or circular array in a high-resolution microwave camera [8]. With respect to UWB communications, a six-port voltmeter is aimed to be used as a UWB phase detector or modulator/demodulator [9]. In the two cases, our considerations are constrained to the 3.1–10.6-GHz ultra-wide frequency band, as specified in [10]. In the past, wideband six-port voltmeters were assembled using commercially available 90 and 180 couplers and power dividers in stripline, microstrip, and waveguide technology. Since individual couplers and dividers need to be connected, e.g., using coaxial connectors and cables, this approach does not result in an integrated six-port design. Another hurdle is the increased development cost. These commercially available components are not cheap, especially when a UWB performance is of concern. In turn, applying the available designs of UWB couplers and dividers, from the open microwave literature, to form an integrated six-port design is also a challenge. For example, coupled-line 3-dB couplers, which employ the tandem configuration, require crossovers [11]. This creates a challenge to manufacture them even in well-advanced microwave laboratories. In order to counter this situation, symmetric planar five-ports were studied for some time to obtain low-cost fully integrated six-port voltmeters [12]. Unfortunately, their operational bandwidth is limited to approximately one octave [13]. The largest operational bandwidth of 76% for a planar five-port that employed multiple circular rings and star networks was demonstrated in [14]. The hurdles associated with the design of UWB stripline couplers (caused by the use of crossovers) and the limited operational bandwidth of planar five-ports have triggered our investigations into new configurations of multilayer microstrip couplers and dividers to form a fully integrated UWB six-port vector voltmeter. Our particular interest has focused on complimentary multilayer microstrip and slot structures because of

0018-9480/$25.00 © 2007 IEEE

BIALKOWSKI et al.: COMPACT MICROWAVE SIX-PORT VECTOR VOLTMETERS FOR UWB APPLICATIONS

Fig. 1. Configuration of a six-port vector voltmeter (without detectors) showing signals distribution for an ideal case.

their potential to enable a UWB performance while avoiding wire crossovers. In the first step, we choose the multilayer microstrip/slot UWB coupler [16] to form a six-port voltmeter. This coupler, being of broadside coupled lines type, has its ports on two sides of a common ground plane. As a result, it is incompatible with many available UWB power dividers of uniplanar type to realize an integrated six-port voltmeter. An initial solution concerning a multilayer microstrip divider that offers compatibility with the earlier designed UWB microstrip/slot coupler has been described in [15]. In this paper, we provide full details of the solution shown in [15] and point out its shortcomings. As a result of additional research, we propose a new multilayer divider and then we show a new UWB six-port voltmeter, which offers better integration capabilities than its predecessor reported in [15]. II. DESIGN Following the guidelines presented in [3], a six-port vector voltmeter can be designed using three 3-dB quadrature couplers and one in-phase (0 phase difference between the output ports) or out-of-phase (180 phase difference between the output ports) power divider. In an alternative arrangement, four 3-dB quadrature couplers can be exclusively used to build this device. Here, we concentrate on the design of the vector voltmeter formed by three 3-dB quadrature couplers and one out-of-phase power divider, as shown in Fig. 1. The reason for choosing this configuration is that it provides a better signal balance than the one employing exclusively quadrature couplers. As a result, the operation of this six-port is less affected by the nonideal performance of its individual components. In Fig. 1, Q denotes a 3-dB quadrature coupler, while D represents an out-of-phase power divider. Assuming that the couplers and the divider operate in an ideal manner (as shown by the signal distribution in Fig. 1), the real and imaginary parts of the ratio of two complex signals and can be expressed in terms of powers measured by four square-law power detectors as follows:

(1)

2217

This formula can be used for real-time processing or for displaying the ratio of two complex signals. In order to obtain more accurate results for , computer correction techniques involving a suitable calibration stage can be applied, as described in [1]–[3]. The challenge is to obtain a compact and integrated design of the device shown in Fig. 1, which would operate well, but not necessarily in an ideal manner, over the ultra-wide frequency band of 3.1–10.6 GHz. In order to obtain a suitable solution to this problem, we apply a multilayer microstrip/slot technology to design a 3-dB quadrature coupler (Q) and an out-of-phase power divider (D). The strategy to design a UWB 3-dB microstrip/slot coupler follows the one that has recently been reported by Abbosh and Bialkowski in [16]. The design of this coupler stems from an extension of the work of de Ronde [17], Garcia [18], and Tanaka et al. [19]. The design shown in [16] outperforms the ones described in [17]–[19]. The problem is that this coupler cannot be directly integrated with commonly available planar UWB in-phase or out-of-phase power dividers such as the multistage Wilkinson divider. This is because two pairs of its input/output ports appear on two sides of a common ground plane, whereas the Wilkinson divider has its all three ports in one plane. In order to overcome this problem, in the first instance, we propose to use a UWB out-of-phase divider, which was described in [20]. This power divider employs a parallel strip input port and two microstrip output ports on opposite sides of a ground plane, as required for connecting the microstrip/slot coupler described in [16]. Here, we introduce a taper for the input port of this divider [20] to obtain a better performance. One shortfall of this power divider is a parallel-strip input port, which prevents its integration with other components having microstrip type ports. In order to overcome this shortfall, we introduce a new design of a UWB out-of-phase divider with three microstrip ports. The configurations of the 3-dB coupler and two alternative out-of-phase power dividers to form an integrated vector voltmeter are shown in Fig. 2(a)–(c). The UWB coupler shown in Fig. 2(a) consists of three conductor layers interleaved by two dielectric layers. The top and bottom conductor layers include elliptically shaped microstrips. The two layers are coupled via an elliptical slot, which is made in the conductor supporting the top and bottom dielectric layers. The choice of an elliptical shape for the microstrips and the slot was found to be advantageous in terms of obtaining high-quality return loss, coupling, and isolation over UWB. The microstrip lines forming the input/output ports of the coupler are designed to have 50- characteristic impedance. The out-of-phase power dividers shown in Fig. 2(b) and (c) also use two substrates supported by a common ground plane. In the divider shown in Fig. 2(b), the input port is formed by a parallel stripline, which is transformed into two microstrip-line output ports. This arrangement enables equal signal division in magnitude with a 180 difference in phase. The common ground plane is removed in the parallel strip line region; however, it exists in the region of the two microstrip lines. Similarly, as in the coupler of Fig. 2(a), the two microstrip lines are designed to

2218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 2. Configuration of: (a) UWB 3-dB microstrip/slotline coupler, (b) power divider with a parallel strip input port and two microstrip output ports, and (c) power divider with three microstrip ports.

have 50- characteristic impedance. Compared with the design described in [20], the configuration shown in Fig. 2(b) uses the Klopfenstein taper in the parallel strip region [15], whereas in [20], the taper has an elliptical shape. There is also an impedance step to compensate for the discontinuity between the microstrip and parallel strip regions. The out-of-phase power divider shown in Fig. 2(c) has three microstrip ports. The input port can appear on either side of the ground plane, while each of the two output ports is on the

opposite side to the other. This allows for the connection of another divider of the same type or a coupler of Fig. 2(a). The divider of Fig. 2(b) employing a parallel strip input port does not provide this flexibility. The input port (port 1) of the divider in Fig. 2(c) is a tapered microstrip line in order to improve matching between the 50input port and the junction loaded by two output ports (ports 2 and 3). In addition, this divider uses a UWB microstrip to slot transition and then a UWB T-junction with a vertical slot as a via to the two microstrip output ports. The design of a single microstrip/slot transition follows the ideas described in [21]. Here, this transition is used three times to create a new out-of-phase power divider. Equal signal division in magnitude and a 180 phase difference stems from the symmetry and the fact that the two output microstrip lines run in opposite directions. This 180 out-of-phase signal division was confirmed by a detailed field and signal study using Ansoft’s High Frequency Structure Simulator (HFSS). It is worthwhile to note that if the two output microstrip lines run in the same direction [instead of the opposite directions, as shown in Fig. 2(c)], then the device becomes an in-phase divider with an operational bandwidth similar to that of the out-of-phase divider. Initial dimensions of the coupler and the two power dividers of Fig. 2 are obtained using the design rules for the coupled lines, parallel strip lines, microstrip lines, and microstrip–slot transitions. For example, for the coupler, we first select the for 50- characteristic width of the microstrip line impedance. We then apply the even-odd mode analysis for uniform broadside coupled lines [16] to work out the remaining of the microstrip/slot dimensions, which include the length and of the patch and slot. The length and the widths governs the frequency of operation, while the widths and are responsible for the value of the coupling coefficient. They are sensitive design parameters. Similar design principles are applied to the two dividers. and the parallel The widths of the microstrip lines stripline in Fig. 2(b) are chosen for 50- characteristic impedance. The remaining dimensions are chosen using the following guidelines. In the divider of Fig. 2(b), a longer is responsible for a better parallel stripline taper quality return loss. Other parameters, such as line bents, have secondary influence on the performance of this divider. Similarly, as in its predecessor of Fig. 2(b), the divider of Fig. 2(c) has only a few parameters, which need to be deter, mined. These are the diameter of the virtual open circuit the diameter of the virtual short circuit , the slot width , and the slot length. Via computer simulations, we found and that the reduction of diameter of the virtual short the open circuit leads to shifting the operational band towards higher frequencies. This frequency shift is accompanied by slightly increased insertion losses. This finding is in agreement with the one for a single microstrip/slotline transition [21]. The narrower slot allows for a higher return loss at the input port. However, to avoid manufacturing problems, wider slots are used in our final design. The changes both in the slot length and width have a secondary influence on the divider’s performance. Following these guidelines, we use the formulas described in [20] and [21] to determine the dimensions of this divider.

BIALKOWSKI et al.: COMPACT MICROWAVE SIX-PORT VECTOR VOLTMETERS FOR UWB APPLICATIONS

2219

The initial design of the coupler and the dividers is followed by a fine tuning with full-wave electromagnetic (EM) analysis software (in our case, Ansoft’s HFSS and CST’s Microwave Studio). We found that the design formulas given in [16], [20], and [21] provide a very good approximation to the final dimensions. III. RESULTS Two double-sided Rogers RO4003C printed circuit boards (PCBs) were used to develop the prototype couplers, dividers, and then the six-port devices. The chosen substrate features a relative dielectric constant of 3.38 and a loss tangent of 0.0027. It is 0.508-mm thick and includes 17 m of conductive coating. In the first step, the coupler of Fig. 2(a) and the dividers of Fig. 2(b) and (c) were designed, manufactured, and tested. Here, we briefly report on the performance of the 3-dB coupler and the first out-of-phase divider [of Fig. 2(b)], whereas more information is given on the out-of-phase divider of Fig. 2(c). mm, mm, For dimensions of mm, and mm, the coupler provides the simulated coupling of 3 dB 0.8 dB, the return loss better than 22 dB, and the isolation of not less than 28 dB in the 3.1–10.6-GHz band. The divider of Fig. 2(b) having dimensions of mm, mm, mm, mm, mm, mm, mm, mm, mm offers the input return loss greater than and 0.1 dB, the output 16 dB, power division of 3.32 dB return loss greater than 8 dB, and the phase difference between 1 across the same frequency the output ports of 180 band. The validity of the simulated results was confirmed by measurements. The power divider of Fig. 2(c) having dimensions mm, mm, mm, and mm offers the input return loss greater than 13.5 dB, power division of 3.32 dB 0.3 dB, the output return loss greater than 8 dB, 0.5 and phase difference between the output port of 180 across the same frequency band. This is demonstrated in Fig. 3. Similarly as for the coupler and first divider, the simulated results were fully confirmed by measurements. The next step was to integrate the individual coupler/dividers into a vector voltmeter. Outlines of the two fully integrated six-port vector voltmeters (excluding power detectors) are shown in Fig. 4(a) and (b). They were obtained using either Ansoft’s HFSS or CST’s Microwave Studio. The two vector voltmeters, designated as vector voltmeter #1 and #2, respectively, differ by the choice of the power divider (D) in the schematic of Fig. 1. The configuration shown in Fig. 4(a) uses the out-of-phase divider of Fig. 2(b), whereas the one in Fig. 4(b) includes the out-of-phase divider of Fig. 2(c). The two vector voltmeters were manufactured and tested. Photographs of the manufactured vector voltmeters (excluding the power detectors) are shown in Fig. 5(a) and (b). As observed in Fig. 5, the two substrates were affixed using plastic screws to minimize the effect of air gaps. For the testing purposes, the prototypes include subminiature A (SMA) ports.

Fig. 3. Simulated performance of divider of Fig. 2(c). (a) Magnitude. (b) Phase characteristics.

The overall dimensions of these devices excluding the SMA connectors are only 59 mm 37 mm for vector voltmeter #1 and 43 mm 43 mm for vector voltmeter #2. These dimensions confirm the compact size of the developed devices. In the ideal case, each of the two devices should feature high return losses at ports 1 and 2, high isolation between ports 1 and 2, and 6-dB insertion losses from ports 1 and 2 to ports 4–7. Note that port 3 is reserved for inclusion of a matched load. Fig. 6 shows both the simulated and measured results for return and insertion losses of vector voltmeter #1. Note that the measured results include the nonideal performances of the microstrip to SMA transitions and other adverse effects caused by air gaps between the two substrates. As observed in Fig. 6, the device features simulated return losses greater than 20 dB at port 1 and greater than 25 dB at port 2 across the 3.1–10.6-GHz band. The simulated insertion losses are 6.5 dB 1.5 dB over 3.6–10 GHz, which are not far away from the ideal case of 6 dB. The measured return losses at ports 1 and 2 of vector voltmeter #1 are higher than 15 dB across the 3.1–10.6-GHz band. Insertion losses from port 1 or 2 to the remaining ports are 6.5 dB

2220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 5. Developed six-port. (a) Vector voltmeter #1. (b) Vector voltmeter #2. Fig. 4. Outlines of the integrated six-port vector voltmeters employing: (a) divider of Fig. 2(b) and (b) divider of Fig. 2(c).

1.5 dB over 3.65–10.1 GHz. There is a relatively good agreement between the simulated and measured results. The measured isolation between ports 1 and 2 (not plotted here) was greater than 20 dB in the specified band of 3.1–10.6 GHz. The simulated and measured results for the return and insertion losses of vector voltmeter #2 are shown in Fig. 7. As observed in Fig. 7, the simulated return loss is greater than 12 dB for port 1 and better than 15 dB at port 2 across the 3.1–10.6-GHz band. The simulated insertion losses from port 1 or 2 to the remaining ports are 6.5 dB 1.5 dB over the 3.3- and 10.2-GHz band. The simulated isolation between ports 1 and 2 (not plotted here) was 25 dB on average. The corresponding measured results for vector voltmeter #2 show that the measured return losses are better than 12 dB at port 1 and more than 15 dB

at port 2 across the band of 3.1–10.6 GHz. The measured insertion losses between port 1 or 2 to the remaining ports are 6.5 dB 1.5 dB over the 3.6- and 10-GHz band. The measured isolation between ports 1 and 2 (not plotted here) was better than 21 dB. Comparison between the simulated and measured results for the -parameters of vector voltmeter #2 shows a good agreement across the design band of 3.1–10.6 GHz. The following verification concerns the phase characteristics of the investigated vector voltmeters. When considering the phase of transmission coefficients between port 1 or port 2 and the remaining ports, it is important to check that it is of an appropriate value and stays approximately constant as a function of frequency with respect to a chosen reference port. For the ideal case, illustrated in Fig. 1, they should be integer multiples of 90 . Here we demonstrate that this property is fulfilled for voltmeter #2. The results obtained for voltmeter #1 are similar and, therefore, are not shown here.

BIALKOWSKI et al.: COMPACT MICROWAVE SIX-PORT VECTOR VOLTMETERS FOR UWB APPLICATIONS

Fig. 6. Simulated (S ) and measured (S ) results for the S -parameters of the six-port vector voltmeter #1. (a) Return losses at ports 1 and 2. (b) Transmission coefficients.

Fig. 8 presents the simulated and measured results for the phase characteristics of vector voltmeter #2. In the presented plots, the phase of the transmission coefficient is nonreferenced, while all of the remaining phases are referenced against . As observed in Fig. 8, the referenced phase values stay approximately constant as a function of frequency. They deviate to small extent from the required values of 90 , 0 , 90 , and 180 . When they are combined with magnitudes of Figs. 6(b) and 7(b), it is apparent that the -parameters are well spaced in the complex plane, as required for a well-designed six-port voltmeter [1]–[3]. This claim is supported by the results of Fig. 9, in which the full operation of vector voltmeter #2 is investigated in the frequency band of 3.1–10.6 GHz for the cases of complex ratio T of 0, 1, and 1. As observed in Fig. 9, the measured values vary with frequency and deviate slightly from the exact ones. The three loci representing cases , , and are distinctively different. Hence, improved results can be obtained by applying the calibration techniques described in [1]–[3].

2221

Fig. 7. Simulated (S ) and measured (S ) results for the S -parameters of the six-port vector voltmeter #2. (a) Return losses at ports 1 and 2. (b) Transmission coefficients.

Fig. 8. Simulated and measured phase characteristics as a function of fre= [phase(S ) phase(S )]. Subscript quency for vector voltmeter #2. S m indicates the measured results.

0

The presented results lead to the conclusion that the developed vector voltmeters exhibit good performance over the aimed

2222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

designs should be of interest to the designers of UWB multilayer microwave circuits. ACKNOWLEDGMENT The authors acknowledge the assistance of D. Bill, The University of Queensland, School of Information Technology and Electrical Engineering, Brisbane, St. Lucia, Qld., Australia, in manufacturing the six-port vector voltmeters. The involvement of J. Swayn, School of Information Technology and Electrical Engineering, The University of Queensland, Brisbane, St. Lucia, Qld., Australia, during the first phase of this project is also appreciated by the authors. REFERENCES

Fig. 9. Measured results for the complex ratio T of 0, 1, and voltmeter #2 for 3.1–10.6-GHz band.

01 for vector

ultra-wide frequency band from 3.1 to 10.6 GHz. The advantage of voltmeter #2 is its capability to form a fully integrated reflectometer or analyzer [4]–[6], as all of its ports are of microstrip type. Although accomplished in a low dielectric constant substrate (Rogers RO4003), both designs are of a compact size, 59 mm 37 mm for vector voltmeter #1 and 43 mm 43 mm for vector voltmeter #2. It is apparent from Fig. 5 that achieving a smaller size is possible by making microstrip ports of shorter length. The other issue concerns the housing of the manufactured devices. From our full EM simulations, we found that the operation of the individual couplers and of the integrated voltmeters is unaffected by the presence of the enclosure when its height is at least four times greater then the thickness of the double submm mm for Rogers RO4003). strate ( IV. CONCLUSION In this paper, the design of two compact planar vector voltmeters based on a six-port technique, which provide operation over an ultra-wide frequency band from 3.1 to 10.6 GHz, has been presented. The devices are fully integrated and use three couplers and one power divider in microstrip/slot technology. Their initial design has been carried out using an intuitive approach that includes the design rules for coupled lines, parallel strip lines, microstrip lines. and microstrip–slotline transitions. Their fine tuning has been accomplished with the use of commercially available full-wave computer-aided design (CAD) packages. The designed devices have been manufactured and experimentally tested. Their UWB operation has been confirmed both by simulations and measurements. The manufactured devices are ready to be incorporated in high-resolution microwave imaging systems or UWB communication subsystems. The value of the presented designs is that they use novel solutions to multilayer couplers and dividers whose ports appear on various sides of a common ground plane. The proposed components are compatible so they can be integrated. The presented

[1] C. A. Hoer and K. C. Roe, “Using and arbitrary six-port junction to measure complex voltage ratios,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, pp. 978–984, Dec. 1975. [2] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1075–1180, Dec. 1977. [3] G. F. Engen, “An improved circuit for implementing the six-port technique of microwave measurements,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1080–1083, Dec. 1977. [4] C. A. Hoer, “A network analyzer incorporating two six-port reflectometers,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1070–1074, Dec. 1977. [5] M. E. Bialkowski, “Microwave network analyser incorporating a single six-port reflectometer,” Arch. Elektr. Ubertragung Int. J. Electron. Commun., vol. 40, no. 3, pp. 197–199, 1986. [6] G. S. Woods and M. E. Bialkowski, “Integrated design of an automatic six-port network analyser,” Proc. Inst. Elect. Eng., vol. 137, no. 1, pt. H, pp. 67–74, Feb. 1990. [7] J. R. Juroshek and C. A. Hoer, “A technique for extending the dynamic range of the dual six-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 6, pp. 453–459, Jun. 1985. [8] W. C. Khor, M. E. Bialkowski, A. M. Abbosh, N. Seman, and S. Crozier, “An ultra wideband microwave imaging system for breast cancer detection,” in Proc. ISAP’06, Singapore, Nov. 1–4, 2006, 4 pp. [9] X. Xu, K. Wu, and R. G. Bosisio, “Six-port networks,” Polytech. Univ. Montréal, Montréal, QC, Canada. [Online]. Available: www.polymtl.ca/grames/doc/publications/bosisio/book/six-port_networks.pdf [10] “New public safety applications and broadband internet access among uses envisioned by FCC authorization of ultra-wideband technology,” FCC, Washington, DC, News Release, Feb. 14, 2002. [Online]. Available: http://ftp.fcc.Gov/Bureaus/Engineering_Technology/News_Releases/2002/nret0203.pdf [11] S. Uysal and A. H. Aghvami, “Synthesis and design of wideband symmetrical nonuniform couplers for MIC applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 1988, pp. 587–590. [12] G. P. Riblet and E. R. B. Hansson, “The use of a matched symmetrical five-port junction to make six-port measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1981, pp. 151–153. [13] F. C. de Ronde, “Octave-wide matched symmetrical reciprocal 4 and 5 ports,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1982, pp. 521–523. [14] S. P. Yeo and F. C. Choong, “Matched symmetrical five-port microstrip coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1498–1500, Aug. 2001. [15] M. E. Bialkowski, A. M. Abbosh, and J. Swayn, “Design of a compact microwave six-port vector voltmeter for UWB applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007. [16] A. M. Abbosh and M. E. Bialkowski, “Design of compact directional couplers for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 189–194, Feb. 2007. [17] F. C. de Ronde, “A new class of microstrip directional couplers,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1970, pp. 184–189. [18] J. A. Garcia, “A wideband quadrature hybrid coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 7, pp. 660–661, Jul. 1971. [19] T. Tanaka, K. Kusoda, and M. Aikawa, “Slot-coupled directional couplers on a both-sided substrate MIC and their applications,” Electron. Commun. Jpn., vol. 72, no. 3, pp. 91–99, 1989.

BIALKOWSKI et al.: COMPACT MICROWAVE SIX-PORT VECTOR VOLTMETERS FOR UWB APPLICATIONS

[20] A. M. Abbosh and M. E. Bialkowski, “An UWB planar out-of-phase power divider employing parallel stripline-microstrip transitions,” Microw. Opt. Technol. Lett., vol. 49, no. 4, pp. 912–914, Apr. 2007. [21] B. Schüppert, “Microstrip/slotline transitions: Modeling and experimental investigation,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 8, pp. 1272–282, Aug. 1988.

Marek E. Bialkowski (SM’88–F’03) received the M.Eng.Sc. degree in applied mathematics and Ph.D. degree in electrical engineering from the Warsaw University of Technology, Warsaw, Poland, in 1974 and 1979, respectively, and the D.Sc. Eng. degree (higher doctorate) in computer science and electrical engineering from The University of Queensland, Brisbane, St. Lucia, Qld., Australia, in 2000. He has held teaching and research appointments with universities in Poland, Ireland, Australia, U.K., Canada, Singapore, Hong Kong, and Switzerland. He is currently a tenured Chair Professor with the School of Information Technology and Electrical Engineering, The University of Queensland. He has authored or coauthored 480 technical papers, several book chapters, and one book. His research interests include technologies and signal-processing techniques for smart antennas and multiple input/multiple output (MIMO) systems, antennas for mobile cellular and satellite communications, low-profile antennas for reception of satellite broadcast TV programs, conventional and spatial power-combining techniques, six-port VNAs, and medical and industrial applications of microwaves.

2223

Amin M. Abbosh received the M.Sc. degree in communications systems and Ph.D. degree in microwave engineering from Mosul University, Mosul, Iraq, in 1991 and 1996, respectively. Until 2003, he was a Head of the Computer and Information Engineering Department, Mosul University. In 2004, he joined Griffith University and then The University of Queensland, Brisbane, St. Lucia, Qld., Australia, as a Research Fellow. His research interests include antennas, radio wave propagation, microwave devices, and UWB wireless systems.

Norhudah Seman (S’06) received the B.Eng. degree in electrical engineering (telecommunications) from the University Technology of Malaysia, Johor, Malaysia, in 2003, the M.Eng. degree in RF/microwave communications from The University of Queensland, Brisbane, St. Lucia, Qld., Australia, in 2005, and is currently working toward the Ph.D. degree at The University of Queensland. In 2003, she was an Engineer with Motorola Technology, Penang, Malaysia, where she was involved with RF and microwave components design and testing. Her research interests concern the design of microwave circuits for biomedical and industrial applications, UWB technologies, and mobile communications.

2224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality Vasundara V. Varadan, Senior Member, IEEE, and Ruyen Ro, Member, IEEE

Abstract—The electromagnetic properties of general classes of materials can be obtained by inverting the measured or numerically simulated reflection ( 11 ) and transmission ( 21 ) coefficients through a known thickness of a planar slab of the material. The major difficulty is the uncertainty in the change of phase , = of the transmitted field, if the phase change exceeds 2 0 1 2 . . .. This happens for thick samples as well as for highly dispersive materials. An infinite number of solutions are generated for the imaginary part of the complex wavenumber = + for different choices of . The choice of can be made unique by noting that for a causal medium, is an analytic function of frequency and this results in a Kramers–Kronig-type relation between and . Since is independent of the choice of and, hence, uniquely determined, a Kramers–Kronig reconstruction of using will provide a guideline for the correct choice of . This is a “foolproof” approach even for totally unknown materials since no initial guess is required. Indeed, this approach will work in optics and ultrasonics, and should also be effective for determining the effective refractive index of photonic-bandgap structures that are also highly dispersive. Index Terms—Dispersive materials, Kramers–Kronig, metamaterials, phase ambiguity, property retrieval, -parameters.

I. INTRODUCTION

P

ROPAGATION characteristics of electromagnetic (EM) waves in materials can be determined by substituting the corresponding constitutive relations into Maxwell’s equations and then solving the dispersion equation. A complete understanding of the EM properties of materials in the frequency range of interest is the basis for the design of materials for RF and microwave applications. There have been several monographs and review papers on microwave methods for materials property characterization [1]–[5]. In the standard transmission line approach (waveguides, coaxial fixtures, focused beam and transmitted fields free-space methods), the reflected from a planar finite thickness sample are measured (or numerically simulated) for normal incidence [6]–[9]. For this 1-D wave propagation problem, a constitutive model is adopted for describing the EM response of the sample material and the solution of the EM boundary value problem, leads to two and to the constitutive complex equations that relate Manuscript received June 22, 2006; revised October 27, 2006. The authors are with the Microwave and Optics Laboratory for Imaging and Characterization, Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.906473

parameters of the sample (Fresnel-type formulas). The use of a vector network analyzer and appropriate calibration techniques permits accurate measurement of both the amplitude and phase and and, hence, if the Fresnel formulas involve of only two unknown complex material properties, they can be determined in principle. For an isotropic homogeneous sample, the Nicolson–Ross–Weir algorithm has been widely used for over 30 years [10], [11]. For complex media such as anisotropic materials, chiral materials, or Omega metamaterials, one can follow the solutions to the forward and inverse problems to ascertain what measurement data are necessary and sufficient for unique determination of the constitutive parameters and then develop experimental procedures that are necessary for collecting the needed data [12]–[14]. Although we may have the required data, there is always an ambiguity in determining the change in phase of the transmitted field because of phase wrapping, if the phase change exceeds , , . For materials described by a complex permittivity and permeability, the and the Fresnel formulas yields the complex impedance complex wavenumber . For example, the complex and the attenuation constant are intrinsic impedance independent of the phase ambiguity and only the determination of depends on the phase change [5], [6]. The wavenumber is a function of and for different values will lie on different Riemann sheets in the complex plane. A detailed description is presented in Section II. Much work has been done to eliminate the ambiguity in the inverse problem for weakly dispersive media [5], [12]. In such does media, it is assumed that the phase velocity not vary much with frequency and that the group velocity is nearly equal to the phase velocity. For example in the group-delay method [6], the calculated phase delay ( , is the sample thickness) at each frequency, for each solution of phase constant, is compared with the measured group , which is determined from the delay slope of the versus the curve. The correct solution for can be chosen such that the absolute difference between the calculated phase delay and the measured group delay has a minimum value. Another approach is to use samples of two different such that the phase velocity is the thicknesses and choose same in both samples [15]. In this approach, any uncertainty in sample fabrication may lead to confusion in the selection of the correct solution. This is particularly true for engineered microwave materials such as metamaterials [16], [17].

0018-9480/$25.00 © 2007 IEEE

VARADAN AND RO: UNIQUE RETRIEVAL OF COMPLEX PERMITTIVITY AND PERMEABILITY OF DISPERSIVE MATERIALS

For highly dispersive materials such as metamaterials, the response is dominated by plasmonic resonances. The aforementioned approaches may not resolve the phase ambiguity. This is because in regions of anomalous dispersion the transmitted phase has a rapid variation with frequency, and the group velocity can differ greatly from the phase velocity, often becoming larger than the free-space velocity or even negative [18]. It is also mentioned in [16] that group velocity in a region of anomalous dispersion is generally not a useful concept and the apis proximate definition for the group velocity no longer valid. A new “foolproof” approach is needed to resolve the problem of phase ambiguity. In this paper, we enforce causality for the EM response that results in a Kramers–Kronig relationship between and . This constraint is used as the basis for a unique inverse algorithm for the retrieval of effective material properties of all types of samples—known, unknown, anisotropic, highly dispersive, etc. Kramers–Kronig relations are well known in physics. It was initially proposed to relate the real and imaginary parts of the dielectric permittivity for a nonmagnetic material. In optics, especially nonlinear optics, Kramers–Kronig relations have been used to calculate the refractive index of a material by the measurement of the absorbance and they also provide a means to check the self-consistency of experimental or model-generated data [19]–[21]. Recently, we have also applied Kramers–Kronig relations to the effective properties of split-ring resonator metamaterials. The comparison between retrieved properties and calculated data using Kramers–Kronig relations shows a very good agreement. These give us the idea that the constraints between the frequency variation of phase constant and attenuation constant can be utilized as a guideline to realize a unique solution to the inverse problem.

2225

is the complex wavenumber, is the attenuwhere ation factor, is the phase factor, and is the sample thickness. For a passive medium, the correct choice of the positive or negative sign in (1) is made by the requirement that (4) or, equivalently, (5) is the real part of impedance. This ensures that the where impedance has a positive resistive part and that the reflected wave amplitude cannot exceed the incident wave amplitude. It follows that the impedance can be uniquely determined as given by (6) The transmission coefficient can be obtained from (3). The attenuation and phase factors can then be solved according to (7) (8) and are the magnitude and phase of , respecwhere tively, and is an integer to be determined. It is clear in (7) and (8) that the attenuation factor has a unique solution, whereas has an infinite numbers of solutions. Permittivity and permeability can be expressed in terms of and as given by (9) (10)

II. APPLICATION OF KRAMERS–KRONIG RELATIONS TO THE INVERSE PROBLEM The reflection and transmission coefficients and of a slab sample are employed to retrieve the effective properties, permittivity, and permeability, of the sample. Detailed discussion can be found elsewhere [5], [6], [22]. Only the equations relevant for completeness are included here. From the measured and , one can obtain or simulated

(1) with (2) where is the reflection coefficient at the air–sample interface, and and are the impedances for the sample and free space, respectively. The transmission coefficient is given by

(3)

remains where is the angular frequency. The ambiguity in and carries over into the determination of and . Kramers–Kronig relations are based on the requirement that the response of a material to applied fields should be causal. This then requires that the material parameters describing this response should be analytic functions. Analyticity, in turn, connects the real part of the material properties to an integral containing the corresponding imaginary part and vice versa. Indeed, Kramers–Kronig relations can be formulated for any material property for acoustic, EM, and elastic wave excitation [23]. Here, we apply Kramers–Kronig relations to compute the real part of the complex wavenumber in terms of the attenuation factor -

(11)

where is the free-space wavenumber and denotes the principle value of the integral. Note that to compute the value of accurately in (11), one has to know the value of as a function of frequency in the entire spectrum. For finite bandwidth data for , the truncated integration of (11) will cause some error and it

2226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

Fig. 1. Phase constants calculated using different values of m for the quartz sample. The values marked with a  are the retrieved phase delay using the Kramers–Kronig relations. The thickness of the quartz sample is 2.93 mm.

may become more significant at a band edge [18], [21]. Nevertheless, the retrieved data using Kramers–Kronig relations even for finite bandwidth measurements can yield reasonable agreement with the actual data [21]. The phase factor - using Kramers–Kronig relations will provide a guideline for the corin (8). We have applied this approach (hererect choice of after, the Kramers–Kronig approach) to different types of materials such as weakly dispersive media, dispersive media with electrical or magnetic resonance, negative index metamaterial, and magneto-electric media. Some of these results will be illustrated in Section III. III. RESULTS AND DISCUSSION A computer program comprising (1)–(11) has been developed in our laboratory for the measurement of EM properties in the frequency range of 5–110-GHz range. A simple numerical integration technique, the trapezoidal rule, is employed for calculating - using (11) using retrieved from (7). In the procomputed for different values of , as given in (8), gram, are compared with - computed using the Kramers–Kronig curve that best matches - is chosen as the relations. The correct curve for . In the examples provided here, we can see that the match is unique since only one value of for a particular frequency range will match with - . Several examples are shown to examine the robustness of the Kramers–Kronig approach to the solution of the inverse problem. A. Weakly Dispersive Medium We first consider a thin Quartz sample. In Fig. 1, plots of and , , 1, in the frequency range of 8.2–12.4 GHz , it is clear in ( -band) are presented. Comparing - and Fig. 1 that is the appropriate choice. We also observe here that, using finite bandwidth data, the Kramers–Kronig relations cannot be used to calculate the real part of the phase constant using measured imaginary parts. The difference between the actual value of and - in Fig. 1 is quite significant. The difference can be minimized by using a modified Kramers–Kronig method, as proposed in [19]. For modified methods, we must know the value of accurately at some region of the spectrum where the material is nondispersive and this constraint can be

(a)

(b) Fig. 2. (a) Re(" ), the real part of permittivity calculated using different values of for the quartz sample. Re(" ) for m = 0 is equal to 3.78, denoted by a , the correct value for quartz. The m = 0 value is the one determined using the Kramers–Kronig approach. (b) Im(" ), the imaginary part of permittivity calculated using different values of for the quartz sample. Im(" ) for m = 0 is nearly 0.07 denoted by a . The m = 0 value is the one determined using the Kramers–Kronig approach.

used to overcome the finite bandwidth data, but that is not of concern here since we are only using the approximate finite bandwidth Kramers–Kronig data to choose the correct curve curves. from the many possible The real and imaginary parts of the complex permittivity and permeability (normalized w.r.t. free space) calculating using (9) are presented and (10), respectively, for different values of , we corin Figs. 2(a) and (b) and 3(a) and (b). For rectly obtain and in the frequency , as deterrange of interest. The data obtained using mined by the Kramers–Kronig approach, and marked with a in Figs. 2(a) and (b) and 3(a) and (b), give us the correct values for both permittivity and permeability. B. Dispersive Medium With Magnetic Plasmon Resonance Here we take the split-ring resonator metamaterial as an example. A detailed description of this sample and the corresponding measurement data can be found in [24]. Note that, for this geometry, the electric field is perpendicular to the gap of the ring so that a symmetric response is observed in the and, forward and reverse reflection coefficients

VARADAN AND RO: UNIQUE RETRIEVAL OF COMPLEX PERMITTIVITY AND PERMEABILITY OF DISPERSIVE MATERIALS

2227

Fig. 4. Real and imaginary parts of the complex wavenumber, = + j of the split-ring resonator metamaterial. The thickness of the sample is 5 mm. At resonance, changes rapidly versus frequency, but the d value is less than  and, hence, m = 0. There is a bandgap from 18.8 to 22 GHz when d =  , and at 23 GHz, anomalous dispersion will make d greater than  first and then become less than  , and accordingly, the value of m increases first from 0 to 1 and then decreases from 1 to 0. The - curve remains faithfully close to the variations in m.

(a)

(b) Fig. 3. (a) Re( ), the real part of permeability calculated using different values of for the quartz sample. Re( ) for m = 0 is equal to 1.0, denoted by a , the correct value for quartz. The m = 0 value is the one determined using the Kramers–Kronig approach. (b) Im( ), the imaginary part of permeability calculated using different values of for the quartz sample. Im( ) for m = 0 is equal to 0.05, denoted by a , the correct value for quartz. The m = 0 value is the one determined using the Kramers–Kronig approach.

0



hence, the inverse algorithm is still valid. It is shown in [24] that this structure has a magnetic plasmon resonance around 10.4 GHz and in the frequency range above 20 GHz, lattice and plasmonic resonances are also observed. , , 1 are presented. In Fig. 4, plots of - and , and this results in a bandgap. The From 18.8 to 22 GHz, up to 22 GHz, and then in order value of varies, with to match with - in the frequency ranges of 22.1225–23.6525 and 24.8–26.5 GHz, yields the correct match, and in the . In these two regions with , remaining regions, is slightly greater than . the value of Since the range for the phase is from 180 to 180 in the general measurement system, for , the measured less than the actual phase and, hence, being equal phase is to 1 is for the compensation of the phase wrapping. Comparison with - automatically yields the correct phase change. The retrieved values of the normalized complex permitare shown in tivity and permeability for different values of Figs. 5(a) and (b) and 6(a) and (b). The value of that agrees with - (with a ) yields the correct values. Around 8.5 GHz, somewhat far away from the magnetic resonance region, the

(a)

(b) Fig. 5. (a) Re(" ), the real part of permittivity for different for the splitring resonator sample. The values marked with a for m = 0 agree best with the Kramers–Kronig approach. (b) Im(" ), the imaginary part of permittivity for different for the split-ring resonator sample. The values marked with a for m = 0 agree best with the Kramers–Kronig approach.





real part of permeability obtained using the Kramers–Kronig approach gives a value of 1.08, which shows the split-ring

2228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

(a)

Fig. 7. Real and imaginary parts of the complex wavenumber, + j of the metal wire sample. The lattice spacing of the wires is 5 mm. Unlike the split-ring resonator sample, attenuation is low even at the Drude frequency of 10.2 GHz The - curve remains faithfully close to the variations in m.

(b) Fig. 6. (a) Re( ), the real part of permeability for different for the splitring resonator sample. The values marked with a  for m = 0 agree best with the Kramers–Kronig approach. (b) Im( ), the imaginary part of permeability for different for the split-ring resonator sample. The values marked with a  for m = 0 agree best with the Kramers–Kronig approach.

resonator sample is a nonmagnetic material at 8.5 GHz, as it should be. In the region around 10.4 GHz, the complex permittivity and permeability illustrate the traditional resonance and antiresonance phenomena of a magnetic plasmon resonance [24]–[26]. However, there is a clearly defined bandgap when there is no change of with frequency when slope is zero . After this, the value of changes many with times and it is only comparison of - that allows for each frequency range. us to choose the correct value of This is the real utility of using the Kramers–Kronig approach to determine the correct value of the integer . C. Drude Medium With Negative Permittivity The metal wire sample is studied here as an example of a dispersive medium with negative permittivity. The Cu metallization is 0.8-mm wide, 17- m thick, and printed on dielec. tric strips 5-mm wide and 0.7-mm thick with The strips are arranged in a lattice with a 5-mm period with the -field parallel to the wires. The attenuation factor is obtained from the measured -parameters, as given in (7), and , , 1, are obtained from (8) and (11) and presented in Fig. 7. The value of is 0 in the frequency range of 8.2–18 GHz. The retrieved permittivity and permeability are

(a)

(b) Fig. 8. (a) Re(" ), the real part of permittivity calculated for different for the metal wire sample. The values marked with a  for m = 0 agree best with the Kramers–Kronig approach. For different values of m, the plasmon (cutoff) frequencies are different, for m = 1, Re(" ) remains negative at all measured frequencies. (b) Im(" ), the imaginary part of permittivity calculated for different for the metal wire sample. The values marked with a for m = 0 agree best with the Kramers–Kronig approach.

0



presented in Figs. 8(a) and (b) and 9(a) and (b). The complex permeability using the Kramers–Kronig approach has a real part ranging from 1.14 to 1.3 and a vanishing imaginary part in the frequency range of interest. A Drude model response is

VARADAN AND RO: UNIQUE RETRIEVAL OF COMPLEX PERMITTIVITY AND PERMEABILITY OF DISPERSIVE MATERIALS

2229

cations in ultrasonic and optical material property characterization. The method is expected to be particularly useful for highly dispersive systems such as photonic-bandgap (PBG) structures. It is also expected to be useful for characterizing unknown materials where initial guesses cannot be used in an iterative search algorithm.

(a)

(b) Fig. 9. (a) Re( ), the real part of permeability calculated for different for the metal wire sample. The values marked with a  for m = 0 agree best with the Kramers–Kronig approach. (b) Im( ), the imaginary part of permeability calculated for different for the metal wire sample. The values marked with a  for m = 0 agree best with the Kramers–Kronig approach.

exhibited by the permittivity with a cutoff frequency around 10.5 GHz. IV. CONCLUSIONS An inverse algorithm with embedded Kramers–Kronig dispersion relations has been presented for the first time to determine a unique solution to the retrieval of material properties from measured -parameters. The attenuation factor can be retrieved uniquely from measurement data by the requirement that the real part of impedance cannot be negative. The constraints between the real and imagiare contained in the nary parts of the wavenumber, Kramers–Kronig relations and employed in this paper to remove the ambiguity in finding . Measurement results have shown the efficacy and robustness of this approach in retrieving the effective properties of low-loss material and dispersive media described by a Lorentz or Drude model. The phase unwrapping process is automatically implemented in the Kramers–Kronig approach. This implies that our method is a straightforward approach and the only constraint we require is that the medium is causal and passive. This approach we have developed here can also be applied to retrieve the effective properties of nonsymmetric and/or nonreciprocal media and, indeed, will find appli-

REFERENCES [1] A. R. Von Hippel, Ed., Dielectric Materials and Applications. Boston, MA: Artech House, 1995. [2] E. Nyfors and P. Vainikainen, Industrial Microwave Sensors. Norwood, MA: Artech House, 1989. [3] R. Zoughi, Microwave Non-Destructive Testing and Evaluation. Dordrecht, Germany: Kluwer, 2000. [4] M. U. Afsar, J. R. Birch, R. N. Clarke, and G. W. Chantry, “The measurement of the properties of materials,” Proc. IEEE, vol. 74, no. 1, pp. 183–199, Jan. 1986. [5] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and V. K. Varadan, Microwave Electronics: Measurement and Materials Characterization. West Sussex, U.K.: Wiley, 2004. [6] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “Free-space measurement of complex permittivity and complex permeability of magnetic materials at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 387–394, Apr. 1990. [7] J. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [8] W. Barry, “A broadband, automated, stripline technique for the simultaneous measurement of complex permittivity and permeability,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 1, pp. 80–84, Jan. 1986. [9] J. Baker-Jarvis, R. G. Geyer, and P. D. Domich, “A nonlinear least-squares solution with causality constraints applied to transmission line permittivity and permeability determination,” IEEE Trans. Instrum. Meas., vol. 41, no. 5, pp. 646–652, Oct. 1992. [10] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Apr. 1970. [11] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [12] V. V. Varadan, R. Ro, and V. K. Varadan, “Measurement of the electromagnetic properties of chiral composites in the 8–40 GHz range,” Radio Sci., vol. 29, pp. 9–22, 1994. [13] S. A. Tretyakov and A. A. Sochava, “Novel uniaxial bianisotropic materials: Electromagnetic waves and potential applications,” Electromagnetics, vol. 9, pp. 157–179, 1994. [14] I. V. Lindell, M. E. Valtonen, and A. H. Sihvola, “Theory of nonreciprocal and nonsymmetric uniform transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 2, pp. 291–297, Feb. 1994. [15] M. Rodriguez-Vidal and E. Martin, “Contribution to numerical methods for calculation of complex dielectric permittivities,” Electron. Lett., vol. 6, no. 16, p. 510, 1970. [16] J. D. Jackson, Classical Electrodynamics. New York: Wiley, 1999. [17] J. B. Pendry, A. J. Holden, W. J. Stewart, and I. Youngs, “Extremely low frequency plasmons in metallic mesostructures,” Phys. Rev. Lett., vol. 76, no. 25, pp. 4773–4776, 1996. [18] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhances nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [19] V. Lucarini, J. J. Saarinen, and K.-E. Peiponen, “Multiple subtractive Kramers–Kronig relations for arbitrary-order harmonic generation susceptibilities,” Opt. Commun., vol. 218, pp. 409–414, 2003. [20] K.-E. Peiponen, E. M. Vartiainen, and T. Asakura, Dispersion, Complex Analysis and Optical Spectroscopy. Heidelberg, Germany: Springer, 1999. [21] H. M. Nussenzveig, Causality and Dispersion Relations. New York: Academic, 1972. [22] “Measuring the dielectric constants of solids with the HP8510 network analyzer,” Hewlett-Packard, Santa Clara, CA, HP Product Note 8510-3, 1985. [23] R. L. Weaver and Y.-H. Pao, “Dispersion relations for linear wave propagation in homogeneous and inhomogeneous media,” J. Math. Phys., vol. 22, pp. 1909–1918, 1981.

2230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

[24] V. V. Varadan and A. R. Tellakula, “Measurement of the complex permittivity, permeability and refractive index in metamaterials composed of discrete split ring resonators in the 8–26 GHz range,” J. Appl. Phys., vol. 100, no. 034910, pp. 1–8, 2006. [25] S. O’Brien and J. B. Pendry, “Magnetic activity at infrared frequencies in structured metallic photonic crystals,” J. Phys., Condens. Matter, vol. 14, pp. 6383–6394, 2002. [26] D. R. Smith, S. Schultz, P. Markos, and C. M. Soukoulis, “Determination of effective permittivity and permeability if metamaterials from reflection and transmission coefficients,” Phys. Rev. B, Condens. Matter, vol. 65, no. 195 104, pp. 1–5, 2002.

Vasundara V. Varadan (M’82–SM’03) received the Ph.D. degree in physics from the University of Illinois at Chicago, in 1974. She has been with Cornell University, The Ohio State University, and Pennsylvania State University. From 2002 to 2004, she was the Division Director of the Electrical and Communications Systems Division, National Science Foundation (NSF). She is currently the Billingsley Chair and Distinguished Professor of Electrical Engineering with the University of Arkansas, Fayetteville. Her research interests are

EM theory and measurements, metamaterials, microwave nondestructive evaluation and imaging, smart materials and devices, numerical simulation of wave problems, and embedded sensor systems. Dr. Varadan is a Fellow of the Acoustical Society of America, the Institute of Physics (U.K.), and The International Society for Optical Engineers (SPIE). She is an associate editor of the IEEE TRANSACTIONS ON ULTRASONICS, FERROELECTRICS, AND FREQUENCY CONTROL.

Ruyen Ro (M’02) was born in Kaohsiung, Taiwan, R.O.C., in 1959. He received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1981, and the Ph.D. degree in engineering science from Pennsylvania State University, University Park, in 1991. In 1991, he joined the Department of Electrical Engineering, I-Shou University, as an Associate Professor, and became a Professor in 1999. In 2002, he was also the Department Head of Communication Engineering, I-Shou University. From 2005 to 2006, he was a Visiting Professor with the University of Arkansas, Fayetteville. His research interests include microwave characterization of complex media, EM theory, surface acoustic-wave devices for communication and sensing applications, and thin-film bulk acoustic wave devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

2231

The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty Peter Stuart Blockley, Member, IEEE, Jonathan Brereton Scott, Senior Member, IEEE, Daniel Gunyan, Senior Member, IEEE, and Anthony Edward Parker, Senior Member, IEEE

Abstract—The uncertainty, due to random noise, of the measurements made with a mixer-based nonlinear vector network analyzer are analyzed. An approximate covariance matrix corresponding to the measurements is derived that can be used for fitting models and maximizing the dynamic range in the measurement setup. The validity of the approximation is verified with measurements. Index Terms—Nonlinear systems, phase measurement, uncertainty.

I. INTRODUCTION MIXER-BASED measurement system, commonly referred to as a nonlinear vector network analyzer (NVNA) or large signal network analyzer (LSNA),1 which performs wide-bandwidth measurements of signals and components, has been reported [1]. The system measures complex modulated signals at two ports that are calibrated to coaxial or on-wafer measurement planes. The measurement system not only returns the magnitude, but also the phase of the signal spectrum being measured, maintaining phase relationships between frequency components relative to a reference tone. The phase information is useful for analyzing distortion or wide-bandwidth signal analysis. With wide-bandwidth magnitude and phase information, the measurements can be transformed to the time domain such that the crest factor can be calculated or signal clipping observed. The system has applications in signal analysis, nonlinear component tests, time-domain measurements, measurement of frequency translating devices, device linearization, and device modeling. For many of these applications, an understanding of measurement uncertainty is important for maximizing dynamic range in the measurement setup, determining phase using alignment algorithms, developing models from measurement data, and calculating uncertainty bounds. The measurements from the system have uncertainty due to random noise and systematic errors. The instrument calibration reduces systematic errors due to linear time-invariant systematic processes. However, the uncertainty due to other system-

A

Manuscript received March 17, 2007. P. S. Blockley was with the Department of Electronics, Macquarie University, Sydney, N.S.W. 2109, Australia. He is now with South Pacific IT, Sydney, N.S.W. 2009, Australia (e-mail: [email protected]). J. B. Scott is with the School of Science and Engineering, University of Waikato, Hamilton, New Zealand (e-mail: [email protected]). D. Gunyan is with the Microwave Technology Center, Agilent Technologies, Santa Rosa, CA 95404 USA (e-mail: [email protected]). A. E. Parker is with the Department of Electronics, Macquarie University, Sydney, N.S.W. 2109, Australia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.906515 1This paper uses the acronym NVNA, although LSNA is also commonly used.

Fig. 1. Block diagram of the measurement system. The hardware consists of five receivers and four directional networks. The receivers measure the incident and reflected waves via the directional networks connected to each port. The fifth receiver measures a phase reference (Phase Ref). The measurement system, source (Src), and phase reference are phase locked to a common reference (Ref).

atic distortion and random noise remains. This paper attempts to quantify the random noise by deriving approximate covariance matrices for the system measurements. The measurement system uses a phase reference to recover the phase from measurements of wide-bandwidth signals. This results in measurement uncertainty, which depends on the receiver noise, the amplitude of the signal, amplitude of the phase reference, and phase reference noise. In addition, using a phase reference to recover the phase increases the correlation between the measurements. Section II describes the measurement system architecture. Section III analyzes the uncertainty of the measurements and an approximation for the covariance matrix is derived. Section IV describes an empirically derived covariance matrix that accounts for phase reference noise. Section V presents measurements using the measurement system and compares the variance of the measurements to that predicted by the approximate convariance matrix. II. MEASUREMENT SYSTEM ARCHITECTURE The measurement system [1] is based on a four-port 20-GHz linear vector network analyzer (Agilent N5230A, option 245) that has been configured for two-port nonlinear operation. The block diagram for the system is shown in Fig. 1. The hardware consists of five receivers and four directional networks. The re-

0018-9480/$25.00 © 2007 IEEE

2232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 10, OCTOBER 2007

ceivers measure the incident and reflected waves via the directional networks connected to each port. The fifth receiver measures a phase reference. The measurement system operates in the frequency domain in a similar fashion to a multireceiver spectrum analyzer. A local oscillator is swept across a frequency range and the tones of interest are downconverted to a narrow bandwidth IF where they are sampled. The local oscillator synthesizer is not phase coherent with the tones of interest, and the phase is unknown. Additionally, changing the local oscillator frequency results in a new local oscillator phase. Therefore, the phase information is only valid in the bandwidth of the IF filter for a single local oscillator frequency. The measurement system recovers the phases of the tones of interest by using a single shared local oscillator applied to each receiver and, at the same time, measuring a signal with known relative phase between its tones. In practice, the known signal (generated by a phase reference) is an impulse train in the time domain that is highly repeatable and can be made traceable to the electrooptic sampling system of the National Institute of Standards and Technology (NIST), Boulder, CO [2]. The system acquires signals from the five receivers, simulta, reneously measuring the forward pseudowave2 at Port 1, verse pseudowave at Port 1, , forward pseudowave at Port 2, , reverse pseudowave at Port 2, , and reference . Sudenotes a measured quantity rather than an actual perscript or transformed quantity. Subscript 1 refers to the pseudowave at Port 1 before calibration and subscript 2 refers to the pseudowave at Port 2 before calibration. The scope of this paper will be limited to the uncertainty, due to random noise, of the pseudowaves before calibration. The phases of the measured , , and ) have a component due to the waves ( , , phase of the actual waves at the receiver ( , , , , and ) . and a component due to the phase of the local oscillator The measured phase at a single frequency can, therefore, be expressed as

(1) is a function that returns the argument of (the where angle associated with the complex quantity ). The dependence of the measurement quantities on the local can be removed by subtracting the reference oscillator phase receiver phase from that of the measurement quantity. For example, the phase of the transformed forward pseudowave would be given by

(2) 2A pseudowave is an wave-like quantity defined in terms of an arbitrary reference impedance [3].

The transformed forward pseudowave is independent of the local oscillator phase, but perturbed by the phase of the phase reference. Knowledge of the relative phase of the reference can then be used to recover the relative phase of the actual wave. This operation can also be performed with complex arithmetic. The transformed pseudowaves ( , , , , and ) , , and corresponding to the raw measurements ( , , ) are given by

(3) where is the magnitude of . Although the transformed reference signal has no phase information, it is useful for evaluating the uncertainty of the measurements. The complex measurement quantities can be expressed in and terms of their real and imaginary parts. The real part of are given by imaginary part (4) (5) is the real part of the measured wave , where is the imaginary part of the measured wave , is the real part of the measured reference receiver , and is . the imaginary part of the measured reference receiver The ability to recover the phase information is combined with the ability to measure the forward and reverse waves at each port to enable full vector calibration [1]. III. DERIVATION OF THE COVARIANCE MATRIX For many applications, such as fitting models and aligning signals, it is important to know the covariance matrix corresponding to the measurements. The covariance matrix can be used to weight a cost function when fitting models, used to weight the signal alignment problem [4] or give uncertainty bounds for the measurements. While the covariance matrix for the raw measurements ( , , , and ) may be known, the measurements undergo a nonlinear transformation (3) in order to remove the dependence of the local oscillator. This section derives the variance of the , the variance magnitude and phase for the raw measurement of the magnitude and phase for the transformed pseudowave , the covariance matrix for the transformed pseudowave , and the covariance matrix for the four phase measurements , , , and .

BLOCKLEY et al.: RANDOM COMPONENT OF MIXER-BASED NVNA MEASUREMENT UNCERTAINTY

2233

A. Single Receiver Consider the variance of the measurements obtained from one of the five receivers. When the time-domain samples of the signal are corrupted by stationary narrowband additive Gaussian and ) noise, the complex valued measurements ( are independent with equal variance of the pseudowave [5]. The population covariance matrix is given by (6) where is the variance of and . The measurements from a single receiver have the advantage that the real and imaginary parts of the complex-valued measurement are independent with equal variance so a least squares method can be used to fit models to the measurement data. In addition, the confidence region for the mean of the measurements is a circle of constant radius around the mean in the complex plane, independent of the signal magnitude. Often data is presented as magnitude and phase, where the and phase are given by3 magnitude

Fig. 2. Graphical example of the approximate variance (11) compared to the variance of the magnitude var( a ) and phase var['(a )] for different tone amplitudes. The variance of the real and imaginary parts ( (a ) and (a )) was  = 10 . The variance of the magnitude was calculated from (8) and the variance of the phase from a numerical integration of the phase probability density function. The approximation is accurate when the tone amplitude a is much greater than the standard deviation  .

j j

=

j j

Consider the Taylor-series expansion of the function (7) truncated to first order. This approximation results in the following linearized function for the magnitude and phase:

(7)

This is a nonlinear transformation of the complex valued quantity and, as a result, the distributions of the new variand are not Gaussian. ables has a Rice distribution [6], [7] where the The magnitude is given by [5] variance of the magnitude