IEEE MTT-V055-I11 (2007-11) [55, 11 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04380597......Page 1
020 - 04380598......Page 3
030 - [email protected] 4
040 - [email protected] 17
050 - [email protected] 25
060 - [email protected] 33
070 - [email protected] 40
080 - [email protected] 47
090 - [email protected] 56
100 - [email protected] 63
110 - [email protected] 72
120 - [email protected] 81
130 - [email protected] 92
140 - [email protected] 101
150 - [email protected] 111
160 - [email protected] 120
170 - [email protected] 126
180 - [email protected] 133
190 - [email protected] 139
200 - [email protected] 146
210 - [email protected] 154
220 - [email protected] 161
230 - [email protected] 166
240 - [email protected] 174
250 - [email protected] 181
260 - [email protected] 190
270 - 04380600......Page 199
280 - 04380599......Page 200

Citation preview

NOVEMBER 2007

VOLUME 55

NUMBER 11

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements . ......... ........ ......... ......... ........ ......... ......... ...... A. Cidronali, C. Accillaro, and G. Manes Behavioral Thermal Modeling for Microwave Power Amplifier Design . ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ..... J. Mazeau, R. Sommet, D. Caban-Chastas, E. Gatard, R. Quéré, and Y. Mancuso Active Circuits, Semiconductor Devices, and Integrated Circuits 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End ....... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ... T. Choi, H. Sharifi, H. H. Sigmarsson, W. J. Chappell, S. Mohammadi, and L. P. B. Katehi Design of Cryogenic SiGe Low-Noise Amplifiers ....... ......... ... ....... ........ .. S. Weinreb, J. C. Bardin, and H. Mani A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line ....... ......... ......... .. .. ........ ......... ......... ... H. Park, J. Van, S. Jung, M. Kim, H. Cho, S. Kwon, J. Jeong, K. Lim, C. Park, and Y. Yang Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation .. ......... ........ ......... ......... ........ ......... ......... .. C. Lu, A.-V. H. Pham, M. Shaw, and C. Saint Millimeter-Wave and Terahertz Technologies Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology ..... ......... ........ ......... ......... .. .. ..... V. Radisic, D. Sawdai, D. Scott, W. R. Deal, L. Dang, D. Li, J. Chen, A. Fung, L. Samoska, T. Gaier, and R. Lai Field Analysis and Guided Waves Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... . S. S¸ims¸ek and E. Topuz Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides ... ......... ......... ........ ......... . J. S. Wei Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation ... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .. Z. G. Qian, W. C. Chew, and R. Suaya Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications ... ......... ........ ......... ......... ........ ... ....... ......... ........ ......... ......... ..... J. Zheng and M. Yu

2277 2290

2298 2306 2313 2320

2329

2336 2345 2354 2365

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) CAD Algorithms and Numerical Techniques Passivity Enforcement With Relative Error Control ...... ......... ......... ........ ......... . S. Grivet-Talocia and A. Ubolli An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits .... ... J. F. Oliveira and J. C. Pedro

2374 2384

Filters and Multiplexers Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects ..... ... ...... F. J. Pérez Soler, M. Martínez Mendoza, F. D. Quesada Pereira, D. Cañete Rebenaque, A. Alvarez Melcon, and R. J. Cameron A 25–75-MHz RF MEMS Tunable Filter ......... ..... .... ........ K. Entesari, K. Obeidat, A. R. Brown, and G. M. Rebeiz A Dual-Band Coupled-Line Balun Filter . ......... ........ ......... ......... ........ ......... ....... L. K. Yeung and K.-L. Wu A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters ..... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .... C.-W. Tang and M.-G. Chen

2393 2399 2406

Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio . ..... L. Chiu and Q. Xue Slow-Wave Line Coupler With Interdigital Capacitor Loading .. .. L. Li, F. Xu, K. Wu, S. Delprat, J. Ho, and M. Chaker A Symmetrical Four-Port Microstrip Coupler for Crossover Application ........ ......... ......... ... Y. Chen and S.-P. Yeo Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits .. ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... .... S. Horst, R. Bairavasubramanian, M. M. Tentzeris, and J. Papapolymerou

2419 2427 2434

Instrumentation and Measurement Techniques Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. M. Vossiek, A. Urban, S. Max, and P. Gulden

2412

2439

2447

Biological, Imaging, and Medical Applications Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... A. Fhager and M. Persson A Real-Time Exposure System for Electrophysiological Recording in Brain Slices ..... ......... ........ ......... ......... .. .. ........ ...... A. Paffi, M. Pellegrino, R. Beccherelli, F. Apollonio, M. Liberti, D. Platano, G. Aicardi, and G. D’Inzeo

2454

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

2472

2463

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $20.00 per year for electronic media only or $40.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. S. KENNEY, President L. BOGLIONI D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. MODELSKI, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

K. G. GARD, Secretary A. MORTAZAWI B. PERLMAN V. J. NAIR A. ROSEN

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. VARIAN (2006) K. C. GUPTA (2005) R. J. TREW (2004)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

DANIEL DE ZUTTER ZOYA POPOVIC YOSHIO NIKAWA Universiteit Gent Kokushikan Univ. Univ. of Colorado, Boulder Belgium Japan USA email: [email protected] email: [email protected] email: [email protected] KENJI ITOH JOSÉ PEDRO SANJAY RAMAN Mitsubishi Electronics Univ. of Aveiro Virginia Polytech. Inst. and State Univ. Japan Portugal USA email: [email protected] email: jcp.mtted.av.it.pt email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEAH H. JAMIESON, President and CEO LEWIS TERMAN, President-Elect PEDRO RAY, Vice President, Regional Activities CELIA L. DESMOND, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association DAVID GREEN, Treasurer PETER STAECKER, Vice President, Technical Activities MICHAEL R. LIGHTNER, Past President JOHN MEREDITH, President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $85.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2007 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada.

Digital Object Identifier 10.1109/TMTT.2007.911654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2277

Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements Alessandro Cidronali, Member, IEEE, Carmelo Accillaro, and Gianfranco Manes, Senior Member, IEEE

Abstract—This paper introduces a new procedure, based on linearized large-signal vector measurements, for extracting a nonlinear behavioral model for two-port active microwave devices. The technique is applied to a model structure that assumes a short-term memory condition and is formulated as a parallel connection of a limited number of frequency-weighted static nonlinearities. The proposed method consists of integrating the time-varying linear characterization of the device driven into a nonlinear state by a large signal. The experiment design and measurement setup are based on a large-signal network analyzer and are discussed in detail. In the second portion of this paper, insight is provided on the most meaningful model parameters, along with an extensive independent experimental validation, which considers a GaAs pHEMT as a case study and includes two-tone large-signal data, a wideband code division multiple access signal, bias-dependent -parameters, and dc data. Index Terms—Behavioral modeling, computer-aided design (CAD) models, large-signal vector measurements, measurement-based models, nonlinear dynamic microwave systems.

I. INTRODUCTION

B

EHAVIORAL modeling of microwave devices is experiencing an increase of interest because of its characteristics of effectiveness, technology independence, and easy implementation in conventional computer-aided design (CAD) tools [1], [2]. A behavioral model is generally described in terms of state functions, which are vector multiple-input functions of state variables. Their formulations are obtained by simplifying hypotheses about complex device physics and they are commonly extracted by processing vector small-signal, scalar large-signal, and dc measurements [3]. In order to provide a meaningful interpolative capability, behavioral models should be extracted involving a broad range of possible device states, and usually their predictive capability is a matter of concern. Over the last decade, the development of new measurement techniques for the vector analysis of devices under the nonlinear regime [4], [5] has fostered new methods for identifying and validating such a class of models [6]–[10]. These methods demonstrated improvements in accurately predicting the devices’ large-signal dynamic nonlinear states. Behavioral modeling based on

Manuscript received August 10, 2006; revised July 13, 2007. This work was supported by the Information Society Technologies Program of the European Union under Contract IST-1-507893-NOE. The authors are with the Department of Electronics and Telecommunications, University of Florence, Florence I-50139, Italy (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907379

large-signal vector measurements attracts a number of research groups, which are proposing significant approaches, and it is still challenging for a number of other reasons. Amongst the most important are: 1) the complete understanding of new model formulations; 2) the new challenges arising from innovative metrology concepts; and 3) the problems related to the new experiment designs. This paper is intended to give a contribution to these topics by introducing a new extraction procedure for a mildly nonquasistatic nonlinear model based on large-signal vector measurements. The technique proposed herein generalizes approaches based on the integration of small-signal parameters in order to extract a nonlinear model [11], [12]. This is done by introducing a method based on linearized large-signal parameter integration. In comparison with similar approaches, the use of linearized large-signal vector measurements allows the removal of significant limitations that derive from an identification procedure based only on small-signal data and makes it possible to improve the model prediction of large-signal states. The linearization of a large-signal device state was adopted in [13] to accelerate the convergence of the Volterra series even for strongly nonlinear systems driven by large signals under the hypothesis of short-term memory, while in [14], a similar formulation is exploited in the complex-envelope domain for bandpass nonlinear systems. In [13], the model identification procedure relies on pulsed I/V and small-signal measurements. Extraction methods based on linearized vector measurements in the carrier domain were adopted in [7] to create a frequency-domain behavioral model. The essential point in [7] was that, at the system input, the only large signal was the fundamental, whereas the harmonics are considered relatively small. In such a condition, only the fundamentals at the incident input and scattered output waves are large, while their harmonics are small, hence subjected to a superposition principle. With that basic assumption, the model is currently limited to a single-tone input, although it is capable of considering modulated carriers and taking the output mismatch into account only linearly. The approach presented herein is not subjected to the above-mentioned restrictions. In particular, the integration of linearized large-signal parameters makes the adopted model capable of predicting large-signal mismatches and responses to digitally modulated excitation. On the other hand, the method introduced in [7] appears to be applicable to a wide range of devices and circuit classes. Linearized large-signal vector measurements were also used in [10] for the identification of large-signal scattering functions for modeling weakly nonlinear devices and signal sources. In contrast to [10], the method proposed herein is capable of predicting higher order nonlinear behaviors that are clearly not predictable by using linearized models.

0018-9480/$25.00 © 2007 IEEE

2278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

This paper is organized as follows. In Section II, the model formulation is shown in terms of frequency-weighted parallel nonlinear functions. The extraction procedure by a linearized large-signal characterization, along with the excitation design, are discussed in Section III. Section IV describes the independent experimental validation by using two-tone large-signal measurements, digitally modulated signals, bias-dependent -parameters, and dc characteristics. Finally, in the Appendix, a mathematical treatment demonstrates the consistency between the model formulation adopted in this paper and a previous modeling approach [13], [14].

II. MODEL EXTRACTION BASICS: INTEGRATING THE CONVERSION MATRIX We can assume that the current at the terminal of a dynamical nonlinear system could be written in the form

(1) is the instantaneous input excitation. Equation (1) where represents a general description of the behavioral model for dynamic nonlinear systems, and the number of terms adopted in the formulation is dictated by the memory of the system. A formal mathematical treatment [15], given in the Appendix, shows its derivation from a widely accepted modeling approach [13]. The nonlinear functions are time invariant and , while the higher order derivatheir time dependence is by tives allow accurate frequency-dependence representation of the system response. In a conventional approach, as in [11], can be obtained by the identification procedure of integrating the bias-dependent small-signal response, which, in the time domain, can be written as

, while the term depends on where the th time derivative. This nonlinear model is frequently constructed from multibias small-signal parameters taken over a wide range of frequencies and measured on a narrow voltage grid, covering the complete accessible bias range or at least the range of interest. In [11], by comparing the measured smallsignal bias-dependent -parameters with (3), it is shown how to approximate by best fitting the bias-dependent pseudoconducwhose highest order (i.e., the th nonlinear functances tion) is determined by the frequency dispersion of the device’s performance. The nonlinear functions are then calculated by integrating the bias-dependent into the 2-D voltage domain. The above-described approach restricts the range of the model applicability to quasi-static nonlinear systems, although the higher order of the derivatives takes the frequency dispersion behavior into account. To achieve nonlinear models with nonquasi-static capability, the extraction techniques are those introduced in [12] and [13]. In contrast to the above-described techniques, the extraction method introduced in this paper considers the nonlinear model formulated as in (1), but in (2), the partial differential derivatives are taken at a large-signal state,1 rather than in the quiescent point . This new formulation makes it possible to consider, during the approximation of the model parameters, the actual nonlinear behavior of the device-under-test (DUT), resulting from the particular exciting large-signal waveforms. The integration of the linearized large-signal parameters over the domain of interest makes the model in (1) capable of taking into account the nonquasi-static phenomena at microwave operation since a short, but finite, memory time is assumed (cf. the Appendix). This leads to a “mildly” nonquasi-static model, which can give accurate prediction of the device behavior when excited by microwave modulated signals. Next, the extraction procedure is described in detail. It is centered on the capability of measuring the conversion matrix [16] of the DUT, which actually represents the DUT linearized large-signal characterization. at the Considering the DUT driven by the large-signal, , the linearized current response to a small-signal frequency at the frequency , is excitation,

(2)

where is the dc-bias point. Equation (2) is achieved by expanding the current about the bias point with the Taylor series and retaining only the first term, which is actually the one responsible for the linearization. Under the basic assumption that the nonlinear functions are continuous, the time derivative and the voltage derivative can be exchanged. Subtracting the large-signal current then leads to the small-signal incremental current in (2), in which the number of terms corresponds to the number of terms in (1). Rewriting (2) into the frequency doresponse at the main leads to a small-signal excitation frequency

(3)

(4)

where is the device time-domain small-signal current. In (4), the partial derivatives are time variant and depend on the nonlinear dynamics of the device and the large-signal waveform. Following the reasoning in [16], we can move to the frequency 1Although, in principle, the large-signal state can be a generic one, during the model extraction, we consider the one determined by the excitation with a large signal applied simultaneously at the two DUT ports.

CIDRONALI et al.: MILDLY NONQUASI-STATIC TWO-PORT DEVICE MODEL EXTRACTION

2279

domain, expanding the small-signal time-dependant current and voltage as a “pseudo-Fourier” series

(5)

(6)

with , where is where the highest harmonic considered of the large signal, and is a convenient offset frequency so that is included among the ’s. In the definition of , the negative frequencies correspond to the lower sideband, as expressed in [16]. The expansion of the th term in (4) is a proper Fourier series and is given by

Fig. 1. Schematic representation of the model by voltage-controlled current sources and frequency-domain defined weight functions such as can be found in [17].

single-valued expression representing the voltage-dependent admittance . This is easily obtained by plotting the map that expresses, instant by instant, the values of versus the corresponding values of , i.e.,

(7) (11) where are real coefficients with . Equating member to member for each frequency in (4) results in a matrix form as

which returns a scalar single-valued function. Finally, the calculation of the nonlinear functions is obtained by the integration

(8) and are column vectors containing small-signal where mixing products between and at the frequencies . In (8), represents the conversion matrix associated with the driven by the large-signal and, nonlinear function finally, is a diagonal matrix whose coefficients are the angular to . It is interesting to observe the frequencies from resemblance between the scalar equation in (3) and its vector generalization represented in (8). Having assumed that are real time-invariant scalar functions, has the form

(12) is repreThe DUT nonlinear model defined based on sented in Fig. 1. The implementation of such a model is straightforward in most of the commercial CAD tools, e.g., by using the symbolically defined device available via Agilent Technologies’ Advanced Design System (ADS) [17]. Each nonlinear function is weighted by a proper factor, in Fig. 1, which corresponds to the time derivative in (1) expressed in the frequency domain. III. MODEL EXTRACTION

.. .

..

.

.. .

(9)

where is defined in (7). Similar to the above discussed small-signal case, by comparing the measured conversion maby curve trix with (8), it is possible to derive the matrices fitting. Equation (8) can be conveniently rewritten by separating real and imaginary contributions of the current

(10) Assuming further that is the independent variable of the model extraction procedure, and setting its phase to 0, the real and imaginary contributions written in (10) are associated, respectively, to the real and imaginary parts of the equivalent time-variant pseudoadmittance seen from the DUT terminals. The above consideration allows the definition of the

Here, a procedure is introduced for characterizing the linearized large-signal DUT model and for extending the abovedescribed treatment in order to extract the nonlinear dynamic model for a two-port device. A. Excitation Design The first step in identifying the nonlinear functions relies on the extraction of the DUT conversion matrix. The method is based on the technique proposed in [18] and [19] and a largesignal network analyzer (LSNA)-based measurement setup [5]. The latter is slightly modified in order to accommodate the simultaneous excitation by a large signal of both DUT ports 1 and 2, as demonstrated in Fig. 2. The large signals are generated by a single synthesizer, SYNTH 1 in Fig. 2, to guarantee the same amplitude, frequency, and phase relation between the large-signal excitation at both the DUT ports during the entire experiment. A phase stretcher, not shown in Fig. 2, can be inserted in one of the two branches to make a particular phase difference between the large-signal excitation at the two ports. The described setup makes it possible to define experiments,

2280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Thus, to identify the linearized response, excitation with randomized phase and successive regression analysis are considered, thus increasing the number of measurements required. B. Extraction of the Model for Two-Port Devices Once extracted, the two-port conversion matrix for the real components relates voltages and currents in the form (14) where the small-signal current and voltage vectors contain the measured phasors. For example, in the case of and

, the notations are and , respectively. A similar relation holds for the imaginary components. If we start considering a generic minor of (14), by following (9) and (10), we can impose the identity between the measured conversion matrices and their analytical approximation

.. . Fig. 2. Measurement setup for the simultaneous excitation of ports 1 and 2 with the large signal and one small signal.

which consist of measuring the current and voltage phasors of the mixing products, in an a priori defined frequency grid. These are the results from a simultaneous large- and small-signal excitations, the latter applied by SYNTH 2 in Fig. 2, at each mixing and at each of the DUT ports. frequency defined by The calibration procedure described in [4] and [5] was applied to obtain absolute calibrated data [4] at the DUT sections defined in Fig. 2. The correction coefficients remain the same in the two states of the nonreflective switch shown in Fig. 2. Once the required measured data are acquired, all the voltage (norreadings are deembedded from the system impedance mally 50 ) to obtain the independent variables and for the model extraction. This is done by using the relation

.. .

(15)

.. .

where is the maximum degree of frequency expansion in (10) required for a best fit approximation of the linearized largecan signal frequency behavior. In (15), the unknown terms be calculated by imposing the identity, diagonal by diagonal; e.g., for the main diagonal, we have

.. .

.. .

.. .

.. .

.. .

.. .

(13) where and are the corrected spectral components of the measured voltages and currents at both the device ports. To this end, we focus our attention only on mixing products. Considering this simple pre-processing, and after having separated the real and imaginary parts of the current , we are ready to apply the method defined in [19] to the and datasets to extract the measured conversion matrices and , respectively. It is worth noting that the excitation design described herein is very similar to that adopted in [7] with some substantial differences. In [7], the approach relies on the small-signal perturbation of the large-signal state at exactly the same frequencies as those of the fundamental and its harmonics.

(16) being the column vector in the left part of (16), comprised of data extracted from measurements as aforesaid; a direct evaluais achieved by using the tion of the unknown coefficients best fitting solution (17) where is the main diagonal of the measured and denotes the transpose. The accuracy and stability of the solution is directly related to the numerical condition of

CIDRONALI et al.: MILDLY NONQUASI-STATIC TWO-PORT DEVICE MODEL EXTRACTION

the matrix . There are two sources for the large con, and dition number, the highest harmonic considered, i.e., the degree of the frequency expansion in (15), i.e., . The selection of is suggested by the degree of the nonlinearities involved in the problem and by the level of the large-signal excitation. As in a harmonic balance problem, a low number of harmonics might lead to solution inaccuracy, while large might lead to high condition number. The dependency of the condition number upon the frequency expansion is similar; as increases, the condition number increases as well. For ex, with , the condition number ample, considering is , while with , it is . The above calculation and until all can be repeated for all the diagonals of of the unknown matrix entries are identified; this process leads to the complete identification of the matrix in (14). The next steps of the model extraction procedure consider the evaluation of the time-varying pseudoconductances by (7) and the evaluation of the voltage-dependent admittances, which are now calculated from parametrized mapping (18) The above 2-D equations are approximated by considering this set of series expansions

2281

Fig. 3. Graphical superposition of the power of the incident voltage waves calculated for a 50- characteristic impedance, applied to port 1 through the nine experiments for the case NH = 4.

where and are the initial conditions. Finally, we can write the current at port 2 as

(22) The same formulation holds for the current at port 1. This concludes the determination of the nonlinear static functions for a two-port device. IV. INDEPENDENT EXPERIMENTAL VALIDATION The independent experimental validation of the previously described method, and the comparison between measured and modeled dc data, -parameters, large-signal, multitone excitation, and digitally modulated signal are discussed here.

(19) where and the pair are parameters of the basis funcare real coefficients resulting from the best tions, while fitting procedure in the domain. The selection of the basis functions in (19) is suggested by the particular shape of the , which, under further restrictions on the coefficients , leads to equal mixed partial first-order derivatives. In , e.g., for port 2, this condition, the integration of

(20) becomes path independent. Since is an arbitrary path in the domain, the integrals in (20) can be solved by adopting the following two orthogonal paths, as in [12]:

(21)

A. Measurement Parameter Data The selected device for the model extraction is a 0.2- m GHz with 180 m of total gate GaAs pHEMT with periphery; with reference to the measurement setup defined in Fig. 2, ports 1 and 2 correspond to the gate and drain terminals, respectively. The set of parameters for the model extraction is GHz and MHz, three harthe following: monics are considered and the maximum frequency involved in was dictated the experiment is 19.8 GHz. The selection of by the minimum spacing of the calibrated frequency grid of the V, while LSNA. The bias points are spans from 1 to 5 V in steps of 1 V. The large-signal tone is 8.9 dBm to ensure maximum coverage of the region of interest from pinchoff to saturation. The small signal is set constant at 20 dBm over the entire frequency range. The graphical superposition of the measured power of the incident voltage wave spectra at port 1 is given in Fig. 3 for , all nine experiments required in the current case of , while the corresponding i.e., scattered power of the voltage wave spectra are given in Fig. 4. These quantities are calculated here, as well as henceforth, from the incident voltage wave and the scattered voltage wave , which are related to the signal port current and voltage in a 50- characteristic impedance system, by the relationships and , respectively. A spread in the test signal amplitude is observed due to the loss in the

2282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 4. Graphical superposition of the power of the scattered voltage waves calculated for a 50- characteristic impedance, from the DUT port 2 as response to the signal shown in Fig. 3.

TABLE I

Fig. 6. 3-D plot for

Y

for V gate =

00 45 V and drain = 3 V.

Fig. 7. 3-D plot for

Y

for V gate =

00 45 V and drain = 3 V.

:

V

MEASUREMENT UNCERTAINTY CALCULATED ON MAGNITUDE OF a1 (IN FIG. 3) AND b2 (IN FIG. 4)

:

V

determines the model range of validity. B. Model Insight View Fig. 5. Graphical superposition of the measured dc device characteristic (continuous curves), V gate spanning from +0.5 to 0.8 V, and the measured dynamic load lines, the latter for a constant V gate = 0:45 V and V drain spanning from 1 to 5 V with input power of 8.9 dBm.

0

0

measurement setup, while repeating measurements of the large signal and its harmonics exhibited the measurement uncertainty due to random effects and to the application of the test signal reported in Table I. In Table I, the mean value and standard uncertainty are reported according to the recommendations in [20]. In Fig. 5, the dynamic load lines described by the instantaneous bias point are described as the result of a large-signal application to both device ports and a small signal at the lowest frequency at port 1 (namely, experiment #1 in Fig. 3). In this same figure, the measured dc characteristic is also reported. The dynamic load-line coverage in the phase space

The bias-dependent conversion matrix was extracted by considering the measured data and the procedure described in Section IV-A. In Figs. 6 and 7, the 3-D plot of the values are given for the real and imaginary parts, respectively, of the minor of the conversion matrix in (14) of the bias point V and V. In the above figures, with the main diagonals refer to the ratio between and spanning from 4 to 4 with all the with . Fig. 6 shows that the conversion matrix has the form of a band matrix with diagonals slowly varying in value. A more with interesting aspect is that the coefficients to and are negative. This is directly associated with the third-order nonlinearity, which is responsible for the compression and, consequently, has a negative multiplying coefficient. The diagonals vanish moving from the main to the outer diagonals, demonstrating that, for this particular case,

CIDRONALI et al.: MILDLY NONQUASI-STATIC TWO-PORT DEVICE MODEL EXTRACTION

Fig. 8. Nonlinear functions g (v ; v ). Squares: k = 0. Circles: k = 2. Triangles: k = 4. Diamonds: k = 6. Crosses: k = 8. g (v ; v ) are multiplied by (2f ) and v = 3 V.

Fig. 9. Nonlinear functions g (v ; v ). Squares: k = 1. Circles: k = 3. Triangles: k = 5. Diamonds: k = 7. Crosses: k = 9. g (v ; v ) are multiplied by (2f ) and v = 3 V.

three harmonics are enough to represent the entire nonlinear behavior. Fig. 7 depicts a more relevant main diagonal variation. This typical behavior is associated with the output parallel nonlinear capacitance; the negative sign is not surprising since the upper corner links the current and voltage complex conjugate, thus justifying the minus sign. The insight into the model ends with the discussion of the nonlinear functions extracted by the procedure of integrating the conversion matrices. In the current case, a number of static nonlinearities equal to ten were selected, which means that in (1), and in (15), . Figs. 8 and 9 show the nonlinear functions required to model the current at port 2. More precisely, and they show only the contributions arising from minors of the conversion matrix in (14); this corresponds to the first member in the right-hand side of (21) for the real and imaginary parts, respectively. In the previously mentioned figures, for an homogeneous representation, the nonlinear functions are multiplied by the radial frequency adopted during the characterization, i.e., 4.8 GHz, to the power of . is the dc contriIn Fig. 8, the function corresponding to bution and represents the static transconductance; as expected, V. it is the only contribution to the current at port 2 for

2283

Fig. 10. Simulated (crosses) and measured (squares) incident sweeping input wave from 22 to 5 dBm for a two-tone signal at frequencies of 4.2 and 5.4 GHz.

0

Fig. 11. Simulated (crosses) and measured (squares) scattered output wave for the two-tone signal in Fig. 9, bias point V gate = 0:45 V and V drain = 3 V.

0

For negative input voltages, the device’s current component sustained by the dynamic currents becomes relevant. The functions are weakly nonlinear, mostly positive, and vanish by increasing their order, i.e., the value of . This same figure shows that the selection of five static nonlinearities represents a good choice for the device in the frequency range under consideration [we can assess that the same is true for each of the minors in (14)]. The nonlinear functions related to the imaginary parts shown in with . All Fig. 9 are all multiplied by a factor the functions collapse in V as expected because they do not provide contribution at dc. The main contribution is the , pure capacitive behavior. The device reone related to sponds with more complex behavior, which is approximated by the higher order functions. C. Two-Tone Validation The large-signal validation starts by considering a two-tone GHz and GHz signal applied to port 1 only, V and V, and the in the bias point nominal input power was swept from 22 to 5 dBm. The simulated and measured incident input waves are shown in Fig. 10; the difference between levels of the two tones is determined by the setup losses. As response to this input signal, the device exhibited the scattered output wave illustrated in Fig. 11. The harmonic generation, intermodulation, and accuracy of the model in prediction of such contributions is observable in this figure.

2284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 12. Comparison between measured (symbols) and simulated (continuous curves) envelopes at F 2 F 1 = 2: GHz for V drain = 3 V.

0

Fig. 13. Comparison between measured (symbols) and simulated (continuous curves) IMD3 at 2 F 2 F 1 = 6:6 GHz, V drain = 3 V.

3

0

Measurements were repeated with the same input spectrum, but by moving the bias point, namely, V and 0.825 V, while remained fixed at 3 V. The comparisons between the measured and simulated responses are given in Fig. 12 in terms of a power-dependent envelope, i.e., GHz. The bias point V is close to pinchoff and quite different from the one used in the model extraction, the device does not exhibit any gain, the envelope is significant, and its compression is well described by the model. The device also shows a minimum for the envelope at V, the model provides for it with an error in the positioning of approximately 2 dB while predicting the minimum depth quite well. Fig. 13 illustrates the comparison between the measured and simulated spectra at the third-order intermodulation (IMD3) for the two bias points. Additionally, the accuracy in this case is within a few decibels for the bias point V and input power higher than 10 dBm, while it deV. creases slightly for the bias Fig. 14 shows a comparison between measured and simulated spectrum at the fundamental point for the two bias points, in this are plotted versus their respective for the modeled case, and measured data. As is shown in Fig. 10, the two fundamentals

Fig. 14. Comparison between measured (symbols) and simulated (continuous curves) fundamentals at F 1 = 4:2 GHz and F 2 = 5:4 GHz for V drain = 3 V.

Fig. 15. Comparison between measured (symbols) and simulated (continuous curves) fundamental phases at F 2 = 5:4 GHz for different V gate, V drain = 3 V.

and this explains the have a slightly different magnitude of shift among the curves. Fig. 15 reports the comparison between simulated and mea, sured AM/PM distortions for the fundamental point at and the bias point considered above. Very similar behavior and . The error is in the range model accuracy were observed for of 1 . An interesting feature of the model is represented by the capability to predict the large-signal reflection coefficient, which is quite interesting, not only for the analysis of devices, but also for subsystem level analysis when the propagation of the nonlinearities is a matter of concern. For this purpose, in Fig. 16, the comparison between measured and simulated input reflection coefficients is given, calculated as the magnitude and , in the two-tone test for the of the ratio between two fundamental frequencies. The prediction accuracy of the model is reduced in proximity to the device’s compression, but remains within 0.2 dB. The transient capability of the model is shown in Fig. 17, where the comparison between modeled and measured waveforms of the current at port 2 generated by the two-tone input V. The maximum signal and for the bias pint

CIDRONALI et al.: MILDLY NONQUASI-STATIC TWO-PORT DEVICE MODEL EXTRACTION

Fig. 16. Comparison between measured (symbols) and simulated (continuous curves) input reflection coefficients F 1 = 4:2 GHz at F 2 = 5:4 GHz as a function of the incident power and for V gate = 0:45 V, V drain = 3 V.

0

Fig. 17. Comparison between measured (symbols) and simulated (continuous curves) waveforms of the current at port 2 for the bias point V g = 0:825 V and V drain = 3 V; NMSE of 8:74e-2.

2285

Fig. 18. Model error calculated on b2 and 95% confidence interval.

0

Fig. 19. Comparison between modeled and measured IMD3 with two-tone signals, center frequency 2.1 GHz offset frequency spanning from 100 kHz to 50 MHz, input power from 9 to 5 dBm.

0

error is in the proximity of the envelope minimum of approximately 1.7 ns. For this experiment, a normalized mean square - was computed. error (NMSE) of The model accuracy for the above comparison is calculated for in terms of the error between simulated and measured the different bias conditions and as a function of the input power level. According to the recommendation in [20], the mean values of the error and 95% confidence interval were calculated over the entire spectrum response for ten repeated measurements. The results are reported in Fig. 18. From this figure, it a reduced model accuracy at the higher input power levels is observed, and for the bias point closer to pinchoff. Both the bias points are different from that used in the model extraction. Finally, the two-tone test was repeated considering a center frequency of 2.1 GHz and the two signal frequency offset swept from 100 kHz to 50 MHz. The comparisons between modeled and measured IMD3 are shown in Fig. 19; the differences between output power and upper intermodulation in decibels below the carrier are demonstrated in this figure. The setup for this measurement was composed of an arbitrary waveform generator connected to the input and a spectrum analyzer connected to the output; two bias-Ts were used to provide

the supply bias to the DUT. It is worth noting that most of the frequency dispersion is due to the bias-Ts, and for this comparison, its careful characterization was shown as essential to comparison accuracy. D. Digitally Modulated Signal Validation The model was also tested with respect to a digitally modulated test signal. For this purpose, a wideband code division multiple access (WCDMA) signal was generated by using an arbitrary waveform generator with a single carrier at 2.1 GHz (see Fig. 20) and 3-dBm input level at the DUT input port. The signal was acquired with a vector signal analyzer synchronized with the source with a measurement bandwidth set to acquire 62 500 samples with 8-ns sampling time. It is worth noting that the validation test was carried out considering a carrier frequency different from those involved in the characterization phase, and that the bias-Ts adopted in this experiment are those described in Section IV-C for the IMD3 test. The quantitative difference between measured and simulated data are given in Table II in terms of in-band power and lower

2286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 20. Comparison between simulated and modeled single carrier WCDMA at 2.1 GHz.

TABLE II QUANTITATIVE COMPARISON BETWEEN MODELED AND MEASURED OUTPUT POWER FOR THE TEST IN FIG. 20

Fig. 22. Comparison between measured (symbols) and simulated (continuous lines), S 21 and S 11; V drain = 3 V.

Fig. 23. Comparison between measured (squares) and simulated (circles) dc characteristics for V gate spanning from 0.9 to 0 V.

0

leads to a good fitting, but also to the same derivative, in order to respond accurately when excited by a small signal. The worst performance is obtained in the low-frequency . F. DC Validation Fig. 21. Comparison between measured (symbols) and simulated (continuous lines) S 12 and S 22; V drain = 3 V.

and upper spectral regrowth due to the third (Upper 1 and Lower 1) and fifth intermodulation products (Upper 2 and Lower 2). E.

-Parameter Validation

Another test describes the capability of the model in predicting the device response to small-signal excitation. The comparisons between -parameters in the range of 0.6–20 GHz for the two bias points considered above and measured using a vector network analyzer (VNA) are shown in Figs. 21 and 22. Although the model was intrinsically capable of dealing with incremental excitations, the condition for obtaining a good approximation is that the approximation of in (19) not only

The independent validation ends with the comparison of the dc characteristics. The integration of the linearized nonlinear dynamic behavior represented by the conversion matrix allows the prediction of the static behavior. The procedure is completely based upon dynamic quantities at high frequency; this prevents the modeling of any low-frequency dispersive effects like traps, biasing effects, and self-heating. In a certain sense, the low-frequency dispersion effects were frozen by the identification procedure. This explains the slope difference in the saturation region between simulated and measured dc data shown in Fig. 18. The range of validity in the dc model is determined by the experimental design and is evident from Fig. 23. The dc and low-frequency dispersion accuracy of the model can be effectively improved by introducing an additional contribution

CIDRONALI et al.: MILDLY NONQUASI-STATIC TWO-PORT DEVICE MODEL EXTRACTION

2287

adopted in this paper and to justify the experimental validation of the model and its extraction procedure [15]. Starting from the formulation proposed in [13], where, under the fundamental assumption of short-term memory effects, the modified Volterra series model assumes the form (23) Fig. 24. Partial schematic representation of the model implementation by weighted current source, the schematic also shows the correction to enable the dc simulation capability.

capable of empirically considering the above-mentioned phenomena; examples of this technique can be found in [21] and [22]. When only the dc simulation capability is needed and no low-frequency dispersive effects are required, it is possible to add a correction factor, determined by best fitting the dc characteristic. An additional voltage-controlled current source has to be inserted as depicted in Fig. 24 for port 2. This additional current source is in a series with an ideal choke, which excludes its contribution to the dynamic state. The overall effect of this corrected model is to accurately fit the static characteristics, while the dynamic nonlinear behavior is unaffected, as it is modeled by the previously defined contributions.

The model in (23) represents a nonquasi-static description of the dynamic nonlinear device behavior even in the large-signal regime and for strongly nonlinear system. Under the fundamental hypothesis of low , the dynamic deviation (24) is a linearization of the large-signal . Calculating the Maclaurin series expansion of the deviation with respect to , we obtain (25) Substituting (25) into (23), we obtain (26)

V. CONCLUSION This paper has introduced a new approach for the extraction of a behavioral model for two-port devices based on linearized large-signal vector measurements. It can be considered as a concept generalization of integrating small-signal data into the integration of the conversion matrix, which expresses the linearized response of a nonlinear dynamic system under a large-signal drive. The technique was applied to a model formulation that represents a wide class of nonlinear models capable of operating for strongly nonlinear devices under the assumption of short memory. A mathematical treatment that justifies this conclusion has been provided in the Appendix. It has been proven that the extraction technique allows an accurate prediction of the two-tone large- and small-signal states, as well as digitally modulated signals. Similar accuracy has also been observed for single-tone excitation; for the sake of brevity, these latter experiments are not discussed. The dc model characteristics need correction as to include a static current component that cannot be computed from the excitation design. This additional term can be easily computed by fitting the dc measurements and can include dispersive effects. The proposed technique has been applied to a GaAs pseudomorphic HEMT (pHEMT) as a general case; the model approach can be effectively applied to a power amplifier with bandpass behavior by adjusting the excitation design accordingly. APPENDIX Here we derive the model formulation given in (1) from the model formulation proposed in [13], which is, in turn, consistent with the model in [14], as demonstrated in [2]. The derivation is introduced to explain the properties of the model formulation

where, for

, (27)

and with . In practical applications, the implementation of the model in (26) prevents the use of an infinitive number of terms and, hence, should be considered as an approximation of the model represented by (23). On the other hand, the short-term memory hypothesis determines a quick convergence of the series expressed by (26), thus a reduced number of derivatives of the input excitation are normally enough to accurately describe the device behavior. Finally, considering that (28) we can rewrite the nonlinear function in (1), and verify that this new formulation is identical to (26), thus enabling us to conclude that the model formulation adopted herein represents an approximation of the model in [13]. This approximation is strictly verified when the series in (26) is composed of an infinite number of terms. Equation (26) practically provides fast convergence under the required assumption of short-term memory and a small number of nonlinear functions are required to approximate the device’s nonlinear dynamic behavior. For a detailed discussion about the implication of the short-term memory, see [13]. The use of a reduced number of terms (26) justifies the use of the mildly nonquasi-static attribute for the model adopted in this paper, as in [21].

2288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

In conclusion, this mathematical derivation makes it possible to conclude that (1) describes a class of nonlinear dynamic models to which [13] and [14] belong, and that the identification procedure introduced in Sections II and III is a method capable of extraction in such a model class by preserving the mildly nonquasi-static properties of the formulation.

ACKNOWLEDGMENT Author A. Cidronali thanks Dr. D. E. Root, Microwave Technology Center, Agilent Technologies Inc., Santa Rosa, CA, for stimulating this research with valuable discussions, A. Cognata, Agilent Technologies Inc., for his assistance during the measurements, and Prof. F. Filicori, University of Bologna, Bologna, Italy, for his helpful discussions on model properties. The research reported in this paper was performed under the context of the Top Amplifier Research Groups in a European Team (TARGET) network.

REFERENCES [1] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [2] J. Wood and D. E. Root, Eds., Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave. Norwood, MA: Artech House, 2005. [3] M. Seelman-Eggebert, T. Merkle, F. Van Ray, R. Quay, and M. Schlechtweg, “A systematic state–space approach to large-signal transistor modeling,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 195–214, Feb. 2007. [4] T. Van den Broeck and J. Verspecht, “Calibrated vectorial nonlinear network analyzers,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, Jun. 1994, pp. 1069–1072. [5] W. Van Moer and Y. Rolain, “A large-signal network analyzer: Why is it needed?,” IEEE Micro, vol. 7, no. 6, pp. 46–62, Dec. 2006. [6] J. Wood, D. E. Root, and N. B. Tufillaro, “A behavioral modeling approach to nonlinear model-order reduction for RF/microwave ICs and systems,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2274–2284, Sep. 2004. [7] D. E. Root, J. Verspecht, D. Sharrit, J. Wood, and A. Cognata, “Broad-band poly-harmonic distortion (PHD) behavioral models from fast automated simulations and large-signal vectorial network measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3656–3664, Nov. 2005. [8] M. C. Curras-Francos, “Table-based nonlinear HEMT model extracted from time-domain large-signal measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1593–1600, May 2005. [9] D. Schreurs, J. Verspecht, S. Vandenberghe, and E. Vandamme, “Straightforward and accurate nonlinear device model parameter-estimation method based on vectorial large-signal measurements,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2315–2319, Oct. 2002. [10] J. Verspecht, D. F. Williams, D. Schreurs, K. A. Remley, and M. D. McKinley, “Linearization of large-signal scattering functions,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1369–1376, Apr. 2005. [11] T. Narhi, “Frequency-domain analysis of strongly nonlinear circuits using a consistent large-signal model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 182–192, Feb. 1996. [12] D. E. Root, S. Fan, and J. Meyer, “Technology independent large signal non quasi-static FET models by direct construction from automatically characterized device data,” in Proc. 21st Eur. Microw. Conf., Stuttgart, Germany, Sep. 1991, pp. 927–932. [13] F. Filicori, G. Vannini, and V. A. Monaco, “A nonlinear integral model of electron devices for HB circuit analysis,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1456–1465, Jul. 1992.

[14] A. Soury, E. Ngoya, J. M. Nèbus, and T. Reveyrand, “Measurement based modeling of power amplifiers for reliable design of modern communication systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 795–798. [15] F. Filicori, private communication. [16] S. A. Maas, Nonlinear Microwave Circuits. Piscataway, NJ: IEEE Press, 1988. [17] Symbolic Defined Device, Agilent—Advanced Design Systems, ADS2005A. Agilent Technol., Santa Rosa, CA, 2005. [Online]. Available: http://www.eesof.tm.agilent.com/ [18] A. Cidronali, K. C. Gupta, J. Jargon, K. A. Remley, D. DeGroot, and G. Manes, “Extraction of conversion matrices for p-HEMTs based on vectorial large signal measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, , 2003, vol. 2, pp. 777–780. [19] A. Cidronali, G. Loglio, and G. Manes, “Linearized frequency conversion properties of two-port devices as function of the pumping signal amplitude and phase,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, pp. 612–624, Nov. 2006. [20] B. N. Taylor and C. E. Kuyatt, “Guidelines for evaluating and expressing the uncertainty of NIST measurement results,” NIST, Boulder, CO, Tech. Rep. 1297, 1994. [21] F. Filicori, A. Santarelli, P. A. Traverso, A. Raffo, G. Vannini, and M. Pagani, “Non-linear RF device modelling in the presence of lowfrequency dispersive phenomena,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 81–94, Jan. 2006. [22] I. Kallfass, H. Schumacher, and T. J. Brazil, “Multiple time constant modeling of dispersion dynamics in hetero field-effect transistors,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2312–2320, Jun. 2006.

Alessandro Cidronali (M’89) was born in Florence, Italy, in 1965. He received the Laurea and Ph.D. degrees in electronics engineering from the University of Florence, Florence, Italy, in 1992 and 1998, respectively. In 1993, he joined the Department of Electronics Engineering, University of Florence, where he became an Assistant Professor in 1999. He teaches courses on electron devices and integrated microwave circuits. From 1999 to 2003, he was a Visiting Researcher with the Motorola Physics Science Research Laboratory. From 2002 to 2005, he was a Guest Researcher with the Non-Linear Device Characterization Group, Electromagnetic Division, National Institute of Standards and Technology (NIST). Under the frame of the European Network (EU) Network Top Amplifier Research Groups in a European Team (TARGET) (supported by the Information Society Technologies Program, IST-1-507893-NOE), he served as Workpackage Leader for the transmitters modeling/architectures for wireless broadband access’ work packages. His research activities concern the study of analysis and synthesis methods for nonlinear microwave circuits, the design of broadband monolithic microwave integrated circuits (MMICs) and the development of computer-aided design (CAD) and numerical modeling for microwave devices and circuits. Dr. Cidronali was recipient of the Best Paper Award presented at the 61st ARFTG Conference. From 2004 to 2006, he was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Carmelo Accillaro was born in Ragusa, Italy, in 1978. He received Electronic Engineer degree and Ph.D. degree from the University of Florence, Florence, Italy, in 2003 and 2007, respectively. In 2006, he was a Visiting Researcher with the Motorola Physical Research Laboratory, where he was involved in modeling of carbon nanotube devices. Since 2007, he has been with the Department of Electronics and Telecommunications, University of Florence, as Research Associate. His research activities are mainly focused on nonlinear modeling of HBTs and HEMTs operating within the frequency range of microwave and millimeter wave.

CIDRONALI et al.: MILDLY NONQUASI-STATIC TWO-PORT DEVICE MODEL EXTRACTION

Gianfranco Manes (A’01–M’01–SM’02) was born in Florence, Italy, in 1944. In 1980, he became an Associate Professor and in 1985, a Full Professor with the Department of Electronics and Telecommunications, University of Florence, Florence, Italy. Since the early stages of his career, he has been involved in the field of surface acoustic wave (SAW) technology for RADAR signal processing and electronics countermeasure applications. His major contributions have been in the introduction of novel FIR synthesis techniques, fast analog spectrum analysis configurations, and frequency-hopping waveform synthesis. Since the early 1980s, he has been active in the field of microwave modeling and design. In the early 1990s, he founded and currently leads the Microelectronics Laboratory, University of Florence, where he is committed to research in the field of microwave devices. In 1982, he was committed to build up a facility for the design and production of SAW and microwave integrated

2289

circuit (MIC)/MMIC devices as a subsidiary of the radar company SMA SpA, Florence, Italy. In 1984, the facility became the standalone privately owned microwave company, Micrel SpA, operating in the field of defence electronics and space communications. From 1996 to 2000, he was involved in I/V framework projects in the field of information technology applied to the cultural heritage, and was invited to orientation meetings and advisory panels for the Commission. He was founder and is currently President of MIDRA, a research consortium between the University of Florence and Motorola Inc. He is Director of the Italian Ph.D. School in Electronics. In November 2000, he was appointed Deputy Rector for the information system of the University of Florence. His current research interest is in the field of resonant interband tunneling diode (RITD) devices for microwave applications in a scientific collaboration with the group at the Physical Science Research Laboratories, Motorola Inc., Tempe, AZ. Dr. Manes is a member of the Board of Italian Electronics Society.

2290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Behavioral Thermal Modeling for Microwave Power Amplifier Design Julie Mazeau, Raphaël Sommet, Daniel Caban-Chastas, Emmanuel Gatard, Raymond Quéré, Senior Member, IEEE, and Yves Mancuso

Abstract—System-level models simplify the analysis of complex RF systems, such as transmission-reception modules, by expressing global input–output relationships. However, the development of high RF power models for nonlinear subsystems requires the prediction of the distortion induced by low-frequency memory effects such as self-heating effects. In this framework, we present a new electrothermal behavioral model for power amplifiers. This global model is based on the coupling between a behavioral electrical model derived from the transistor-level description of the amplifier and a thermal reduced model. This model, implemented into a circuit simulator, allows to predict the impact of the thermal effects in pulsed RF mode thanks to an envelope transient analysis. This approach has also been validated by measurements. Index Terms—Behavioral electrothermal (BET) model, power amplifier, reduced thermal model, Ritz vector approach, systemlevel model, Volterra series.

Fig. 1. Description of circuit simulation with transistor-level model.

I. INTRODUCTION HE FAST development of high-performance subsystems requires the use of system-level simulations and models. However, designing tools to perform this task are limited. Either they use transistor-level description models to simulate the global performances of microwave systems (Fig. 1) or AM/AM AM/PM data. With the first approach, designers are commonly faced with very long simulation times and even with convergence problems. With the second one, dynamic nonlinear effects are not taken into account. An intermediate solution can be proposed by means of expertise of the transistor-level model [1]. Between transistor circuits and RF integrated circuits (ICs), behavioral models depict nonlinear subsystem behavior like high power amplifiers (HPAs) (Fig. 2). These models estimate the performance of an entire subsystem thanks to relatively “simple” equations able to capture the essential nonlinear behavior. This simplification allows to decrease simulation times and to accurately perform the complete analysis of microwave systems. This task remains difficult because of complex phenomena causing damages and

T

Manuscript received April 3, 2007; revised July 27, 2007. J. Mazeau, D. Caban-Chastas, and Y. Mancuso are with THALES Airborne Systems, 78851 Elancourt, France (e-mail: [email protected]; [email protected]; [email protected]. com). R. Sommet, E. Gatard, and R. Quéré are with the XLIM Research Institute, Unité Mixte de Recherche 6172, Centre National de la Recherche Scientifique, University of Limoges, 19100 Limoges, France (e-mail: raphael. [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907715

Fig. 2. Description of system simulation with subsystem-level model.

instabilities like nonlinear memory effects in power amplifiers. Some system-level models are available to predict the electrical performances, but the thermal dependence is not currently considered. They are obtained either from simulation of the circuit-level model or measurements. The major advantages of the simulation approach rely on the low cost in time or equipment and the capability to simulate the ICs’ performances before realization. Improved description of memory effects based on Volterra series [2] can be found in [3]–[8]. The goal of this study is to efficiently take into account the distortion of the RF envelope signals due to self-heating [9]. This effect presents long time constants and modifies the amplitude, as well as the phase during the pulse. The transient temperature waveform contributes significantly to the network transfer function with an unwanted modulation. This phenomenon must be considered to improve accuracy and development of electronic beam scanning radar system [10], [11]. In this study, we propose a coupled behavioral electrothermal (BET) model, as illustrated in Fig. 3. The electrical system model is based on

0018-9480/$25.00 © 2007 IEEE

MAZEAU et al.: BEHAVIORAL THERMAL MODELING FOR MICROWAVE POWER AMPLIFIER DESIGN

2291

crease of the bandwidth and the convergence property. The extraction process requires only one-tone measurements or simulation providing the input signal is quasi-constant. Inconvenient is the weak long-term memory effects prediction. The nonlinear network is represented by a two-port circuit loaded by a resistor. The reflected waves are neglected. Thus, only the transmission parameter is considered [18] and is given by

Fig. 3. BET model.

(1)

Fig. 4. Complex envelope behavioral model.

a modified form of Volterra series to determine the isothermal and the instantaneous large-signal transmission parameter . In order to deduce a precise transient dissipated power thermal model of the amplifier, a 3-D thermal finite-element (FE) description has been performed. A reduced model based on the Ritz vector approach is then applied to extract the thermal [12]–[15]. A SPICE thermal equivalent subcirimpedance cuit describes the exact analysis of the operating temperature during an envelope transient simulation. The first part of this paper is dedicated to the modified form of the dynamic Volterra-series model equations [3], [16]–[18]. The development of the reduced thermal circuit is then applied to a power amplifier. Finally, the results of the BET model implemented into the Agilent Advanced Design System (ADS) circuit simulator are compared with measurement results. The monolithic microwave integrated circuit (MMIC) power amplifier used in our example is based on an InGaP/GaAs HBT technology delivering 8 W for -band radar applications. However, the described method is generic for any power amplifier and pulsed RF operating modes.

is the input power wave and is the static transmission parameter at central frequency of the modulation band. is the first-order dynamic kernel at frequency. width is the phase. In this paper, we use the good prediction of the nonlinear short-term memory effects and the easy characterization process of this model. The thermal modulation is a long-term memory effect and will be modeled out of the electrical model by the reduced impedance model. Thus, the electrical system-level model must be able to supply the average dissipated power generated by the instantaneous self-heating to the thermal model. Moreover, the thermal dependence will be explained in . the transmission parameter B. Isothermal Static Model [19] The increase of the operating temperature of the amplifier generates a fall of the static current within the transistors. It is the dominating thermal effect. Thus, at first, the thermal effects are supposed to be independent of the spectral dispersion. Only the static term of the dynamic Volterra series depends on the is expressed by temperature. The thermal variation of as follows: a differential term

(2) II. ISOTHERMAL ELECTRICAL SYSTEM-LEVEL MODEL The aim of such an approach is to provide an analytical function, which links subsystem input and output signals without describing in detail all the elements of the circuit. System modeling needs accurate formalism, particularly for nonlinear memory effects. Classical Volterra series show convergence problems when modeling strong nonlinearities. The dynamic Volterra-series approach is more suited. A. Dynamic Volterra Series This formalism is based on a limited modulation band around the carrier frequency [4], [16]–[18]. The convergence property of the dynamic series is enhanced and allows to work only with the input and output complex envelope signals. From and are real input–output signals and is the Fig. 4, carrier frequency. The first-order modified Volterra series model [3], [4] is applied to large -parameters signal description for radar application [18]. The main advantages of this description are the in-

(3) is the ambient temperature. is the uniform temperature applied to the circuit without self-heating effects. term allows to perform an easier extraction of the The model by separating the thermal dependence of the nonlinear effect prediction. The static function interpolation is then more precise and the errors can be easily detected. Moreover, this implementation offers the possibility to remove the influence of self-heating effects if designers want to simulate only nonlinear or short memory behaviors. Likewise, the average dissipated power of HBT transistors can be obtained by

(4)

2292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 5. Characterization of the thermal static term and the bias current.

Fig. 7. Neural-network structure with a single hidden layer of wavelet.

D. Neural-Network Approach Several approaches allow to fit nonlinear functions [20], [21], but the high performance of neural-network methods are particularly suited to approximate all discontinuities [22]–[25]. These , to feed a methods require the databases , the input vector neural network training process, as illustrated in Fig. 7. One hidden layer of wavelets captures the nonlinear behavior. A wavelet is a nonlinear processing unit with the “sigmoid” transfer function (6). In this study, the radial wavelet function is given by Fig. 6. Characterization of dynamic kernels.

(6)

The collector bias current depends both on the input magtemperature. , the collector bias nitude signal and the voltage, is fixed and the base bias is neglected. The bias power . The second term is calculated thanks is only a function of to the static transmission parameter. The characterization process of and requires isothermal single-tone harmonic-balance simulations of the circuit model at central carrier frequency, as shown in Fig. 5. Indeed, an isothermal measurement is difficult to obtain. These databases are representative of the behavior of the network and set the validity domain for each parameter, carrier fre, bias point, and quency, magnitude of input power wave temperature within the device.

The input vector is connected to the hidden layer through a set of linear weight. Each kind of connection is defined by a and an offset . This topology is associated with vector defined in (7) as follows: an analytic function (7) and are, respectively, the translation and dilatation vectors of the wavelet base functions. In order to obtain an accurate function with reasonable CPU time, the number of wavelets and, consequently, linear weights, are minimized. Equation (7) is evaluated by optimizing the average mean square error (MSE) (8). The error between the neural-network output and the target is given by (8)

C. Dynamic Kernels of the BET Model The first-order dynamic kernel of (1) allows predicting the short-memory effect and is characterized by the approach described in [17] and [18]. Thanks to the same characterization process, to take into account the frequency dependence of the , a dynamic kernel is added as follows: current

is the amount of data available in the target . is then translated by a simple C program into the circuit simulator [23]. III. THERMAL REDUCED MODEL

(5) This one is extracted at ambient temperature on all the bandwidth either from one-tone signal harmonic-balance simulation or pulse measurements (short duration with regard to the thermal constant, no self-heating effects), as shown in Fig. 6.

The thermal behavior of a device can be predicted by a circuit using the following electrothermal analogy. A impedance temperature corresponds to a voltage and a dissipated power to a current. Thus, the coupling of a thermal model to an electrical model is possible in a circuit simulator. Moreover, the knowlprecisely leads to the operating temperature. Meaedge of surement techniques are difficult to set up because the hot area

MAZEAU et al.: BEHAVIORAL THERMAL MODELING FOR MICROWAVE POWER AMPLIFIER DESIGN

2293

is small and not on the top surface of the device. 3-D FE simulation is easier to perform and has proven to be reliable [15], [26]. The thermal system is governed by the following heat equation: (9) is the thermal conductivity, is the temperature, is the volumetric heat generation, is the mass density, and is the specific heat. The FE formulation of (9) leads to the semidiscrete equation defined as

Fig. 8. Equivalent volume of the power bar computing process.

(10) where the mass matrix and the stiffness matrix are -by- symmetric and positive-definite matrices, is the -by- temperature vector at mesh nodes, and is the -byload vector, which takes into account the power generation and boundary conditions. , the number of nodes, is the order of the FE system. can be represented by an -by- thermal impedance matrix deduced from (10) expressed in the frequency domain (11) For an amplifier, the dimension is large, in the order of several ten thousands, which makes the direct integration of the impedance into a circuit simulator prohibitive. Moreover, it is not useful to keep temperature information for all nodes. Also, once the 3-D model is achieved, a reduction technique of the matrix system must be applied. A. Ritz Vector Approach [15] The Ritz vector approach is powerful for linear problems and assumes the thermal conductivity to be constant. The mean response mode and yield approximations are enhanced of Ritz vecwith the generation of an orthogonal basis tors . Thanks to this new projection basis, the initial problem is transformed into a smaller one. The next step consists of doing an eigendecomposition. The eigenvectors make up the new set of axes corresponding to the diagonal matrix constructed from the corresponding eigenvalues . In the frequency domain, the system becomes ..

(12)

.

allows to pick up temperaAn -by- selection matrix ture nodes among to represent the system. Thus, the reduced thermal impedance is expressed as follows:

..

.

(13)

Fig. 9. Thermal model of the power amplifier.

B. Reduced Thermal Model of the HBT Power Amplifier The MMIC power amplifier used in this study is based on an InGaP/GaAs HBT technology with Au thermal drain, and is composed on two amplification stages. In order to apply the reduction order technique with common computation capacity, the order of the 3-D model must be minimized at the beginning of the design. Epitaxial layers and their geometries can be approximated without a significant degradation of the thermal behavior. The second stage of the amplifier mainly influences the transient thermal response. Moreover, if the distance between the amplifier stages is large enough, the thermal coupling between the first and second stage can be neglected in a first approach. Therefore, only the second stage is considered. The dissipated power is supposed to be uniform and localized under the InGaP m volume. An equivalent power emitter finger in a dissipation volume, a “power bar,” is defined for each transistor depending on the finger length , the width , and the length of an equivalent surface, as shown in Fig. 8. is the number of emitter “fingers” in the transistor. Once transistor topology is simplified, the thermal model of the amplifier is computed using symmetrical properties. These power bars lay on a GaAs substrate and a baseplate with volumes, as illustrated in Fig. 9. Many epitaxial layers are neglected. Indeed, their small volume and/or conductivity close to the GaAs value allow this approximation. On the one hand, this model takes into account the InGaP volume of the transistors because its thermal conductivity is ten times smaller than GaAs conductivity and slows down the heat transfer. On the other hand, the Au thermal drain conductivity is ten times bigger than GaAs and makes the heat evacuation easier. In order to solve the heat equation during the FE simulation, two boundary conditions must be given: the dissipated power in the power bar and the baseplate temperature. To apply the reduced-order method, a constant conductivity for the material must be considered. However, for a given baseplate temperature, it is possible to minimize this error thanks to an FE simulation in which thermal conductivities are function of temperature. The solution consists of first computing the average temperature for the main volumes of the amplifier

2294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 10. Reduced impedance circuit model. TABLE I COMPOSITION OF DATASETS, NUMBER OF WAVELETS, AND MSE FOR EACH FUNCTION OF THE BET MODEL Fig. 11. Dissipated power during a 96-s long pulse.

using a nonlinear FE approach. Second, these temperatures are taken as reference temperatures for thermal conductivities and matrices of the linear problem can be extracted. the Generally an interpolation process is more suited for nonlinear reduced models [27]. Once the thermal matrices of the model are extracted, the Ritz vector method is applied. In this study, the reduced impedance model is defined for a baseplate temperature equal to the ambient temperature and only the maximum amplifier operating , only one output temperature). temperature is considered ( The reduced impedance circuit model is integrated in the Agilent ADS circuit simulator through a SPICE netlist (Fig. 10). is the baseplate temperature and is the increase of operating temperature resulting of the self-heating effects.

Fig. 12. Operating temperature T during a 96-s-long pulse.

IV. INTEGRATION AND RESULTS OF THE BET MODEL The first-order dynamic Volterra series have been interpolated by neural networks according to a description of each kernel in real-imaginary parts. The static kernels are generated from simulation datasets. The dynamic kernels are computed from simple measurements with pulse duration short enough to neglect the self-heating effect (2- s RF pulse). The number of wavelets attributed to these terms, as well as the MSE, is listed in Table I. The BET model has been implemented as a compiled circuit model. The program uses global and local functions to manage the neural model files, the thermal feedback during the envelope transient simulation, and the conversion of the power waves to the electrical voltage and current I/V parameters. A time-domain pulse generator is used as the RF source for the BET model. A. Static Results and Validation As shown in Figs. 11 and 12, the BET model allows to predict during a pulse envelope the evolution of the average dissipated , the maximal temperature , and the RF output power

Fig. 13. Output RF power of the power amplifier during a 96-s-long pulse.

power (Fig. 13). This information is essential to foresee the size of the cooling system, as well as the performances of the power amplifier. In order to validate the BET model results, measurements of the HBT amplifier have been performed in pulse mode. A long pulse (96 s) is applied for a carrier frequency . Data have been measured during the pulse in three input windows: at the of the pulse. beginning , at the middle , and at the end and Thus, instantaneous modeling performances at time are compared to the measurements, as illustrated by Fig. 14.

MAZEAU et al.: BEHAVIORAL THERMAL MODELING FOR MICROWAVE POWER AMPLIFIER DESIGN

Fig. 14. Input voltage during a 96-s-long pulse, measurement windows (F 1; F 2; F 3), and reading time (t1; t2; t3) for simulation results.

2295

Fig. 17. Phase drift: comparison between measurements and model phase S 21(F 1) ; F 3 = phase S 21(F 3) F = phase S 21(F 2) phase S 21(F 1) ; modelt2 = phase S 21stat(t2) phase S21stat(t1) and model t3 = phase S 21stat(t3) phase S 21stat(t1) .

f

f

g

g0

f

f f g0

g g0 f

f f g

g0 g

Fig. 15. Amplifier gain: comparison between measurement (F 1; F 2; F 3) and simulation of BET model (t1; t2; t3, respectively).

Fig. 18. Comparison between amplifier gain measurements (dashed lines) and simulation of BET model (continuous lines), P in = 0 dBm (triangle), 10 dBm (circle), 21 dBm (square), Dfreq = freq f .

0

Fig. 16. Amplitude drift: comparison between measurements and model F 2 = S 21(F ) S 21(F 1); F 3 = S 21(F 3) S 21(F 1) and model t2 = S 21stat(t2) S 21stat(t1); t3 = S 21stat(t3) S 21stat(t1).

0 0

0

0

A comparison for the amplitude gain, amplitude drift, and phase drift is presented, respectively, in Figs. 15–17. We can observe a good agreement between the measurements and the transient envelope simulation using the BET model. These results validate the performances of the model. Other long-term memory effects such as the modulation of the bias point can influence the measurement, but the application of a pulse length with regard to the duration of thermal effect establishment supposes that their influence is dominating. As a is considered matter of fact, if the beginning of the pulse without thermal effects, the amplitude drift (Fig. 16) and the phase drift (Fig. 17) can be attributed to transient self-heating. It is important to notice that it is well rendered by the BET model.

Fig. 19. Comparison between bias collector current measurements (dashed lines) and simulation of BET model (continuous lines), P in = 0 dBm (triangle), 10 dBm (circle), 21 dBm (square), D freq = freq f .

0

Moreover, the BET model is robust and requires small computational resources: 40 s for three input powers and 11 points in the time domain. B. Dynamic Results and Validation A comparison of the amplitude gain and bias collector current is shown in Figs. 18 and 19 for several carrier frequencies.

2296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

A good agreement between measurements and the transient envelope simulation using the BET model can be observed. These results validate the frequency modeling performances. The amplitude difference is mainly due to the static dataset generated from the circuit-level model. Moreover, the BET model is robust and makes use of small computational resources: 90 s for three input powers, five frequencies, and 11 points in the time domain. V. CONCLUSION A BET system-level model for power amplifiers has been presented. The coupling behavioral electrical neural network model with reduced thermal impedances has been implemented into a common circuit simulator (ADS). An envelope transient simulation including the thermal transient feedback has been performed with good numerical convergence and only small computational resources. The method to obtain the BET model is simple because the extraction of the thermal static model only needs simulation datasets. This model includes the dynamic term of the dynamic modified Volterra series and allows to predict the long-term memory effects. The convergence of the BET model is obtained if the characterization of the isothermal . Good behavioral model allows to reach the maximum of agreement between simulations and pulsed measurements at ambient temperature validates this approach and its use for radar applications. Further studies will be dedicated to the baseplate temperature dependency [27] and intermodulation study. The BET approach has been illustrated with an HBT MMIC, but this approach can be applied to any other amplifier technology. ACKNOWLEDGMENT The authors wish to acknowledge AMCAD Engineering, Limoges, France, for measuring the circuit and Agilent Technologies, Massy, France, for providing technical support. REFERENCES [1] O. Jardel, R. Quéré, S. Heckmann, H. Bousbia, D. Barataud, E. Chartier, and D. Floriot, “An electrothermal model for GaInP/GaAs power HBTs with enhanced convergence capabilities,” in 1st Eur. Microw. Integrated Circuits Conf., Manchester, U.K., Sep. 2006, pp. 296–299. [2] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems, reprint ed. Melbourne, FL: Krieger, 1989. [3] D. Mirri, F. Filicori, G. Iuculano, and G. Pasini, “A new linear dynamic model for performance analysis of large signal amplifiers in communication systems,” in IEEE Instrum. Meas. Conf. Tech. Dig., Venice, Italy, May 1999, pp. 193–197. [4] E. Ngoya, N. Le Gallou, J. M. Nebus, H. Buret, and P. Reig, “Accurate RF and microwave system level modeling of wideband nonlinear circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, vol. 1, pp. 79–82. [5] C. Maziere, T. Reveyrand, S. Mons, D. Barataud, J. M. Nebus, R. Quéré, A. Mallet, L. Lapierre, and J. Sombrin, “A novel behavioral model of power amplifier based on a dynamic envelope gain approach for the system level simulation and design,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 2, pp. 769–772. [6] C. Florian, F. Filicori, D. Mirri, T. Brazil, and M. Wren, “CAD identification and validation of a non-linear dynamic model for performance analysis of large-signal amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 3, no. 8–13, pp. 2125–2128.

[7] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [8] G. Orengo, P. Colantonio, A. Serino, F. Giannini, G. Ghione, M. Pirola, and G. Stegmayer, “Time-Domain neural network characterization for dynamic behavioural models of power amplifiers,” in Proc. 13th GaAs Symp. Dig, Paris, France, Oct. 2005, pp. 189–192. [9] V. Rizzoli, D. Masotti, and F. Mastri, “Behavioural modeling of thermally induced distortion in RF microwave nonlinear subsystems,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 845–848. [10] J. Darricau, Physique Et Théorie Du Radar, Tome 1 ed. Paris, France: Sodipe, 1981. [11] H. Wilden, “Microwave tests on prototype-T/R-modules,” in Proc. Radar Syst., Edinburgh, U.K., Oct. 1997, pp. 517–521, Pub. 449. [12] K. J. Joo, E. L. Wilson, and P. Leger, “Ritz vectors and generation criteria for mode superposition analysis,” Earthquake Eng. Struct. Dynam., vol. 18, pp. 149–167, 1989. [13] E. L. Wilson and M. W. Yuan, “Dynamic analysis by direct superposition of Ritz vectors,” Earthquake Eng. Struct. Dynam., vol. 10, no. 6, pp. 813–821, Nov. 1982. [14] J. T. Hsu and L. Vu-Quoc, “A rational formulation of thermal circuit models for electrothermal simulation—Part I: Finite element method,” IEEE Trans. Circuits Syst., vol. 43, no. 9, pp. 721–732, Sep. 1996. [15] R. Sommet, D. Lopez, and R. Quéré, “From 3-D thermal simulation of HBT devices to their thermal model integration into circuit simulators via Ritz vectors reduction technique,” in 8th Intersoc. Thermal Thermomech. Phenomena Electron. Syst. Conf., San Diego, CA, Jun. 2002, pp. 22–28. [16] F. Filicori and G. Vannini, “Mathematical approach to large-signal modelling of electron devices,” Electron. Lett., vol. 27, no. 4, pp. 357–359, Feb. 1991. [17] N. Le Gallou, D. Barataud, H. Buret, J. M. Nebus, and E. Ngoya, “An improved behavioral modeling technique for high power amplifiers with memory,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 2001, vol. 2, pp. 983–986. [18] C. Dominique, Y. Mancuso, P. Duéme, and J. L. Gautier, “High power amplifier dynamic modeling by modified Volterra series and neural network tool,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 1–4. [19] J. Mazeau, R. Sommet, D. Caban-Chastas, E. Gatard, R. Quéré, and Y. Mancuso, “New electrothermal system level model for RF power amplifier,” in Proc. 37th Eur. Microw. Conf., Munich, Germany, Oct. 2007, pp. 1–4. [20] J. J. Raoux, “Modélisation non linéaire des composants électroniques: Du modéle analytique au modéle tabulaire paramétrique,” Ph.D. dissertation, XLIM Res. Inst., Limoges Univ., Limoges, France, 1995. [21] A. Zine, G. Maury, F. Ndagijimana, and C. Arnaud, “A simple RF power behavior model for system level parameters estimation,” in IEEE Int. Behavioral Modeling Simulation Conf., San José, CA, Sep. 2005. [Online]. Available: http://www.bmas-conf.org/2005/web-onlypubs/BMAS2005_30.pdf [22] E. R. Srinidhi, A. Ahmed, and G. Kompa, “Power amplifier behavioral modeling strategies using neural network and memory polynomial models,” Microw. Rev. J., vol. 12, no. 1, pp. 15–20, Jun. 2006. [23] Y. Harkouss, E. Ngoya, J. Rousset, and D. Argollo, “Accurate radial wavelet neural-network model for efficient CAD modelling of microstrip discontinuities,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 147, no. 4, pp. 277–283, Aug. 2000. [24] J. Xu, M. C. E. Yagoub, R. Ding, and Q.-J. Zhang, “Neural-based dynamic modeling of nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2769–2780, Dec. 2002. [25] B. O’Brien, J. Dooley, and J. Brazil, “RF power amplifier behavioral modeling using a globally recurrent neural network,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1089–1092. [Online]. Available: http:hertz.ucd.ie/publications/IMS2006_OBrien.pdf [26] R. Sommet, C. Chang, P. Duéme, and R. Quéré, “Electrothermal models of transistors based on finite element analysis for radar applications,” in 9th Intersoc. Thermal Thermomech. Phenomena Electron. Syst. Conf., Las Vegas, NV, Jun. 2004, pp. 515–522. [27] E. Gatard, R. Sommet, and R. Quéré, “Nonlinear thermal reduced model for power semiconductor devices,” in Proc. 10th Intersoc. Thermal Thermomech. Phenomena Electron. Syst. Conf., San Diego, CA, May 2006, pp. 638–644.

MAZEAU et al.: BEHAVIORAL THERMAL MODELING FOR MICROWAVE POWER AMPLIFIER DESIGN

Julie Mazeau received the Master’s degree in high-frequency and optical telecommunications from the University of Limoges, Limoges, France, in 2003, and is currently working toward the Ph.D. degree at the Research Institute XLIM, University of Limoges (in collaboration with THALES Airborne Systems, Elancourt, France). Her research interests are dedicated to electrothermal system-level models of power amplifiers for radar applications.

Raphaeël Sommet received the French Aggregation in Applied Physics degree and Ph.D. degree from the University of Limoges, Limoges, France, in 1991 and 1996, respectively. Since 1997, he has been a Permanent Researcher with the C2S2 team “Nonlinear Microwave Circuits and Subsystems,” XLIM Research Institute, Centre National de la Recherche Scientifique (CNRS), University of Limoges. His research interests concern HBT device simulation, 3-D thermal FE simulation, model-order reduction, microwave circuit simulation, and generally the coupling of all physics-based simulation with circuit simulation.

Daniel Caban-Chastas received the Diploma degree in engineering of electronics and microwaves systems from the University of Pierre and Marie Curie, Paris, France, in 2001. In 2002, he joined the Advanced Technologies Team, THALES Airborne Systems, Elancourt, France, where he is an Electromagnetic Simulation Expert currently in charge of microwave design for active antenna transmit/receive (T/R) modules. He is also involved in microwave packaging and new microwave development.

2297

Emmanuel Gatard received the Electronics and Telecommunications Engineering degree from Ecole Nationale Supérieure d’Ingénieurs de Limoges (ENSIL), Limoges, France, in 2003, and the Ph.D. degree in electrical engineering from the University of Limoges, Limoges, France, in 2006. He is currently with the XLIM Research Institute, Centre National de la Recherche Scientifique (CNRS), University of Limoges. His research interests concern electrothermal modeling of power semiconductor devices dedicated to circuit simulations, physics-based electron device simulations, and nonlinear thermal modeling.

Raymond Quéré (M’88–SM’99) received the Electrical Engineering degree and French Aggregation degree in physics from ENSEEIHT–Toulouse, Toulouse, France, in 1976 and 1978, respectively, and the Ph.D. degree in electrical engineering from the University of Limoges, Limoges, France in 1989. In 1992, he became a Full Professor with the University of Limoges, where he currently heads the research group on high-frequency nonlinear circuits and systems with the XLIM Research Institute, Centre National de la Recherche Scientifique (CNRS), University of Limoges. He is mainly involved in nonlinear stability analysis of microwave circuits. Prof. Quéré is a member of Technical Program Committee for several conferences. He was the chairman of European Microwave Week, Paris, France, 2005.

Yves Mancuso received the Diploma of engineer degree from the Ecole Nationale Supérieure de Génie Physique, Grenoble, France, in 1979. In 1981, he joined THALES, where he was in charge of different technological developments for T/R modules, MMICs, packaging, and test benches. From 1993 to 1997, he was mainly in charge of the T/R module for two major phased-array programs: a European one, AMSAR, and a French space program. He is currently involved with phased-array antennas and T/R modules design authority for THALES Airborne Systems, Elancourt, France, including airborne and space, radar and electronic warfare applications and new microwave developments including microwave components, circuits, and technology.

2298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

3-D Integration of 10-GHz Filter and CMOS Receiver Front-End Tae-young Choi, Member, IEEE, Hasan Sharifi, Member, IEEE, Hjalti H. Sigmarsson, Student Member, IEEE, William J. Chappell, Member, IEEE, Saeed Mohammadi, Senior Member, IEEE, and Linda P. B. Katehi, Fellow, IEEE

Abstract—A 10-GHz filter/receiver module is implemented in a novel 3-D integration technique suitable for RF and microwave circuits. The receiver designed and fabricated in a commercial 0.18- m CMOS process is integrated with embedded passive components fabricated on a high-resistivity Si substrate using a recently developed self-aligned wafer-level integration technology. Integration with the filter is achieved through bonding a high- evanescent-mode cavity filter onto the silicon wafer using screen printable conductive epoxy. With adjustment of the input matching of the receiver integrated circuit by the embedded passives fabricated on the Si substrate, the return loss, conversion gain, and noise figure of the front-end receiver are improved. At RF frequency of 10.3 GHz and with an IF frequency of 50 MHz, the integrated front-end system achieves a conversion gain of 19 dB, and an overall noise figure of 10 dB. A fully integrated filter/receiver on an Si substrate that operates at microwave frequencies is demonstrated. Index Terms—CMOS RF front-end, embedded passives, evanescent-mode cavity filter, heterogeneous integration, packaging, receiver.

I. INTRODUCTION

T

HE EXPANDING wireless communication market demands RF systems with higher data rate, lower cost, and higher levels of integration than what is available today. While it is attractive to implement the entire system on a single chip to make the system compact, this leads to increased cost. The higher cost is mainly due to the integration of large area passive components such as inductors and capacitors on expensive Si or GaAs chips, as well as the requirement for heterogeneous integration. Integrated RF components suffer from degraded performance due to high loss and high dielectric constant of Si as the integration substrate. Some passive components, such as high- RF inductors, large valued capacitors, highfilters, and antennas are best integrated on the package rather Manuscript received November 3, 2006; revised April 13, 2007. This work was supported in part by the Semiconductor Research Corporation under Task 1114.001 and by the Defense Advanced Research Projects Agency Technology for Efficient and Agile Micro-systems (TEAM) under Project DAAB07-02-1L430. The work of T. Choi was supported by Purdue University. T. Choi, H. Sharifi, H. H. Sigmarsson, W. J. Chappell, and S. Mohammadi are with the School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). L. P. B. Katehi is with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Champaign, IL 61820 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907351

than the active chip. While passive devices demonstrate their best performance on the package, wafer-level batch fabrication of passive components and interconnects is still desired. Wafer-level integrated passives eliminate the assembly cost and reliability issues associated with hybrid packaging. In standard Si technologies, the quality ( ) factor of integrated spiral inductors is limited to 5–30 due to the inherent losses of low-resistivity Si substrate. While the of these passive components can be improved using unconventional fabrication technologies that are often not compatible with integrated circuit (IC) processing [1], [2], their size still remains large. Antennas and filters are other examples that do not operate optimally when integrated on silicon due to the fundamental tradeoffs between size, loss in Si substrate, and performance [3]. If these passive components can be removed from the IC and yet tightly integrated with transistors, the area of the chip and, thus, the cost, can be significantly reduced. By implementing these passives on high-resistivity Si and/or low-loss dielectric substrates as the packaging media, better system performance may be achieved. Current RF and microwave systems are implemented either in a system on a chip (SOC) approach where the entire system is integrated on one chip or in a system in a package (SIP) approach where various chips and passive components are flip chipped or wire bonded on a common packaging substrate such as a ceramic or a laminate, and recently, new packaging technologies such as redistributed chip packaging (RCP)1 and integrated passive device (IPD) technology2 have been introduced. We have recently developed a heterogeneous integration technology [i.e., self-aligned wafer-level integration technology (SAWLIT)] that combines batch fabrication capability, high interconnect density, high integration level, and compactness of the SOC approach, while system cost, time to market, and passive performance are comparable with the SIP approach [4], [5]. In this paper, we have utilized this unique integration approach to integrate a 10-GHz filter/receiver. A novel integration concept for RF and microwave receivers using a 3-D integration technology is demonstrated. This technology allows planar and nonplanar passive components to be compactly integrated with the IC, and which results in a potential impact on future implementations of RF and microwave systems. The receiver is designed and fabricated as a standalone chip in a commercial 0.18- m CMOS process. The chip is integrated inside an Si carrier substrate using a minute amount of polymers. Embedded 1[Online]. Available: http://www.freescale.com/webapp/sps/site/overview. jsp?nodeId=01210053720980 2[Online]. Available: http://www.sychip.com/PUB/6102_IPD_Brief_v1.6.pdf

0018-9480/$25.00 © 2007 IEEE

CHOI et al.: 3-D INTEGRATION OF 10-GHz FILTER AND CMOS RECEIVER FRONT-END

2299

Fig. 2. Schematic of LNA, mixer, and VCO. Fig. 1. (a) Step-by-step SAWLIT fabrication process. (a-1) Carrier substrate and disparate chips are placed on the handle substrate upside down. (a-2) PDMS is applied and polymerized to fill the gaps. (a-3) Handle substrate is detached and SU-8 is deposited on the top surface for planarization. (a-4) Standard microfabrication process is used to add high-density chip-to-package interconnects and embedded passives. (b) CMOS chip integrated inside high-resistivity Si. Interconnects as narrow as 25 m are used, while the polymer gap is approximately 10 m.

passive components and interconnects are added through a batch fabrication process. A high- evanescent-mode cavity pre-select filter is then integrated on the Si carrier wafer and interconnected to the RF receiver. The filter is placed very close to the active circuit to prevent loss of signal power and demonstrates the use of the host silicon as an integration platform for cavity-based high- components. The integration scheme presented has the benefit of dense integration of relatively highfilters with Si circuitry. This integration technology can be utilized to implement integrated antennas, transmitter chips, and IF stages to make a tightly integrated RF transceiver system. Section II presents the SAWLIT. Design and simulations of the 10-GHz CMOS IC are discussed in Section III, while design and measurement results of the high- evanescent-mode cavity filter are discussed in Section IV. In Section V, the integration of the IC with embedded passive devices and the filter is presented and performance characterizations of the entire system are provided. Section VI summarizes the results obtained in this study. II. INTEGRATION TECHNOLOGY The details of SAWLIT with high interconnect density and embedded passives are presented elsewhere [4], [5]. In SAWLIT, various heterogeneous chips are placed in a carrier substrate with a seamless transition between each chip and the substrate maintaining a flat surface for lithographic processes. In this integration scheme, instead of using wire bonds or flip-chip bumps to make a chip-to-package connection, litho25 m graphically defined interconnects with very narrow footprints, as shown in Fig. 1, are utilized. I/O pads on the chip with current dimensions of 25 m 25 m occupy a smaller area than the pads for flip chip or wire bond (state-of-the-art 35 m 35 m). Using SAWLIT, bulky passive components such as inductors and capacitors used in RF and mixed-signal circuits can be removed from the active chips and placed on the carrier substrate. By using a low-loss carrier substrate such as high-resistivity Si, quartz, or ceramics, the factor of the inductors or transmission lines can be improved, resulting in better performance of RF modules. At the same time, since the bulky inductors and

TABLE I PARAMETERS OF DEVICES

capacitors are relocated from the active chip to the carrier substrate, the size of active RF chips are significantly reduced. The SAWLIT integration process starts with etching a highresistivity Si wafer with holes slightly larger than the chips to be integrated. The etch goes all the way through the wafer using a deep etch reactive ion etcher (DRIE). The size of the holes are approximately 10 m larger on each side of the diced chips, allowing the chips to be self-positioned in the holes with a few micrometer misalignment. After etching, the wafer is attached to a handling substrate and, as shown in Fig. 1(a-1), diced chips are inserted in the gaps upside down. The gap is filled with poly-dimethyl-silicone (PDMS), as shown in Fig. 1(a-2). After PDMS polymerization, the carrier substrate and the chips are detached from the handling substrate and a 6- m SU-8 (a photo-curable polymer) is spun for planarization [see Fig. 1(a-3)]. Standard microfabrication steps including photolithography, etching, and liftoff are used to add chip-to-substrate interconnects and passive components. Fig. 1(b) shows a minimum trace width of 25- m interconnect lines and a gap of 10 m between the chip and substrate achieved in this process. III. CIRCUIT DESIGN The focal point of the SAWLIT process demonstration is a receiver circuit fabricated in six-metal 0.18- m CMOS technology (IBM 7RF). The front-end IC consists of a low-noise amplifier (LNA), a mixer with an IF buffer, and a voltage-controlled oscillator (VCO). The VCO also has a buffer to drive the mixer without degrading the performance. The design and performance of each of these are detailed below. The schematic of the circuit is shown in Fig. 2, and the values of components are shown in Table I. A. LNA Design A cascode structure with inductive degeneration is used in the LNA, as shown in Fig. 2. The inductive degeneration allows easy input matching to a 50- source, and helps increasing linearity. The isolation between the output and input

2300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

ports can be improved by the cascode structure. The size (width of 160 m) of transistors (M1 and M2) is decided by considering the tradeoff of minimum noise figure, gain, and power consumption. The transistor is also biased such that the transconductance is power efficient. As the gate bias voltage increases, the transconductance and, thus, the gain increase. However, after a certain gate bias voltage, the transconductance does not follow the increase of the bias current. As a result, the bias current of the transistor is decided at the point where the ratio of transconductance over power consumption is still high, although this bias point may not provide the maximum transconductance value. Since the inductors needed in high frequency are small, all of the inductors have inductance values less than 1 nH. The degeneration inductor is implemented with an inductive line, which also helps to reduce the chip area. Cadence simulation of the optimized LNA provides a gain of 12 dB and a noise figure of 2.6 dB. B. Mixer Design A single balanced mixer with an optimized nMOS transistor width of 80 m and inductive degeneration is implemented, as shown in Fig. 2. The inductive degeneration improves the linearity of the mixer, which was found to be the limiting factor of overall linearity from the simulations. For low IF operation, the load of the mixer is implemented with pMOS transistors with a width of 300 m. 2.4-k resistors provide the bias for these transistors. A differential IF buffer implemented with nMOS transistors with a width of 160 m and pMOS active loads with a width of 600 m are added to drive the 50- output. Cadence simulation of the mixer shows a conversion gain of 12 dB at the RF frequency of 10 GHz and the IF frequency of 50 MHz. C. VCO Design A detailed description of the VCO design and characterization is provided in [6]. The schematic of the VCO is also depicted in Fig. 2. A complementary cross-coupled differential structure is used to achieve higher transconductance for a given bias current, thus resulting in faster switching. The differential structure provides a symmetric output waveform [7]. The output of the VCO core is directly connected to the input of the buffer, which drives the mixer. An nMOS current source transistor is placed below the crosscoupled nMOS pair to control the bias current of the VCO core. An inductor (L4) between the nMOS pair and current source and a capacitor (C4) in parallel with the current source eliminate the noise around the second harmonic, which results in reduced phase noise [8]. To increase the self-resonance frequency, a horseshoe-type one-turn inductor is used in the LC tank. This structure also provides symmetry to the two output nodes of the VCO core. The inductor is simulated with Sonnet electromagnetic (EM) simulation software. The expected inductance and factor at 10 GHz are 0.38 nH and 15, respectively. Besides the inductor of the tank, the varactor is also a key element in determining the performance of the VCO. In the technology used in this study, for small horseshoe-type inductors operating in -band, the factor of the inductor increases as the frequency increases. On the other hand, the of the varactor decreases in the same frequency range. Thus, there is an

optimum value for the inductance and capacitance of the varactor in the tank circuit to achieve a maximum tank factor. In our configuration, two nMOS varactors are used in the tank for tuning purpose. The gate length of the varactor is kept at a minimum to maintain the capacitance and the factor at high frequency. IV. HIGH-

EVANESCENT-MODE CAVITY FILTER ON SILICON

A high- pre-select filter prior to the LNA in the front-end receiver is critical to limiting the intermodulation induced through nonlinearities in the system. Adding the filter helps with distortion-free operation, while the total noise figure is degraded by the loss through the filter. The insertion loss of a filter is and inversely proportional to the banddirectly related to width, therefore having the as high as possible is essential for making narrow pre-select filters. Planar distributed filters have ’s limited to 100–300. Larger components, such as highcavity-based resonators, can be vertically attached to the Si carrier substrate to achieve a compact 3-D integrated RF system. Previous demonstrations of integrated cavity resonators and filters have utilized Si micromachining to define a cavity with and without capacitive loading posts [9], [10]. This process requires Au/Au thermo-compression bonding at 350 C for 1/2 h, which is beyond the thermal budget of 0.18- m CMOS transistors. Alternatively, cavity resonators and filters have been demonstrated using a polymer layer by layer stereolithography fabrication [11]. This technique employed in this study allows for cavities integrated inside of multiple layers of polymer. In general, the unloaded of a resonator is dependant on the dielectric, metal, and radiated losses. For an air-filled cavity, the dielectric and radiated losses are eliminated. The drawback of air-filled cavity filters is that they are large, typically the order of a half-wavelength. The size of the air-filled cavity can be reduced by loading it with a capacitive post, which causes the electric field to condense above the post without unduly changing the magnetic fields. This reduces the resonance frequency of the cavity without greatly sacrificing the unloaded factor of the resonator [12]. By varying the loading of the capacitive post, the size of the filter can be reduced, approaching lumped-element sizes at the cost of lower . Alternatively, the size can be maintained to be fairly large, keeping the distributed nature of the cavity and its high . The amount of capacitive loading, i.e., the distance between the capacitive post and the top of the cavity, determines this size versus tradeoff. In this tradeoff, an optimized filter size with relatively high can be identified, significantly higher than any planar resonator. A. Filter Design As the first step, an empty rectangular cavity resonator is designed to resonate at a frequency higher than the targeted system GHz. The unloaded cavity is 11.48 mm frequency 11.48 mm 3.1 mm and has a center frequency of 18.5 GHz and an unloaded of 3000. Cavity filters with unloaded ’s of this magnitude have been recently demonstrated using the same fabrication technique [11]. A capacitive post is then included in the cavity that is 3.0 mm 3.0 mm 2.36 mm, covering 6.8% of the cavity top-down area, and is 76% of the height of the cavity. The choice of the height and the cavity area is not unique.

CHOI et al.: 3-D INTEGRATION OF 10-GHz FILTER AND CMOS RECEIVER FRONT-END

2301

Fig. 3. Filter HFSS model, CPW feed, and fabricated filter. The dimensions of the filter are 25.2 mm 15.1 mm 5.4 mm.

2

2

Adding the capacitive post, the frequency is brought down to 10.3 GHz, which is a 44% reduction. The unloaded is reduced by 40% to 1800, while the area is reduced by 71%. While this is a large reduction in , it still represents a very high factor for a condensed package. The intercoupling is designed to give a bandwidth of 4%, representing a typical pre-select filtering requirement. Fig. 3 shows the designed filter, as well as the actual fabricated filter. The feed to the filter is a coplanar waveguide stub. This is designed (CPW) to slot coupling with an open to magnetic field couple into the evanescent-mode filter. The CPW line is designed to match the 150- m pitch 50- measurement probes. The line is tapered from 125 to 520 m that extends into the slot, maintaining a 50- impedance up to the slot. A magnetic field surrounds the current along the line and couples to the corresponding mode of the cavity filter through a 600- m-thick slot in the cavity walls. The slot is manually optimized with respect to the simulated return loss in order to stub creates the necachieve desired external coupling. The essary isolation for the dc biasing and is an alternative design to a more standard decoupling capacitor. Using a full-wave model Ansoft HFSS finite-element simulation with both cavities coupled together and both external feeds, the length of the stub and the size of the slot are manually optimized by looking at the behavior of the return loss and the flatness of the insertion loss in the passband. The optimization compensates for any parasitic effects introduced by the surrounding geometry. Final slot dimensions are 8.6 mm 0.6 mm and the final stub length is 3.14 mm. The feed is shown in Fig. 3. The filter is designed to be bonded on top of the feed and in order to prevent contact between the filter walls and the CPW feed line, air housing is designed above the feed. Multilayer features, such as this CPW housing, is easy to incorporate due to the layer-by-layer nature of the stereolithography process. The housing is chosen to be 1.5 mm, 460 m wider than the total width of the 520- m center line and the two 260- m gaps on either side. This housing equalizes both sides of the ground of the CPW line while shielding radiation. B. Filter Fabrication The simulated filter is exported from HFSS into AUTOCAD using an ACIS file format. AUTOCAD has the ability to export into a stereolithography file format (.stl). That file is then sliced

Fig. 4. Simulated and measured response of the filter.

into 50- m 2-D layers, which are then built using a Viper HR stereolithography machine from 3DSystems. The entire filter and the corresponding support structure require 383 layers of cured polymer, which are rapidly deposited. The polymer filter needs to be built in two parts in order to metallize the internal cavities; the seam of the filter is chosen to be parallel to the direction of the current to avoid introducing any discontinuities. Once the filter is built, it is metallized with 500/4500 of sputtered Ti/Pt as adhesion seed layers and electroplated with 50- m copper. C. Filter Characterization Filter measurements are performed on a filter bonded to an Si substrate with input and output feeding lines. The characterization is done using an Agilent 8510C network analyzer and Cascade probes with 150- m pitch. The filter is laid out horizontally for ease of probe testing on the same layer. Vertically integrated filters have also been previously demonstrated with much more compact size [11]. The measured results are compared to the simulated results in Fig. 4. The filters are in good agreement; the measured filter exhibits the same behavior as seen in simulation. The overall bandwidth is the same with a 1.35% frequency shift. The input matching of the filter is good over the entire passband, as can be seen from the 20-dB return loss. The insertion loss in the passband is constant and the slope of the attenuation in the stopband is as expected down to 40 dB/GHz. The slight variations in the isolation can be attributed to the measurement setup. The measured filter exhibits more broadband loss throughout the measured frequency range of the filter, both in the passband and stopband. This out-of-band loss indicates that there is overall more loss in the feeding to the cavity filter than the simulation. This additional 0.3-dB loss per feed could be due to variation in the low-temperature attachment material, slight plating variations over the 3-D cavity, or regions not readily coated using the relatively nonconformal sputtering technique. Even though sputtering is conformal by its nature, in this case, there is a large aspect ratio difference between the horizontal and vertical coating surfaces, which may result in a nonconformal coating of the seed layer for the electroplating. There is a 1.35% frequency shift between theory and experiment that can be attributed to the sensitivity of the capacitive

2302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

loading post height; every 10- m change causes a 60-MHz frequency shift, determined from a series of HFSS full-wave model simulations. This correlates to an 11.6- m difference between the fabricated and simulated filter. This difference is caused by tolerances in the mesoscale fabrication employed for the multilayer cavity filter. These tolerances in the filter size are due to variations in the copper thickness caused by high-current electroplating and inaccuracies in the beam size of the layer-bylayer stereolithography (75 m). For probing purposes, the silicon feed designed for this experiment is 3.77-mm long, which adds to the total loss of the filter sample. This broadband loss is evident in the measured return loss in the stopband of the filter, as shown in Fig. 4. Accounting for the feed line length, the filter itself has only 0.64-dB insertion loss. V. INTEGRATION Prior to the integration, the RF integrated circuit (RFIC) is characterized by on-chip probing. The reflection coefficient of the input RF port is measured with an Agilent 8722ES network anis 9.6 dB at 10.3 GHz. An Agilent alyzer, and measured E4448A spectrum analyzer is used to measure the conversion gain of the front-end. For the LO frequency of 10.25 GHz, the maximum conversion gain is 21 dB, which is close to the simulated value of 24 dB in Cadence. This measurement is limited by the low end of the tuning range of the VCO. Since the parasitic capacitance and varactor capacitance are slightly overestimated, the measured center frequency of the VCO is higher than the target frequency of 10 GHz. The noise figure of the receiver is also measured based on the output noise power measurement technique [13]. A double-sideband noise figure of 9.4 dB at an RF frequency of 10.3 GHz and an IF frequency of 50 MHz is measured. This value is approximately 4 dB higher than the simulated value. We have also fabricated and characterized the VCO block [6]. The output frequency, power, and phase noise of this block are measured with the Agilent E4448A spectrum analyzer equipped with a phase noise measurement option. A 180 hybrid coupler is used to convert the differential output signal of the VCO to the single-ended input to the spectrum analyzer. The phase noise of the VCO at 1-MHz offset from the carrier frequency at 10.3 GHz is measured to be 125.3 dBc/Hz. A tuning range of 20.1% from 10.2 to 12.48 GHz is achieved. The VCO gain is estimated at 1 GHz/V. Using SAWLIT, the CMOS IC is integrated with an input matching network embedded on a high-resistivity silicon carrier substrate with a size of 11.5 mm 42 mm. Effective use of SAWLIT can move most of the passive components from the receiver chip to the carrier substrate without degrading performance. Only small inductors or capacitors that need to be very close to the active device (such as the inductor in the LC tank of the oscillator used here) may remain on the chip. Fig. 5(a) shows the layout of the package. The RF input port and interface to the filter are implemented with top metal. In order to improve the input matching and overall conversion gain of the receiver front-end, a combination of a series inductor and a shunt capacitor is added to the input of the LNA. Fig. 5(b) shows the fabricated system. An aluminum layer that is fabricated below the SU-8 works as the bottom plate of the metal–insulator–metal (MIM) capacitor, while the top plate of

Fig. 5. (a) Layout for the integrated receiver. (b) Integration of the 10-GHz CMOS receiver with embedded passive components using SAWLIT.

the capacitor is implemented using the Au interconnect metal. The metal coverage over the PDMS is very smooth due to the SU-8 planarizing layer used in this technology. By adding the embedded passives, namely, a series inductor (0.6 nH) and shunt capacitor (0.28 pF), the input matching at 10.3 GHz improves from 9.6 to 26 dB. The conversion gain at 10.3-GHz input RF frequency improves from 21 to 23 dB, while the double-sideband noise figure of the receiver improves slightly from 9.4 to 9.0 dB at 10.3 GHz. After adding the embedded components, the Si substrate is bonded with the filter using 118-09A/B-187 screen printable conductive adhesive from Creative Materials, Tyngsboro, MA. This epoxy material is loaded with 85% silver, has a conductivity of 2 10 S/m, and is screen printed on the silicon feed and aligned using a FINEPLACER A4 “PICO” pick-and-place system. The epoxy is then cured at room temperature. The conductive adhesive provides both a solid connection between the ground plane on the silicon and the metallized filter, and a solid mechanical bond between the two dissimilar materials. The low-temperature conductive epoxy with relatively high loss can be used since the connection between the Si carrier wafer and copper-plated polymer is over a broad area and the feed is designed to not have a large current density over any one location. The feed current density on the gold signal line of the carrier wafer feed is six orders of magnitude greater than the current density at the epoxy–gold junction. The 3-D fabrication allows for the high- cavity to be removed from the high-loss connection, greatly reducing the effect of the low-temperature attachment to the silicon host. The low-temperature processing allows for post placement of the cavities on Si, while maintaining high- performance. Fig. 6 shows the final package. The simulated and measured conversion gains of the receiver before integration, with the embedded passives, and with the embedded passives and filter are shown in Fig. 7. The measurement results are close to the simulation results with 1.5–3-dB difference at the RF frequency of 10.3 GHz. The conversion gain improves by 2 dB when the embedded passives are integrated with the chip and decreases to approximately 19 dB at 10.3 GHz once the filter is added. The extra loss is

CHOI et al.: 3-D INTEGRATION OF 10-GHz FILTER AND CMOS RECEIVER FRONT-END

2303

Fig. 6. Final front-end package. Fig. 8. Simulated and measured noise figure of the IC and package (solid lines: simulation results; points: measured results).

Fig. 7. Simulated and measured conversion gain of the IC and package (solid lines: simulation results; points: measured results). Fig. 9. Measured IIP3 of the IC and package.

due to slight loss in the filter and 1.2-mm CPW lines connecting filter to its two ports, as well as the slight frequency shift between the filter and the receiver, which happens to level off the gain peak of the response. The slope of the out-of-band conversion gain for the filter/receiver module is approximately 60 dB/GHz, which demonstrates the isolation characteristic of the pre-select filter and tuned receiver from out-of-band interferers. The rejection is better than 40 dB at 6% away from the passband. The simulated and measured noise figure of the IC before and after integrating embedded passives and with the filter and embedded passives are shown in Fig. 8. The simulation and measurement results have 2.2–4-dB difference at the RF frequency of 10.3 GHz. At the passband, the measured noise figure is between 9–10 dB. It is increased by 1–1.5 dB at the passband after integrated with the filter due to the insertion loss of the filter. At the stopband, the noise figure significantly increases due to the rejection of the filter. The separately fabricated LNA shows a measured noise figure of 5.5 dB. The high noise figure of the receiver is attributed partially to the difference between the actual transistor and the BSIM3v3 transistor model that does not include the induced gate noise. Additionally, the parasitic capacitance seen at the source of the cascode transistor is partially responsible for increase in the noise figure [14]. Fig. 9 depicts the input third-order intercept point (IIP3) of the IC before and after integration with the embedded passives

TABLE II PERFORMANCE OF FRONT-END PACKAGE

and the filter. To measure the IIP3 at a local oscillator (LO) frequency of 10.25 GHz, two different tones are selected such that the third-order intermodulation falls on 10.2 GHz when the two tones are lower than LO, and 10.3 GHz when the two tones are higher than LO frequency. Due to the rejection of the filter, the third-order intermodulation is significantly reduced at the stopband, implying the advantage of adding a pre-select filter to the receiver. The performance of the front-end package is summarized in Table II. Overall, the integration of the chip with an embedded input matching network integrated on the carrier substrate have

2304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

resulted in better input matching, higher gain, and better noise figure. Once the filter is added to this combination, the conversion gain and noise figure have slightly degraded, but the linearity is improved due to the rejection of out-of-band interferers by the pre-select filter. VI. SUMMARY AND CONCLUSION SAWLIT is a wafer-level integration technique, which provides the flexibility of hybrid circuit/heterogeneous design with the integration density of a planar circuit (SOC). By placing the passive components on the carrier substrate, SAWLIT allows the designer to modify the passive components of the design without changing the active chips, reducing design cycle time while allowing for optimal performance. The use of a coplanar host substrate in SAWLIT allows for the integration of passives alongside the circuit, as well as provides a platform for 3-D integration of muchhigherqualitycomponents,suchasthehigh- filterdemonstratedhereinandantennaelements.Althoughthehigh-resistivity Si wafers are more expensivethanCMOSgradeSiwafers, the cost per unit area of the high-resistivity carrier wafer is considerably less than that of the fabricated IC. By relocating bulky passive components from the IC to the carrier wafer, the size of the IC and the cost of the system are significantly reduced. Additionally, the integration technology is a batch fabrication process that allows many modules to be integrated at the same time, resulting in further cost reduction compared to standard SOP/SIP implementations. In this study, a fully integrated 10-GHz receiver with preselect filtering is used as a vehicle to demonstrate the integration technology. With the adjustment of the input matching of the receiver IC by utilizing the embedded passives fabricated on the Si substrate, the return loss, conversion gain, and noise figure of the front-end receiver have been improved. A high- evanescent-mode filter has been demonstrated on a silicon carrier wafer and is integrated with the 10-GHz RF front-end. The fabricated bandpass filter matches simulation well, with a bandwidth of 4% and a total insertion loss of 0.64 dB. The pre-select filter, embedded passives, and CMOS receiver are all integrated on an Si carrier substrate to show the possibility of a compact integrated multilayer RF system. For the first time, an -band CMOS RF front-end with embedded passive components and a high- pre-select cavity filter are tightly integrated on an Si wafer. Using the integration technologies discussed in this study, RF and microwave systems can be tightly integrated on a single Si substrate to achieve minimum loss and maximum performance. The fact that the integration technology allows one to integrate most of the passive components after the active chips are separately fabricated translates into smaller and less expensive active chips compared to standard designs. The integration of high- passive components including cavity filters, which is not possible on the SOC approach, combined with the tight integration through SAWLIT, which results in very small interconnect loss, as opposed to the SIP approach, results in low-loss high-performance system functions unique to this technology. ACKNOWLEDGMENT The authors wish to acknowledge the support of Dr. M. Johnson, Purdue University, West Lafayette, IN.

REFERENCES [1] D. Weon, J. Jeon, J. Kim, S. Mohammadi, and L. P. B. Katehi, “High-Q integrated 3-D inductors and transformers for high frequency applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, vol. 2, pp. 877–880. [2] D. Weon, J. Kim, J. Jeon, S. Mohammadi, and L. P. B. Katehi, “High performance 3-D micro-machined inductors on CMOS substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, vol. 3, pp. 701–704. [3] M. F. Davis, S. W. Yoon, S. Mandal, N. Bushyager, M. Maeng, K. Lim, S. Pinel, A. Sutono, J. Laskar, M. Tentzeris, T. Nonaka, V. Sundaram, F. Liu, and R. Tummala, “RF-microwave multi-band design solutions for multilayer organic system on package integrated passives,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 3, pp. 2217–2220. [4] H. Sharifi, S. Mohammadi, and L. P. Katehi, “Self-aligned wafer level integration system,” U.S. Patent pending. [5] H. Sharifi, T. Choi, and S. Mohammadi, “Self-aligned wafer-level integration technology with high density interconnects and embedded passives,” IEEE Trans. Adv. Packag., vol. 30, no. 1, pp. 11–18, Feb. 2007. [6] T. Choi, H. Lee, L. P. Katehi, and S. Mohammadi, “A low phase noise 10 GHz VCO in 0.18 m CMOS process,” in Proc. Eur. Microw. Conf., Oct. 2005, pp. 273–276. [7] A. Hajimiri and T. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [8] E. Hegaji, H. Sjoland, and A. A. Abidi, “A filtering technique to lower oscillator phase noise,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2001, pp. 364–365. [9] L. Harle and L. P. B. Katehi, “A horizontally integrated micromachined filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, vol. 2, pp. 437–440. [10] X. Gong, A. Margomenos, B. Liu, W. J. Chappell, and L. P. B. Katehi, “High-Q evanescent-mode filters using silicon micromachining and polymer stereolithography (SL) processing,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, vol. 2, pp. 433–436. [11] B. Liu, X. Gong, and W. J. Chappell, “Applications of layer-by-layer polymer stereolithography for three-dimensional high-frequency components,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2567–2575, Nov. 2004. [12] X. Gong, W. J. Chappell, and L. P. B. Katehi, “Reduced size capacitive defect EBG resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 2, pp. 1091–1094. [13] H. Sjöland, A. Sanjaani, and A. Abidi, “A merged CMOS LNA and mixer for a WCDMA receiver,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 1045–1050, Jun. 2003. [14] B. Afshar and A. Niknejad, “X /Ku band CMOS LNA design techniques,” in Proc. IEEE Custom Integr. Circuits Conf., San Jose, CA, Sep. 2006, pp. 389–392.

Tae-young Choi (S’02–M’07) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1999, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 2002 and 2007, respectively. His research interests are RFICs for wireless applications.

Hasan Sharifi (S’05–M’06) received the B.S. degree in electrical engineering from the Iran University of Science and Technology, Tehran, Iran, in 1994, the M.S. degree from the University of Tehran, Tehran, Iran, in 1997, and is currently working toward the Ph.D. degree in electrical engineering at Purdue University, West Lafayette, IN. He is currently a Research Staff Member with the Birck Nanotechnology Center, Purdue University. His research interests are in the areas of advanced microelectronic packaging, RFIC design, and microfabrication and nanofabrication technologies.

CHOI et al.: 3-D INTEGRATION OF 10-GHz FILTER AND CMOS RECEIVER FRONT-END

2305

Hjalti H. Sigmarsson (S’01) received the B.S.E.C.E. degree from the University of Iceland, Reykjavik, Iceland, in 2003, the M.S.E.C.E., degree from Purdue University, West Lafayette, IN, in 2005, and is currently working toward the Ph.D. degree in electrical and computer engineering at Purdue University. His current research is focused on tunable filter design and fabrication, advanced packaging, polymer integration on silicon, and ceramic stereolithography. Mr. Sigmarsson is a member of the International Microelectronics and Packaging Society.

Saeed Mohammadi (S’89–M’92–SM’02) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2000. He is currently an Assistant Professor of electrical and computer engineering with Purdue University, West Lafayette, IN, where his group is currently involved in research in RF devices and circuits, RF packaging, and nanoelectronic technology. He has authored or coauthored over 80 refereed journal and conference papers in these areas. Dr. Mohammadi is an associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

William J. Chappell (S’98–M’02) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1998, 2000, and 2002, respectively. He is currently an Assistant Professor with the Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN. His research focuses on silicon micromachining, polymer formation, and low-loss ceramics for high-frequency circuits and antennas. In addition, his interests also include rapid prototyping, free-form fabrication, and small-scale formation of electrically functioning ceramic and polymer passive components. He also oversees projects investigating RF design for wireless sensor networks, chemical sensors, and electrotextiles. He is a member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. Dr. Chappell was the recipient of the 2004 Joel Spira Outstanding Educator Award and been designated as a Teacher for Tomorrow in his department at Purdue.

Linda P. B. Katehi (S’81–M’84–SM’89–F’95) is the Provost and Vice Chancellor for Academic Affairs and Professor of Electrical and Computer Engineering with the University of Illinois at Urbana-Champaign. Her research is focused on the development and characterization of 3-D integration and packaging of ICs with particular emphasis on microelectromechanical systems (MEMS) devices, high- evanescent mode filters, and the theoretical and experimental study of planar circuits for hybrid-monolithic and monolithic oscillators, amplifiers, and mixer applications. She has authored over 500 papers appearing in refereed journals and n symposia proceedings, as well as nine book chapters. She holds 13 U.S. patents. Prof. Katehi is a member of the National Academy of Engineering, the Nominations Committee for the National Medal of Technology, the Kauffman National Panel for Entrepreneurship, the National Science Foundation (NSF) Advisory Committee to the Engineering Directorate, and numerous other engineering and scientific committees. Her work has made her the recipient of numerous national and international technical awards and to distinctions as an educator.

Q

2306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Design of Cryogenic SiGe Low-Noise Amplifiers Sander Weinreb, Life Fellow, IEEE, Joseph C. Bardin, Student Member, IEEE, and Hamdi Mani

Abstract—This paper describes a method for designing cryogenic silicon–germanium (SiGe) transistor low-noise amplifiers and reports record microwave noise temperature, i.e., 2 K, measured at the module connector interface with a 50- generator. A theory for the relevant noise sources in the transistor is derived from first principles to give the minimum possible noise temperature and optimum generator impedance in terms of dc measured current gain and transconductance. These measured dc quantities are then reported for an IBM SiGe BiCMOS-8HP transistor at temperatures from 295 to 15 K. The measured and modeled noise and gain for both a single- and two-transistor cascode amplifier in the 0.2–3-GHz range are then presented. The noise model is then combined with the transistor equivalent-circuit elements in a circuit simulator and the noise in the frequency range up to 20 GHz is compared with that of a typical InP HEMT.



Index Terms—Cascode, cryogenic, low-noise amplifier (LNA), noise parameters, silicon–germanium (SiGe).

I. INTRODUCTION EMARKABLE cryogenic performance in terms of cutoff frequency ( 500 GHz) and noise figure (NF) (0.3 dB at 14 GHz) have recently been reported for silicon–germanium (SiGe) HBTs [1], [2]. The device physics leading to these results is documented in [3] and excellent reviews of the technology with hundreds of references are in a recent special issue of the PROCEEDING OF THE IEEE [4]. The focus of this paper is low-noise amplifiers (LNAs) for very low noise ( 0.1-dB NF) at frequencies under 20 GHz for applications where the noise in the generator (usually an antenna looking up) is well below 300 K. These applications are radio astronomy, space communications, low-temperature physics research, and IF amplifiers for cryogenic mixers operating above 100 GHz. Design of LNAs requires a knowledge of a set of four noise , , and are one set) parameters of the transistor ( and also the small-signal equivalent-circuit model. For the amplifiers and transistors under consideration, the following three problems arise. 1) For very low noise transistors, it is difficult to measure the NF (and, hence, noise parameters) with an accuracy better than 0.1 dB due to uncertainty in the noise generator and losses in the noise parameter measuring equipment. Thus, the error is of the order of the NF we wish to measure.

R

Manuscript received May 10, 2007; revised August 6, 2007. This work was supported by the Director’s Fund of the Jet Propulsion Laboratory, California Institute of Technology, under a contract with the National Aeronautics and Space Administration. The authors are with the Department of Electrical Engineering, California Institute of Technology, Pasadena, CA 91125 USA (e-mail: sweinreb@caltech. edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907729

2) For SiGe HBTs, there are wide ranges of size and collector current to be considered and basic parameters such as current gain and transconductance vary widely with temperature and collector current. Thus, it is difficult to select an optimum device size, collector current, and embedding circuit. 3) The transistor small-signal equivalent circuit is usually known at room temperature, but not at cryogenic temperatures. Our approach is to determine the transistor noise parameters from dc measurements, which are easily performed even at cryogenic temperatures. The operating temperatures, which are relevant and used for the measurements we report are: 1) 200 K for consideration of thermoelectric cooling; 2) 80 and 40 K for which small very long-life cryocoolers are available; and 3) 15 K, which is currently widely used with field-proven closed-cycle cryocoolers.1 An outline of this paper is as follows. 1) Derive from first principles a simple noise model, which only contains the noise mechanisms that dominate the cryogenic noise performance in the low microwave frequency range. This has been done many times in the past, but is repeated to reveal the underlying assumptions and to assure correctness for temperatures much different than 300 K. 2) The above low-frequency noise model requires only the knowledge of the current gain and transconductance as a function of collector current. Measurements of these quantities will be reported for SiGe transistors from the IBM SiGe BiCMOS-8HP 0.12- m process. 3) Using 1) and 2), the noise parameters are determined as at the temperatures of a function of collector current interest. 4) The models will be validated by measurement of the noise temperature and gain of a transistor in a 50- system, and , as a function of collector current and temperature. 5) The model will be extended by combining it with the smallsignal equivalent circuit, especially the internal HBT caand to determine the noise parampacitances and in the eters as a function of frequency. 0–20-GHz range will be compared with values for a typical InP HEMT. 6) A cascode amplifier operating from 0.5 to 3 GHz will be designed and measured as a function of frequency. II. SIMPLE LOW-FREQUENCY CRYOGENIC NOISE MODEL A low-frequency noise model that shows the dominant and are sources of noise is shown in Fig. 1. Here, the shot noise generators due to the dc base and collector 1Cryodyne model 350 cryocooler, CTI Cryogenics Div., Brooks Automation, Mansfield, MA.

0018-9480/$25.00 © 2007 IEEE

WEINREB et al.: DESIGN OF CRYOGENIC SiGe LNAs

2307

Fig. 1. Simple noise equivalent circuit of transistor.

bias currents. The correlation of these shot noise currents is controversial (see [5]and references therein), but our belief is that this correlation is negligible and that previous correlation measurements of input and output noise currents have been clouded by the correlation of terminal short circuit currents due and . to of the transistor as a function of The noise temperature generator resistance is equal to the temperature of , which will produce the same thermal noise as all the noise sources in the transistor. We thus equate the output mean-square shortof the generator thermal noise to the circuit current noise same quantity due to the internal noise sources (1) (2) can be determined in terms of useful parameters by , equating (1) and (2) and using the shot noise relation or collector current , where is either the dc base current , and the thermal noise relations the dc current gain and . The equation for is more easily understood if the fundamental constants and are expressed in convenient units V and K (independent of ambient temperature ) (3) The terms are thus, from left to right, the contributions of base resistance thermal noise, base current shot noise, and the collector current shot noise. There is an optimum value of , given below, which minimizes the noise, and this can be inserted , the noise minimum with respect to in (3) to give (4) In the case where the thermal noise of is negligible, which K, . The is especially true for , which can be achieved by the transistor minimum noise in any circuit configuration2 can be obtained by inserting (4) , valid for negligible into (3). A good approximate value of , is reached when the contribution from contribution from the base shot noise, proportional to is equal to the contribution from collector shot noise proportional to . In this case, (5) 2Lossless feedback can reduce the noise temperature of any amplifier to zero at the expense of power gain, but the noise temperature of cascaded stages, as expressed by noise measure, is invariant to lossless feedback [6].

which clearly shows the figure of merit for minimum noise. is much more difficult since is The selection of optimum a strong function of at cryogenic temperatures and is not quite linear with . Our procedure will be to measure and as functions of at the temperature of interest and then and using (3) and (4). determine The variation of with scaling of transistor size can also be determined from the measured dc data for one transistor by considering transistors in parallel, but operated at a total colat lector current . The measured single transistor and are then used to determine the and of the combination. The for the combination is then the same (since both and are both multiplied by ) and is given by times measured at . Thus, if was a linear function the of then would be invariant to for a given total . For , evaluate at the value measured at example if and determine as 10 times the measured at . parallel transistor case, From consideration of the is proportional to . From the measured data to follow, it will be shown that large transistors are desirable to bring close to 50 with in a current density range for high cryogenic . However, large transistors have high capacitance and a compromise dependant upon desired frequency and bandwidth is required. III. MEASUREMENTS OF AND AS FUNCTIONS OF AND TEMPERATURE A test strip of an IBM 8HP wafer processed in late 2006 was mounted with conductive epoxy in a coaxial test fixture, as shown in Fig. 2, and a 3 18 0.12 m HBT was bonded to coplanar waveguide (CPW) lines leading to subminiature (SMA) connectors and dc-bias tees. Two Keithley 2400 source meters were used in a computer-controlled setup to scan and , while measuring and . versus at six temperatures and Raw data showing versus curves at 15 K are shown in the Appendix. The main curve are the increase of threshold voltage attributes of the from approximately 0.8 V at 293 K to 1.05 V for temperatures below 40 K and an increase in slope ( ) with cooling. The curves show little change in with in the range of 0.2–1.2 V. The most important experimental results of this paper are as a funcsummarized in Figs. 3 and 4, which show and tion of and temperature. The increase in and by cooling from 293 to 40 K or less are remarkable. increases by a factor increases by 3.2 (10.1 dB). Applied of 20 (400–8000) and at a given by a factor of in (5), these factors reduce 14.3 IV. LOW-FREQUENCY CRYOGENIC NOISE AND GAIN MEASUREMENTS It is important to note that the internal shot and thermal noise current sources are independent of frequency, although the noise currents reaching the device terminals are dependent upon frequency due to internal parasitic reactances. Thus, we can determine the noise sources at low frequencies where the parasitic effects are negligible and then determine the higher frequency

2308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 3. = I =Ib as a function of collector current at six temperatures for an IBM 8-HP 54-m SiGe transistor. The peak cryogenic at Ic = 1000 A thus occurs at a current density of 0.15 mA/m , which is well below the current density for peak gm or F t. is a function of current density and, thus, for 0.12-m device would have peak for temperatures example, a 10 18 500 GHz,” in Proc. Indium Phosphide and Relat. Mater. Conf., May 2006, pp. 100–103. [13] F. Giannini and G. Leuzzi, Nonlinear Microwave Circuit Design. New York: Wiley, 2004. [14] K. Kurokawa, “Injection locking of microwave solid-state oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1386–1410, Oct. 1973.

Vesna Radisic (M’92–SM’04) received the B.S. degree from the University of Belgrade, Belgrade, Serbia in 1991, the M.S. degree from the University of Colorado at Boulder, in 1993, and the Ph.D. degree from the University of California at Los Angeles (UCLA), in 1998, all in electrical engineering. She is currently a Senior Section Head with the RF Product Center, Northrop Grumman Corporation, Redondo Beach, CA, where she mentors a team of MMIC design engineers, as well as pursues her own development efforts in millimeter-wave MMIC design. Her research interests include high-frequency circuits, wideband amplifiers, and passive components. Dr. Radisic was the recipient of the 2007 Outstanding Young Engineer Award.

Donald Sawdai (M’90) received the B.S. degree in electrical engineering (with distinction) from The Ohio State University, Columbus, in 1993, and the M.S.E. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. His graduate research emphasized InP npn and pnp HBTs. In 1999, he joined the Northrop Grumman Corporation (formerly TRW), Redondo Beach, CA, where he is currently involved with GaAs, InP, InAs, nitride, and antimonide-based HBTs and diodes. He has been involved with InP electronics for 14 years. He has authored or coauthored 60 papers and one book chapter. He holds four patents.

Dennis Scott (M’01) received the B.S. and M.S. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1996 and 1999, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of California at Santa Barbara. His doctoral research is focused on InP HBT device and process development and epitaxial growth. In 2004, he joined the Imaging Division, Rockwell Science Center. In 2005, he joined the Northrop Grumman Corporation, Redondo Beach, CA, where he is a Member of the Technical Staff with the HBT Section. His current research interests focus on InP HBTs.

RADISIC et al.: DEMONSTRATION OF 311-GHz FUNDAMENTAL OSCILLATOR USING InP HBT TECHNOLOGY

2335

William R. Deal (M’96–SM’06) received the B.S. degree in electrical engineering from the Virginia Polytechnic Institute and State University, Blacksburg, in 1996, and the M.S. and Ph.D. degree from the University of California at Los Angeles, in 1998 and 2000, respectively. He is currently a Senior Staff Engineer with the RF Product Center, Northrop Grumman Corporation, Redondo Beach, CA. He leads several MMIC development efforts, as well as developing his own microwave and millimeter-wave designs. He is actively engaged in developing electronics in GaAs, InP, antimonide-based compound semiconductor (ABCS), and GaN technologies. He has authored or coauthored over 60 journal and conference papers, as well as four book chapters.

Lorene Samoska (M’95–SM’04) received the B.S. degree in engineering physics from the University of Illinois at Urbana-Champaign, in 1989, and the Ph.D. degree in materials engineering from the University of California at Santa Barbara, in 1995. She was a Post-Doctoral Researcher with the University of California at Santa Barbara, where she was involved with the design and fabrication of state-of-the-art InP HBT microwave digital circuits. In 1998, she joined the Jet Propulsion Laboratory, Pasadena, CA, where she is currently a Senior Engineer involved in the design and testing of 100–400-GHz HEMT and HBT MMIC amplifiers for receivers and LO sources in future space missions.

Linh Dang received the B.S. degree in chemical engineering and M.S. degree in material science and engineering from the University of California at Los Angeles (UCLA), in 2000 and 2003, respectively. She is currently a Member of the Technical Staff with the Microelectronic Center, Northrop Grumman, Redondo Beach, CA, where she is responsible for InP/GaAs/GaN HEMT and HBT fabrication process development. She has authored or coauthored over 20 papers and patents.

Todd Gaier received the Ph.D. degree in physics from the University of California at Santa Barbara, in 1993. He is currently the Supervisor of the Microwave Astrophysics and Earth Science Systems Group, Jet Propulsion Laboratory (JPL), Pasadena, CA. His research interests include millimeter-wave electronics for applications in astrophysics and earth remote sensing. His group develops technologies and instruments using MMIC components operating at frequencies of 10–350 GHz.

Danny Li, photograph and biography not available at time of publication.

John Chen, photograph and biography not available at time of publication.

Andy Fung received the B.E.E., M.S.E.E., and Ph.D. degrees in electrical engineering from the University of Minnesota at Minneapolis–St. Paul, in 1993, 1995 and 1999, respectively. In 1999, he joined the Jet Propulsion Laboratory, California Institute of Technology, Pasadena. His research has involved the development of InP HBTs and GaAs Schottky diodes for millimeter- to submillimeter-wave applications. His current interest is in the development of high-frequency test methods.

Richard Lai (M’85–SM’01) received the Ph.D. degree from The University of Michigan at Ann Arbor, in 1991. He possesses 20 years of experience in the research, development, and production of advanced GaAs- and InP-based HEMT device and MMIC RF technologies. Since 1994, he has been the Principal Investigator for advanced HEMT research and development with the Northrop Grumman Corporation (formerly TRW), Redondo Beach, CA. He has authored or coauthored over 150 total papers and conference presentations in the area of advanced GaAs- and InP-based device and circuit technology, establishing world-record performance for the lowest noise amplifiers, highest frequency amplifiers, and highest power amplifiers. He holds numerous patents.

2336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading Serkan S¸ims¸ek, Student Member, IEEE, and Ercan Topuz

Abstract—Some new results are presented on the properties of generalized scattering matrix representations for metallic waveguides with axially periodic dielectric loadings. A unified framework is given for describing the frequency dependence and for identifying the emergence of propagating, nonpropagating, and complex Floquet modes in single mode, as well as multimode, regions. Two new conservation relations are formulated for the generalized scattering matrix of the unit cell in lossless periodic structures. One of these relations provides a convenient means for checking the correctness of the values of calculated matrix elements, while the other relation yields accurate estimates for the stopband–passband transition frequencies located within single Floquet-mode regions. Index Terms—Complex modes, dispersion diagram, generalized scattering matrix, modal analysis, periodically loaded waveguide.

I. INTRODUCTION

P

ROPAGATION of waves in periodic structures has long been an area of interest for physicists and engineers alike [1]–[5]. Periodically loaded waveguides can be considered as one of the simplest subsets [4] of periodic structures, which exhibit 1-D discrete translational symmetry and which can be completely defined by specifying the loading over an interval equal to the period , or in other words, by specifying the unit cell of the structure. Propagation characteristics of such waveguides play an important role in the design problems encountered in many engineering applications: slow-wave structures, filters, phase shifters, polarizers, impedance-matching devices, antennas, antenna feeds, and pulse compressors, to name a few [6]–[11]. Periodicity encountered in such devices is comprised of a cascade of a limited number of unit cells connected to appropriate terminations. The unit-cell approach of analysis then provides an approximate description of device propagation characteristics, the accuracy of which improves with the number of unit cells utilized in the design. More then often, the actual device design involves a fine tuning of the parameters characterizing the unit cell and the terminations via a multiparameter optimization scheme. Manuscript received April 10, 2007; revised July 25, 2007. This work was supported in part by the Scientific and Technical Research Council of Turkey under a Ph.D. support grant. The authors are with the Department of Electronics and Communications Engineering, Istanbul Technical University, TR-34469 Maslak, Istanbul, Turkey (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908658

The generalized scattering matrix representation of the unit cell in terms of the modes of the uniform waveguide provides a convenient and efficient approach, which has been used by several researchers in addressing a fairly broad range of problems involving periodically loaded waveguides [12]–[14]. The results reported in the literature on propagation in periodically loaded waveguides also include investigations of the features of the eigenvalue spectrum of Floquet modes supported by such structures [12], [15], [16], as well as derivations of certain conservation relations satisfied by the generalized scattering matrix in the absence of losses [17]–[22]. This study aims to extend the grounds thus far covered in both of the above-mentioned areas. In trying to achieve this goal, we first lay down a systematic framework for describing frequency dependence of eigenvalues in periodically loaded waveguides within passbands and stopbands in both single-mode and multi-Floquet-mode regions. In this context, we give special emphasis to the behavior of complex Floquet modes characterized by complex propagation constants. We obtain necessary conditions for the emergence of complex modes in periodic structures in cases where the spectra of the uniform waveguide sections defining the unit cell do not involve complex eigenvalues. We then present a new conservation relation satisfied by the generalized scattering matrix in lossless periodic structures, which provides a convenient means for checking the correctness of the calculations. Probably the most interesting contribution of this paper, from an applicational point of view, is the formulation of an approximate relation between the elements of the generalized scattering matrix of a symmetric unit cell, which can be used to obtain rather accurate estimates for stopband–passband transitions frequencies in single Floquet-mode regions. The proposed approach can be implemented in a very efficient manner, without having to impose a Floquet condition and solve the resulting eigenvalue equation. For the purpose of demonstrating the validity and applicability of the several points made in this paper, we present some typical results for the calculated behaviors of the eigenvalues and eigenfunctions of Floquet modes, and for the dispersion diagrams. We also give estimated values of the band-edge frequencies obtained via the proposed approximation scheme and investigate their dependence on the parameters of the unit cell. II. EIGENVALUE SPECTRUM We consider the propagation of time–harmonic waves in a uniform metallic waveguide loaded with lossless dielectric

0018-9480/$25.00 © 2007 IEEE

S¸ IMS¸ EK AND TOPUZ: SOME PROPERTIES OF GENERALIZED SCATTERING MATRIX REPRESENTATIONS FOR METALLIC WAVEGUIDES

2337

regions characterized by relative dielectric constants and axial extents , in an axially periodic arrange. The transfer characteristics ment with a period of of such a structure is completely determined by its unit cell and defined as the section of the waveguide bounded by planes. be , Let the transverse fields at and , , respectively. Invoking the Floquet theorem, one can then write (1) At the given frequency, the eigenvalue is determined by the unit cell and is independent of the choice of used in defining the terminal planes. This freedom can be used to considerably simplify the formulation of the eigenvalue problem in cases when the unit cell can be chosen in such a way as to exhibit reflection or glide reflection symmetries [23]. The eigenvalues , are, in general, complex and appear in quadruples as , , and , where denotes the complex conjugate [12], [17]. The solution corresponding to each is referred to as a Floquet mode to emphasize the discrete translational invariance exhibited by the modal fields when observed at axial planes apart, i.e., at the terminal planes of unit cells. The interactions between successive discontinuities may allow transmission of waves along the periodic structure for certain frequency ranges while prohibiting transmission in others, thereby resulting in the emergence of passbands and stopbands in the frequency domain. Passbands and stopbands are characterized, respectively, by the condition as to whether or not the periodic structure supports at least one propagating Floquet mode within the frequency range considered. Clearly, for a Floquet mode to propagate at a given frequency the corresponding eigenvalue should , and correspond to a pure phase factor. We then have to the pair the eigenvalues reduce from the quadruple with . Hence, we write (2) Choosing time dependence, we identify the propagating wave solutions corresponding to the and signs in (2) as waves propagating, respectively, in the positive and negative -directions with the Floquet phase factor . The mapping of the -plane into the -plane is shown in Fig. 1. We note that the eigenvalues of a propagating mode lie on the unit circle in the -plane and move in opposite directions as the frequency is swept through the passband. When the mode undergoes a cutoff transition, the corresponding eigenvalues merge and subsequently move away from the unit circle. Hence, a cutoff transition can only happen in one of the following two distinct ways. 1) Within the frequency region, wherein the periodic structure supports a single propagating mode cutoff occurs when the pair of eigenvalues corresponding to fields propagating - and -directions merge at in the (or 1) [4]. Beyond cutoff, and move in opposite directions, towards and away from the origin, while remaining on the real -axis. The eigenvalues are related as , where and correspond to fields - and -directions, respectively. In evanescent in the

Fig. 1. Mapping of -plane into  -plane.

the -plane, this transition results in passband/stopband (or ). Thus, edges, which are located at in single-mode regions, cutoff transitions can occur only or in Fig. 1. at points designated by 2) In multimode regions, cutoff transitions may also occur at general points on the unit circle in the -plane, resulting in passband/stopband edges in the -plane that do not coor . Such a transition point incide with is indicated by in Fig. 1. To illustrate this transition phenomenon, let us consider two propagating modes de, and , scribed by the pairs , , and assume that as the frequency is varied, and approach each other, merge at a certain value of , and undergo cutoff transitions. Beyond cutoff, the four eigenvalues move away from the unit circle and they and with . can be expressed as These eigenvalues correspond to complex values and, hence, the resulting solutions are called complex modes. It is well known that complex modes may exist under certain conditions in the eigenvalue spectrum of dielectric [17] or metamaterial [24] loaded waveguides. It should, however, be noted that the unit cell need not support complex modes for the emergence of complex modes in periodic structures [12]. Even when the unit cell consists lossless inhomogeneously loaded sections , of , none of which support complex spectra, the periodic structure may yield Floquet modes with complex eigenvalues in certain frequency regions. Such an example is treated in Section IV-B. We would like to make two additional remarks in relation to complex modes in periodic structures, which are: 1) the emergence of complex modes can be identified by the presence of cutoff transitions at in the dispersion diagram and 2) in order to obtain spectral objects with physical content, one needs to treat the conjugate pair of two complex modes as a single entity contributing to the evanescent field in stopbands in much the same way as an ordinary Floquet mode beyond cutoff [16]. III. SOME PROPERTIES OF GENERALIZED SCATTERING MATRIX Propagation characteristics of a periodically loaded waveguide can conveniently be described via the generalized -matrix of the unit cell [20], [21]. In constructing scattering

2338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

that the -matrix of the unit cell is given and try to establish some general characteristics of the -matrix representations in lossless reciprocal periodic structures. Utilizing (5) in (6), one obtains the eigenvalue equation for the periodic structure [13] Fig. 2. Definitions of amplitude and reflection parameters at the ports of the unit cell.

the -matrix representation for the unit cell, we consider, without loss of generality, that the references at the input and output ports are defined with respect to the empty waveguide. be a TE or TM type eigenfunction of the uniform Let empty waveguide normalized as (3) denotes the where , , stand for (double) mode indices, Kronecker’s delta, and the integral runs over the cross section of the empty waveguide. The transverse field components at and output ports of the the input unit cell can be represented in terms of the eigenfunctions of the empty guide as

(7) where denotes the unit matrix. Scanning the frequency and determining the eigenvalues at each step, one can calculate the - (dispersion) diagram and obtain passband and stopbands, which as noted above, are characterized by the presence and absence of at least one propagating mode corresponding to the . pair of eigenvalues , On the other hand, using (4), the complex power , stored within the unit cell, can be expressed as (8a) with

(8b) (4) where suffix denotes transpose operator, denotes the column vector of modal functions, denotes the diagonal matrix of modal impedances, and , correspond to column vectors of modal amplitudes of the waves propagating, respectively, inward and outward at the ports of the unit cell, as shown in Fig. 2. It should be noted that with the above normalization, the elements of and corresponding to propagating modes in the empty waveguide provide a measure for inward and outward directed (real) power flows at the ports of the unit cell. Using (1) in (4), the Floquet condition can be written in the alternative form (5) Modal amplitudes and are connected via the generalized -matrix: . Truncating the representations given in (4) at modes yields a by -matrix, which, for convenience, will be partitioned into by sub-matrices as (6) The calculation of the elements of the -matrix requires fullwave analysis of the unit cell projected on the basis of the modes of the empty guide. In general, this is a formidable task; however, different techniques are developed for obtaining rather efficient -matrix representations for a fairly broad group of loaded waveguide problems encountered in practical applications [25]–[28]. In the remainder of this section, we will assume

denotes an diagonal matrix with elements where , respectively, equal to 1 for propagating modes and and for TE and TM type cutoff modes of the empty guide. Clearly, in lossless structures, the real part of should vanish identically and, hence, the elements of should be related as [21]. On the other hand, as outlined in Appendix I, it is quite straightforward to show that vanishes identically within the passbands and assumes finite imaginary values within stopbands. This property of can easily be anticipated on physical grounds, considering the binary 1/0 nature of transmission within passbands/stopbands of a periodic structure and the fact that represents the complex power stored in the unit cell expressed in the orthogonal basis of the modes of the empty guide. Thus, calculating over the frequency range of interest, one can determine the exact locations of passband/stopband edges by observing its zero transitions. However, unless it is modified in some way, this alternative approach will provide no advantages over the conventional approach of calculating the dispersion diagram since the calculation of via (8) already involves eigenvectors and, hence, the solution of the eigenvalue equation give in (7). In the following, we will show that by taking passes through zero precisely at advantage of the fact that the frequencies corresponding to band edges, one can work out an approximate procedure for locating band-edge frequencies from the sole knowledge of the -matrix of the structure without having to solve for eigenvalues at each frequency step. It will be demonstrated in Section IV that the proposed new approach provides sufficiently accurate estimates for band-edge frequencies and may, therefore, be used to significantly reduce the computational task of the parameter optimization cycles involved in

S¸ IMS¸ EK AND TOPUZ: SOME PROPERTIES OF GENERALIZED SCATTERING MATRIX REPRESENTATIONS FOR METALLIC WAVEGUIDES

the design of quasi-periodic structures with given transmission characteristics [7]. In the following, we will consider a symmetrical unit cell, where (9) In view of the symmetry of the unit cell, the solutions can be broken down into even and odd symmetric constituents corresponding to even and odd symmetric excitations and and

for even excitation for odd excitation

When we denote the th even and odd modes, respectively, by, and , the Floquet mode at reference plane can then be expressed as (10a) and are constant at a given frequency. At this where on the second reference plane at frequency, the field will read (10b) Floquet’s theorem states that these fields should be related as . In single-mode regions, at passband–stopband transitions, and we conclude that as the frequency reaches or should vanish a value corresponding to a band edge, for all (or ) and the field at the reference planes exhibits a pure standing-wave pattern of even or odd symmetry. Hence, in single-mode regions, band edges can be identified by vanishing of the complex power stored by even or odd modes, which can be expressed as

(11a) and

(11b) We assume that the generalized -matrix representation is constructed utilizing modes of the empty waveguide, out of which are propagating and are nonpropagating at the frequency of interest. We further assume that precedence is given to propagating modes in assigning numbers to the input and output ports of the -port used for obtaining the -matrix representation. Clearly, the sub-matrix connecting the output and input ports corresponding to propagating waveguide modes should be unitary in a lossless structure, a requirement imposed by the conservation of (real) power. However, except for those not accounting for periodicity [18], [19] and those requiring additional renormalization operations [21], no conservation relationship has thus far been reported in the literature, which involves the remaining elements of the generalized -matrix of the unit cell.

2339

In Appendix II, we show that such a relationship can be obtained as (12) where the subscripts refer to matrix sizes and with and signs corresponding to even and odd symmetric modes, respectively. In practice, more then often Floquet mode representations involve only one propagating waveguide mode within the frequency regions of interest. It is also shown in Appendix II that, within this region, the approximate values of the band-edge frequencies in a periodic structure can be obtained via the condition

(13) where denote the elements of the generalized -matrix and the subscript represents the input port corresponding to the first (dominant) propagating waveguide mode. Thus, or the frequencies that coincide with the roots of either provide a very convenient, albeit approximate, means for determining band-edge frequencies. It should be noted that the approximations made in deriving (13) limit its applicability to single-mode regions. Within these regions, the approximate values of the band-edge frequencies of a periodic structure can be rather quickly calculated via a root-finding routine without having to perform the much harder computational task of scanning the frequency and solving (7) at each frequency step. As will be demonstrated in Section IV, our numerical results indicate that (13) provides very accurate estimates for band-edge frequencies in regions wherein the periodic structure supports a single propagating Floquet mode. IV. NUMERICAL IMPLEMENTATION EXAMPLES Here we consider a periodic arrangement of dielectric loaded sections in a rectangular waveguide, as depicted in Fig. 3, and present numerical results to better clarify the points made in this paper. In the calculations, we considered the standard WR-90 cm, loaded periodguide with a larger dimension of ically along the axial -direction with inhomogeneous dielectric sections of length , separated by empty sections of length , where denotes the period. The choice of then yields a symmetric unit cell. The inhomogeneous loading is modeled via three lossless homogeneous dielectric layers with different thicknesses along the direction parallel to the larger side wall, while extending from wall to wall in the perpendicular direction. By proper choice of the dielectric constants and thicknesses of the dielectric strata, the arrangement shown in Fig. 3 may be used to model a fairly broad range of problems encountered in practice. Although the assumed inhomogeneous loading does not support complex waveguide modes, (7) may, however, yield complex eigenvalues at certain frequency ranges, indicating the presence of complex Floquet modes. We further note that since the structure is invariant along the -direction, the detype) waveguide modes supported termination of the ( both in the empty and dielectric loaded sections are quite

2340

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 3. Periodically loaded rectangular waveguide.

straightforward [28]. Thus, the generalized scattering matrix of the periodic structure can easily be determined via application of the standard mode-matching technique, and Floquet modes can be efficiently represented utilizing expansions involving a fairly small number of waveguide modes. The results presented below were obtained utilizing ten waveguide modes in both empty and loaded sections, which proved to yield better than three-digit accuracy in both the eigenvalues and eigenfunctions of Floquet modes for the range of parameters used in the calculations.

Fig. 4. Dispersion diagram for the lowest order Floquet mode in homoge(in arbitrary units). neously loaded waveguide and variation of

X

A. Homogeneous Loading We first consider the familiar [2] canonical 1-D periodic structure obtained by setting in Fig. 3. Homogeneous dielectric loading induces no coupling between the waveguide modes and, hence, results in a drastic simplifiand of the cation of the problem. The sub-matrices generalized -matrix reduce to diagonal matrices. The th and correspond to the reflection diagonal elements of and transmission coefficients of the th mode, to be denoted for the by and , respectively. The eigenvalues th Floquet mode depend only on the -matrix elements corresponding to the th waveguide mode, and can be determined via (14a) For homogeneous loading, (13) reduces to (14b) and becomes an exact rather then approximate relation. A dispersion diagram of the lowest order Floquet mode is plotted in , for , , and Fig. 4, together with . It should be noted that, in homogeneously loaded waveguides, the variation of a Floquet mode in the transverse plane is identical with that of the corresponding waveguide mode; hence, each waveguide mode can be thought to selectively “excite” a Floquet mode. As the frequency is increased, the periodic structure will support more than one Floquet mode, and each such mode yields a separate dispersion curve similar to a shifted version of that plotted in Fig. 4 toward higher frequencies. It should also be noted that complex Floquet modes are not supported in the present case and, hence, for any Floquet mode, or at , and all band edges are located either at that the band-edge frequencies coincide exactly with the zeros or given in (14b). of either

Fig. 5. Dispersion diagram for inhomogeneously loaded waveguide.

B. Inhomogeneous Loading We next consider an inhomogeneously loaded unit cell. In all of the following calculations, we will assume that the dielectric constants and positions of dielectric layers are fixed at values , , , and , , and treat the axial lengths of the dielectric loaded and empty waveguide sections as the sole variable parameters of the unit cell depicted in Fig. 3. The dispersion diagram corresponding , and is given in to the choice of Fig. 5. Note that, although except for the removal of a substantial part of the dielectric loading, these parameters are identical to those used for the homogeneous case treated above. The dispersion diagrams shown in Figs. 4 and 5 display radically different features due to the coupling between waveguide modes induced by the inhomogeneous loading. An interesting observations that can be made from Fig. 5 is the emergence of a passband–stopband transition at around . 11.7 GHz, which occurs at a value different from 0 and Following the line of reasoning given in Section II, we conclude that this transition should necessarily involve complex modes. To further clarify this point, we present in Fig. 6(a) and (b) the root loci of the eigenvalues around this cutoff transition. From Figs. 5 and 6, it can clearly be seen that this transition occurs in

S¸ IMS¸ EK AND TOPUZ: SOME PROPERTIES OF GENERALIZED SCATTERING MATRIX REPRESENTATIONS FOR METALLIC WAVEGUIDES

2341

Fig. 7. Variation of the amplitude and phase of the two Floquet modes correrad and  = e rad at f = 11:9 GHz. sponding to  = e Fig. 6. Root loci of the eigenvalues of (7) in the -plane for a passband–stopband transition involving complex modes.

exactly the same way as described in Section II. Fig. 5 shows that upwards 8.5 GHz the structure supports a single propagating Floquet mode. With increasing frequency, the pair of eigenvalues -directions move towards describing fields propagating in around 11.5 GHz, and each other, merge at undergo a cutoff transition while initially remaining on the real -axis, as depicted in Fig. 6(a). Fig. 6(b) shows that, as the frequency increases towards 11.5 GHz, the pair of eigenvalues of another Floquet mode move from deep cutoff toward from both sides of the real axis. Thus, in the narrow interval between 11.5–11.52 GHz, there are two ordinary nonpropagating Floquet modes characterized by real eigenvalues and . At around 11.52 GHz, the eigenvalues move into the complex -plane as conjugate pairs, and as the frequency is further increased to reach approximately 11.77 GHz, an element of a conjugate pair merges with the corresponding element of circle at points corresponding the other pair on the . Fig. 5 shows that, in a frequency interval of to approximately 600 MHz above this transition, the structure supports two propagating Floquet modes. The variation of the amplitude and phase of the -field at the terminal planes and at

air/dielectric interfaces are given in Fig. 7 for both of these modes GHz. It should be noted that, contrary to the and for homogeneous case, the propagating Floquet modes supported by inhomogeneously loaded waveguides are not orthogonal to each other, i.e., for the eigenvectors of (7) corresponding to prop. agating modes and , one has This is not relevant for the idealized case of perfect periodicity where the Floquet condition would preclude the coexistence of more than one propagating mode. On the other hand, for the truncated periodic structures used in practice, the frequency response of the structure will display the interference between co-propagating “quasi-Floquet” modes. In Table I, we present the approximate values of passand band–stopband transition frequencies, i.e., the roots , obtained from (13) via a root-finding routine, for all four transitions, which occur within the “single propagating Floquet mode” interval, together with the associated errors determined by solving the eigenvalue (7). The use of (13) rather then (7) in determining the band-edge frequencies shortens the computer time by a factor of approximately 100. On the other hand, as seen from Table I, except for the two higher band-edge frequencies, which happen to be rather close to the “two propagating Floquet mode” region investigated above, the accuracies provided by using (13) are better than 0.05%. The presented

2342

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

TABLE I ERRORS INCURRED IN DETERMINING PASSBAND–STOPBAND TRANSITIONS IN FIG. 5 VIA (13)

Fig. 9. Dispersion diagram of the periodic structure for )=A = 0:24.

d=A

= 0:5, (p

=

p

Fig. 8. Estimation of passband and stopband regions with variation of the roots . of

X

approximation approach is, therefore, expected to provide substantial computational advantage in many applications, and in particular, in the design of waveguide devices containing large numbers of unit cells. To demonstrate the applicability of this approach, in Fig. 8, , calculated via a we present the variation of the roots of standard root-finding routine, with relative lengths of dielec) and empty sections of the unit tric loaded ( cell versus frequency for the same width and position of the dielectric as used in calculating Figs. 5–7. In other words, a freand quency, say, , corresponding to specified values indicates that (13) has a root at . It should be noted that similar parametric representations may with also be readily obtained for the variation of the roots of the values of the dielectric constants of the layers in the loaded region and their positions (see Fig. 3), and may be used to gain an overall picture of the effects of the various parameters on the propagation behavior of the periodic structure. In this context, Fig. 8 may be consulted to estimate the lengths of dielectric loaded and empty sections of the unit cell, which need to be used for obtaining stopbands at different frequencies and with widths varying in a fairly broad range. This is illustrated in Fig. 8 for a stopband with lower edge is set at 8.8 GHz. As a specific example, one can infer that choosing as 0.5 A as 0.24 A would lead to a stopband extending and from 8.8 to 9.25 GHz. The dispersion diagram of the periodic structure corresponding to this choice of parameters is depicted

Fig. 10. Comparison of HFSS and MM-GSM results of finite periodic structure. 50 cascaded unit cells, parameters as those used in Fig. 9.

in Fig. 9, which clearly shows that the band-edge frequencies are indeed located quite close to their estimated values. For the purposes of verification, we present in Fig. 10 the variation of the amplitude of the transmission coefficient in a “quasi-periodic” structure defined by a cascade of 50 unit cells connected to empty waveguide sections at both ports. The parameters used for this figure are the same as those used for Fig. 9. Results calculated via our code in approximately 5 min, utilizing an expansion over ten modes of the empty guide, are seen to be in perfect agreement with those calculated via an independent commercial software package [Agilent’s High Frequency Structure Simulator (HFSS)] in more than 8 h. It is also worth noting, that due to the fairly large number of cascaded unit cells, the structure clearly displays the stopband behavior around 9 GHz, corresponding to the stopband shown in Fig. 9 with band edges at 8.8 and 9.25 GHz.

S¸ IMS¸ EK AND TOPUZ: SOME PROPERTIES OF GENERALIZED SCATTERING MATRIX REPRESENTATIONS FOR METALLIC WAVEGUIDES

V. CONCLUSIONS The propagation properties of waveguides periodically loaded with inhomogeneous lossless dielectric regions have been investigated using generalized -matrix representations obtained via the mode-matching approach. A unified framework is given for describing the frequency dependence and for identifying the emergence of propagating, evanescent, and complex Floquet modes in single-mode, as well as multimode regions. A new conservation relation is formulated for generalized scattering matrix, which eliminates the need for renormalization operations in checking the correctness of calculations. An approximate conservation type relation is obtained for the generalized scattering matrix of a unit cell. This relation provides accurate estimates for the stopband–passband transition frequencies within single propagating Floquet-mode regions of symmetric structures in a very efficient manner. Numerical results obtained for a typical periodically loaded waveguide are in perfect agreement with the theory and demonstrate the validity, applicability, as well as the numerical efficiency of the proposed approach.

2343

It can be shown that both factors in the square parenthesis in (A2.1) vanish and, hence, as dictated by the (real) power conalso in the stopbands. On servation requirement, the other hand, the factors in the square parenthesis in (A2.2) when . We assume finite values and, hence, thus deduce that the band-edge frequencies can be determined exactly by observing the zero crossings of the complex power stored in the unit cell. APPENDIX II To obtain the conservation relations satisfied by the elements of the generalized -matrix, we start by defining (A.3) where superscript denotes the transpose operator and subrun over the propagating and scripts and nonpropagating waveguide modes utilized in representing the Floquet mode, respectively. in (11a) can then be written as (A4.1)

APPENDIX I In the following, we will prove that, in a lossless periodic structure, the complex power stored by a Floquet mode in the unit cell vanishes identically within passbands and assumes finite values in the stopbands. We note that by making use of (3)–(5), one can express the complex power stored within a unit cell by the Floquet mode corresponding to the eigenvalue as

with

(A1) where the summation is over the waveguide modes used in the and refer to the amplitude representation given in (4), coefficients of the th-mode constituents at the input port (see , , and for propagating, and TE and Fig. 2), and TM type evanescent modes, respectively. It is immediately apparent from (A1) that no complex power is stored in the unit cell by propagating Floquet modes. To express the complex power stored in the unit cell by a nonpropagating Floquet mode , we assume that waveguide modes are used in the are proparepresentation given in (4), out of which the first gating at the given frequency, and write the real and imaginary , and as parts of ,

(A4.2) where subscripts refer to matrix sizes. We note that in (11a) can also be expressed as above, provided that the definition of is modified by changing the sign of , i.e., . Substituting and obtained as above into (11a) and imposing repower conservation requirement sults in the unitarity condition for the sub-matrices corresponding to propagating waveguide modes, and for the remaining elements of the generalized scattering matrix, one obtains (12), (A5)

(A2.1)

(A2.2)

It should be noted that conservation relations between propagating and nonpropagating elements of the -matrix have been reported in the literature in the 1960s [18], [19]. These early investigations also address the characteristics of generalized scattering matrix representations for discontinuities in waveguides. The conservation relations obtained in [18] and [19] do, however, differ in certain aspects from that given in (A5) since they do not account for the additional boundary conditions considered here, as imposed by the periodicity and/or symmetry constraints.

2344

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

On the other hand, as shown in the text and Appendix I, the or should pass through zero exactly at imaginary part of and provide measures for band-edge frequencies. Since the complex power stored in the unit cell by the Floquet mode constituents exhibiting even and odd symmetries, one would expect that the dominant contribution to the stored complex power could be expressed utilizing an approximation involving only the propagating modes of the empty waveguide as (A.6) denotes the imaginary part, and it should be recalled where in (A.6) is defined differently for and . We further that note that, in the frequency regions of interest, for most practical applications, the -matrix representation would contain one propagating mode of the empty waveguide. One then recovers (13) upon utilizing the definition for the elements of and the fact that or vanishes as the frequency approaches a passband–stopband transition. ACKNOWLEDGMENT The authors would like to thank the reviewers for their constructive comments and suggestions. REFERENCES [1] L. Brillouin, Wave Propagation in Periodic Structures, 2nd ed. New York: Dover, 1953. [2] R. E. Collin, Field Theory of Guided Waves. New York: McGrawHill, 1960. [3] C. Kittel, Introduction to Solid State Physics, 3rd ed. New York: Wiley, 1967. [4] S. G. Johnson and J. D. Joannopoulos, Photonic Crystals: The Road from Theory to Practice. Boston, MA: Kluwer, 2002. [5] K. Yasumoto, Ed., Electromagnetic Theory and Applications for Photonic Crystals. Boca Raton, FL: Taylor & Francis, 2006. [6] V. Kesari, P. K. Jain, and B. N. Basu, “Modelling of axially periodic circular waveguide with combined dielectric and metal loading,” J. Phys. D, Appl. Phys., vol. 38, pp. 3523–3529, 2005. [7] F. Arndt, J. Bornemann, and R. Vahldieck, “Design of multisection impedance-matched dielectric-slab filled waveguide phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 1, pp. 34–39, Jan. 1984. [8] M. S. Navarro, T. E. Rozzi, and Y. T. Lo, “Propagation in a rectangular waveguide periodically loaded with resonant irises,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 8, pp. 857–865, Aug. 1980. [9] S. Amari, R. Vahldieck, and J. Bornemann, “Analysis of propagation in periodically loaded circular waveguides,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag, vol. 146, no. 1, pp. 50–54, Feb. 1999. [10] P. J. B. Clarricoats and A. D. Olver, Corrugated Horns for Microwave Antennas. London, U.K.: Peregrinus, 1984. [11] E. C. Thirios, D. I. Kaklamani, and N. K. Uzunoglu, “Microwave pulse compression using a periodically dielectric loaded dispersive waveguide section,” Electromagnetics, vol. 26, no. 5, pp. 345–358, Jun. 2006. [12] S. Amari, R. Vahldieck, J. Bornemann, and P. Leuchtmann, “Spectrum of corrugated and periodically loaded waveguides from classical matrix eigenvalues,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 453–460, Mar. 2000. [13] R. Lech and J. Mazur, “Propagation in rectangular waveguides periodically loaded with cylindrical posts,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 177–179, Apr. 2004. [14] A. S. Omar and K. F. Schunemann, “Transmission matrix representations of finline discontinuities,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 9, pp. 765–770, Sep. 1987. [15] A. S. Omar and K. F. Schunemann, “Complex and backward-wave modes in inhomogeneously and anisotropically filled waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 3, pp. 268–275, Mar. 1987.

[16] T. Rozzi, L. Pierantoni, and M. Farina, “Eigenvalue approach to the efficient determination of the hybrid and complex spectrum of inhomogeneous, closed waveguide,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 345–353, Mar. 1997. [17] T. Rozzi, L. Pierantoni, and M. Farina, “General constraints on the propagation of complex waves in closed lossless isotropic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 512–516, May 1998. [18] H. Haskal, “Matrix description of waveguide discontinuities in the presence of evanescent modes,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 3, pp. 184–188, Mar. 1964. [19] H. D. Knetsch, “Beitrag zur theorie sprunghafter querschnitts-veraenderungen von hohlleitern,” Arch. Elektr. Uebertrag., vol. 22, no. 12, pp. 591–600, Dec. 1968. [20] G. V. Eleftheriades, A. S. Omar, L. P. B. Katehi, and G. M. Rebeiz, “Some important properties of waveguide junction generalized scattering matrices in the context of the mode matching technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1896–1903, Oct. 1994. [21] A. Morini and T. Rozzi, “On the definition of the generalized scattering matrix of a lossless multiport,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 160–165, Jan. 2001. [22] H. K. Liu and T. L. Dong, “Propagation characteristics for periodic waveguide based on generalized conservation of complex power technique,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3479–3485, Sep. 2006. [23] S. Amari, R. Vahldieck, and J. Bornemann, “Accurate analysis of periodic structures with an additional symmetry in the unit cell from classical matrix eigenvalues,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1513–1515, Oct. 1998. [24] H. Cory and A. Shtrom, “Wave propagation along a rectangular metallic waveguide longitudinally loaded with a metamaterial slab,” Microw. Opt. Technol. Lett., vol. 41, no. 2, pp. 123–127, Apr. 2004. [25] T. Shibata and T. Itoh, “Generalized scattering matrix modeling of waveguide circuits using FDTD field simulations,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1742–1751, Nov. 1998. [26] A. B. Yakovlev, A. I. Khalil, C. W. Hicks, A. Mortazawi, and M. B. Steer, “The generalized scattering matrix of closely spaced strip and slot layers in waveguide,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 126–137, Jan. 2000. [27] K. L. Wu and H. Wang, “A rigorous modal analysis of -plane waveguide T-junction loaded with partial-height post for wideband applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 893–901, May 2001. [28] S. Simsek, C. Isik, E. Topuz, and B. Esen, “Determination of the complex permittivity of materials with transmission/reflection measurements in rectangular waveguides,” AEU Int. J. Electron. Commun., vol. 60, no. 9, pp. 677–680, Oct. 2006.

H

Serkan S¸ ims¸ek (S’07) was born in Amasya, Turkey, in 1979. He received the B.S. degree in electrical and electronics engineering from Istanbul University, Istanbul, Turkey, in 2001, the M.S. degree in electronics and communication engineering from Istanbul Technical University, Istanbul, Turkey, in 2003, and is currently working toward the Ph.D. degree at Istanbul Technical University. He is a Research Assistant with the Department of Electronics and Communication Engineering, Istanbul Technical University. His current research interests are guided-wave theory, microstrip antennas, and microwave measurement techniques. Ercan Topuz received the M.S. and Ph.D. degrees in electronics and communication from Istanbul Technical University, Istanbul, Turkey, in 1965 and 1973, respectively. He is currently the Head of the Department of Electronics and Communications Engineering, Istanbul Technical University. From 1978 to 1980 and 1984 to 1985, he held post-doctoral positions and visiting professorships with the Polytechnic University of New York. He has authored or coauthored over 70 technical papers in books, journals, and conferences. His research interests include theoretical and computational electromagnetics, microwave/optical devices and systems. Dr. Topuz is a member of the Electromagnetics Academy.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2345

Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides John S. Wei, Member, IEEE

Abstract—This paper presents a compact analytical solution to the conventional coupled-mode equations for a large parallel coupled array of identical dielectric waveguides. Such arrays could be used as couplers in millimeter-wave or fiber-optic communication. The approach here is to characterize the array response to a signal injected into single waveguide first. This approach drastically simplifies the double Fourier sums called for in dealing with multiple waveguide injections. Regardless of the size of an array, the response consists of a series of Bessel functions, six of which suffice to give good accuracy. The general solution is then obtained by a linear superposition of responses when multiple waveguides are injected. Quasi-3-D profiles of waves propagating and spreading in a large array of 100 coupled guides can be quickly displayed using Microsoft Excel. Remarkably clear characteristics of power transfer such as self-focusing and boundary reflection are observed. Since the solution involves Bessel functions of high orders that diminish rapidly in value, the solution for very large arrays can be computed just as efficiently as for smaller arrays. Index Terms—Coupled-mode analysis, dielectric waveguides, optical waveguide theory, propagation, waveguide arrays.

I. INTRODUCTION OUPLED waveguides and directional couplers have been of interest in microwave and optical communications for a long time [1]–[12]. Recently, the demand for increased transmission bandwidth in wireless local area networks (LANs) has generated much interest in millimeter-wave integrated circuits [13], [14]. As components within such circuits, directional couplers [15], [16] based on dielectric waveguides play an important role similar to that of couplers used in fiber-optic communication. In addition, directional couplers for arrayed waveguide gratings (AWGs) are useful as input devices [17]–[19] in fiber-optic wavelength division multiplexing. The purpose of this paper is to develop a formula to quickly estimate power transfer efficiencies within a parallelly coupled array of identical dielectric waveguides. Since most coupler designs use identical waveguides as a starting point, the conventional coupled-mode equations are well suited to provide a basic description of the system. Although these equations are valid only for weakly coupled systems, they have served well in allowing a first attempt at modeling the essential operations of coupled waveguides [2], [6]. Other limitations and shortcomings [17]–[22] of the conventional coupled-mode equations

C

Manuscript received April 7, 2007; revised July 24, 2007. The author is with Avago Technologies Inc., San Jose, CA 95131 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908676

Fig. 1. Array of four coupled waveguides. A path of power transfer is marked by the curve.

have indeed been documented carefully by a number of authors. However, in building an accurate model of a coupled array, it is not simple either to calculate or to experimentally verify modal parameters of even a handful of waveguides, much less a fairly large array [4], [6], [15], [16]. In view of these practical obstacles to accurate modeling, solving the conventional coupled-mode equations explicitly can at least provide an initial insight for refining models. Fig. 1 shows an example of an array of four coupled waveguides. Each guide is weakly coupled to its nearest neighbors in the -direction. Such an array may consist of parallel dielectric guides mounted on a metal ground plane. They are the so-called image guides in millimeter waves. The spacing between adjacent guides is sufficiently close that the fields of individual guides overlap and lead to power exchange. Alternatively, the array may consist of optical waveguides, either planar ones formed by selective ion implantation [6] or fibers placed side by side [5], [7]. Again, power transfer results from an overlap of the fields of individual guides. In either case, detailed discussions [20]–[25] of the coupling mechanism, as well as the requisite conditions for applying the coupled mode equations, have been well publicized in the literature. In this paper, it is assumed that coupling occurs only between adjacent guides and is weak so that the use of the coupled-mode equation is valid for the analysis. Solutions to wave propagation problems in large coupled systems are well known in electrical networks and phonon theory [26], [27]. In regard to form, dispersion relation, and eigenmodes, the conventional coupled-mode solutions for waveguides are similar to those of phonon propagation. However, for phonons, because only collective excitation is observable, excitation of a single component unit is not relevant. Hence, a knowledge of the modal solutions of the entire system suffices. The problem of microwave and optical couplers is at the opposite end of the spectrum. There the coupled system is

0018-9480/$25.00 © 2007 IEEE

2346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

orders of magnitude smaller and often waveguides are individually excited with varying levels of input. Concise and explicit analytical formulas for power transfer have been written for systems incorporating up to three waveguides or for one of [1]. For an intermediate that is of more infinitely large practical interest, general solutions are usually available only in matrix form [5], [7]. Even though lateral modes of coupled waveguides are well known, the evolution of a local excitation in a large array has not been examined in detail. A simple analytical formula would be desirable for grasping the physics of the problem so that one can focus quickly on the important design parameters in order to optimize power transfer. The basic approach to solving wave propagation in coupled waveguide arrays is Fourier analysis. First one determines the normal modes of an array using the coupled-mode equations. The lateral profile of a normal mode describes its amplitude variation across the array, whereas the phase velocity describes its phase advance along the waveguide axis. Given an arbitrary excitation across the input of the array, one first decomposes the excitation into a unique combination of normal modes through Fourier analysis. At the end, using Fourier synthesis, one reassembles the same modes with the appropriate modal phase delays to present the complete solution at the specified distance. Fast Fourier transform (FFT) routines should be applicable here; however, commonly available FFT programs only apply to a components. Furthermore, a laterally bounded system with system requires fast sine transforms, which limits a system to components [28]. These constraints, along with little expectation of new findings, have reduced the use of FFT for this problem. In this paper, the approach is not to take on all input signals at once, but to account for them one at a time. Beginning with a single waveguide being excited at the input, one examines the array response in order to get a clear sense of the result first. Applying linear superposition to the case of multiple waveguide injections, one then obtains the general solution. It is found that the array response to single guide injection can be easily Fourier analyzed. The dominant Fourier amplitudes consist of a series , which diminish rapidly in succession of Bessel functions because their order steps up in large multiples of . The result somewhat resembles the sidebands and intermodulation products of FM radio where a higher ordered term drops tens of decibels below a lower ordered term in the series. Generally not more than 12 terms, usually six, in fact, are sufficient to give good accuracy in the current approach. Since Bessel functions are included among the Microsoft Excel worksheet functions, numerical results are easily generated on a spreadsheet and charted using an ordinary PC. From the compact analytical solution, one can readily plot quasi-3-D profiles of wave propagation. Typical wave phenomena such as ripples, wakes, reflections, and spreading are vividly displayed. The correctness of the analytical expression is investigated in several ways. First, analytic expressions for smaller arrays are derived and verified against previously published results. Second, numerical results are compared with published experimental data. Thirdly, results are compared with those obtained using other numerical approaches, as well as FFT and finite-difference equations

II. ANALYSIS A. Coupled-Mode Solution For two coupled waveguides with conducting components, a forward wave launched in one guide generates a backward wave in the other [12]. Intuitively it is similar to eddy current generation—the induced current circulates in a direction opposite to that of the inducing current. Therefore, the coupled-mode equations for conducting guides typically involve forward and backward waves [3]. Dielectric waveguides, however, rely on total internal reflection to confine the wave. Hence, wave amplitudes are not determined by conductive currents, but entirely by the transverse permittivity profile of the guiding structure. In the absence of local deviations in permittivity, a forward wave in one guide does not readily generate a backward wave in a coupled guide. Hardy [22] proved contra-directional coupling between a forward wave in one guide and a backward wave in another to be negligible in parallel dielectric and optical waveguides. Thus, one needs to consider only co-directional coupling when a forward wave is injected into a waveguide of an array of coupled identical dielectric guides. In this paper, the focus is on lossless guided modes, although the initial derivation will include attenuation and radiation losses, as well as instructions to incorporate them in the results subsequently presented. According to Marcuse [30], small radiation losses due to power conversion from a guided mode scattering off imperfections in a straight waveguide boundary can be handled in the same manner as attenuation losses. A loss estimate based on [30, Fig. 9] gives the geometrical size of imperfections as a percentage of the guide width. For a 0.1-dB/cm radiation loss in a millimeter-wave dielectric guide of 0.5-mm width [13], boundary irregularities need to be less than 9% of the guide width. Such a specification is well within the capability of current fabrication technology. Hence, radiation losses will be considered small in the following derivation of the and included as a contribution to the imaginary part phase velocity. The forward waves generated in the array obey the basic coupled-mode equations [1], [6]

to

(1) denotes the scalar wave amplitude in the th guide. where As shown in Fig. 1, the guides are arrayed along the -axis with the wave propagating along the -axis. It is assumed that the remains the same throughout the array. coupling constant To facilitate calculation, the real part of the common phase velocity has been excluded from (1), so it is understood that the integrated eigenmode solutions will additionally include the common phase factor . The waveguide attenuation and radiation losses are accounted for through , the imaginary part of the phase velocity. If the th eigenmode solution of (1)

WEI: POWER TRANSFER IN LARGE PARALLEL ARRAY OF COUPLED DIELECTRIC WAVEGUIDES

is denoted by , its amplitude in the th guide given by [2], [6]

2347

is

to (2) The lateral spatial modal distribution of the th mode across the array is described by the sine factor and its phase and attenuation along the propagation direction by the exponential. The loss does not depend on the lateral mode number. factor Therefore, in performing any Fourier modal analysis or synthesis , one can always factor it outside first, finish the involving for the disanalysis, and then multiply the result by tance of propagation. This is the same as multiplying an initial power level by an exponential loss factor in the usual distance–attenuation estimate. Keeping in mind this procedure, one can focus the remainder of this paper on the lossless case. Losses can rightly multiplier just as a common be accounted for by an multiplier. phase factor is provided by the What (2) means is this: when inputs to the guides in the array have amplitudes conforming to the th lateral mode, the latter will propagate unchanged in amplitude across the array at all distances. In practice, the signals injected into the waveguides of an array, taken together, seldom conform to the lateral distrieach input bution of an eigenmode. If one assumes that at signal has amplitude , which incorporates the phase, then of excitation in the th guide at a distance the amplitude is, by applying Fourier synthesis of the eigenmodes,

Fig. 2. Virtual source formed upon reflection at one boundary of a coupled array of waveguide. Solid arrows in green (in online version of figure) represent directions of power transfer.

where

is the Kroenecker delta, and

(5)

B. Physical Interpretation

eigenmode number guide number

(3)

When a large number of waveguides are injected with signals , it appears the solution given by (3) would be quite unwieldy. Computationally, one needs to perform Fourier analysis twice, as indicated in (3). However, when one waveguide alone is injected, the solution is much simpler. In that case, only a constant term remains in the summation over in (3). Consequently the summation over of becomes a simple , the propagation factor of Fourier analysis of , in terms of the harmonics , as is apin (2). Since is a parent from the composition of sinusoidal function of nested inside another harmonic func, a Fourier decomposition of the latter yields tion Bessel functions as components (see the Appendix), much as in FM modulation theory. This is accomplished by expressing as a series of cosines [29] and summing in (3). is injected into the th guide, If a signal of amplitude , is the signal transferred to the th guide at distance , found to be (see the Appendix)

(4)

What is the physical image provided by each term of (4)? The first term relates to the amplitude remaining in the incident guide. The next term with index has been known for a very approaching ; in such an array, large array [1], [6] with every guide has the same environment, hence, power transfer depends only on proximity to the source waveguide. In a finite array, power is reflected at the boundary guides, but before an excitation strikes the boundary, power transfer can only be limited by proximity to the source guide. The term, therefore, describes the incident power spreading out initially in the form of . a cylindrical wave characterized by the Bessel function It is to be noted that when is 0, this results in another term that has not been noted in previous studies. As the excitation approaches a boundary, the amplitude in a receiving guide should depend on how far removed it is from the boundary where waves can wash back. This is the function of the second term, the term with index . While indicates proximity to the source, it provides no clue whether a receiving guide is to the left or right of the source guide and, therefore, closer or farther from the nearest boundary. The term with the sum index refines the transfer amplitude to trace the source and the receiving guides, as much as working with the sum and difference of two unknown numbers helps to identify them. Thus, the transfer of power near the boundary guides is further described by the term. Upon reflection at a boundary, power flow must change direction, as is illustrated in Fig. 2. Afterwards, it is as if the signal comes from a virtual source located outside the array itself. The higher order terms in (4), as will be explained further, generate virtual images of the source guide upon reflections,

2348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

the same as those generated by a mirror in geometrical optics. Fig. 2 illustrates this point. On the left side of this figure is an actual array of size , and on the right, its virtual mirror image. Let one’s attention be focused on two interacting waveguides close to the right-hand boundary of the actual array. Assume , and the amplitude that a signal is injected into guide # needs to be established. Due to of excitation in guide # the proximity of the boundary, power is quickly reflected back into the array, as indicated by the solid arrows. After reflection, power appears to come from a virtual source outside the array, several guide spacings to the right of the boundary. According . In to (4), the index has as its first value is , or . Subthe current case, yields a of 5 for the index of the tracting it from associated Bessel function. The latter term corresponds to a cylindrical wave from a source guide located five guides from . Since the right-side boundary serves as the reguide # flecting mirror, the virtual source, being the image of the source guide, is the third guide to the right of the boundary. Thus, its separation from the receiving guide located two guides inside the array is 5. Hence, (4) supports the use of the virtual source in Fig. 2. In the same manner, the left-hand boundary, not visible in Fig. 2, produces a virtual source outside, far to the left, such that its influence is described by the next higher term of . The remaining higher order Bessel funcindex tions mimic additional virtual sources upon further reflections at the boundaries. , given by (4), is much less For practical applications, formidable than it appears. The reason is that for coupler lengths of interest, most of the Bessel functions in (4) have vanishingly small values because remains insignificant until its argument becomes nearly equal to the order . Since is the coupling length between two adjacent guides, a directional coupler using coupled waveguides needs to have, at most, a transfer length of . Therefore, or at the maximum. Physically this means there is only one reflection at each boundary in a practical coupler. The presence of virtual sources associated with multiple reflections plays a negligible role. Hence, their contributions as represented by the high-order terms can be neglected. The latter appears in (4) where the order of Bessel functions leaps in steps of so that the second set of terms with are already of the order of , which is quite negligible for . Thus, despite appearances, only the first and terms together with the first set of terms labeled by are needed for accurate computation. That includes six terms in all. For instance, with , , and , one finds that according to (4), the first ten terms of include Bessel functions with indices equal to 4, 8, 36, 38, 46, 48, 78, 80, 88, and 90, respectively. Only the first six terms are significant within a propagation distance of one . As an illustration, coupling length wherein is 0.10, whereas equals - . Serendipitously as increases, truncating (4) after six terms is more justifiable because Bessel functions diminish even faster in value as their order jumps in increments of . Physically, the larger the array, the farther away the virtual sources appear to be from most interior points, and the weaker their influence. Lastly, (4) reduces the problem to an arithmetic summing of complex

numbers without the need to use the algorithms and approximations of numerical methods. Equation (4) agrees in form with published solutions [1] for and , namely, and , systems with , let the input guide be #1, respectively. For the case of and the output guide be #2, i.e., and . The amplitude , is then given by (4) as in guide 2, i.e.,

(6) This expression is, in fact, an alternate formula for if the argument of the latter is expressed in terms of the propagafor equal to 1. Using (2) and [29], one finds tion constant

(7) Hence, (6) and (7) agree; the factor being the phase difference conferred by (1) on guide #2. A similar re-casting of the for an array of three coupled waveguides argument of leads to agreement with an expression given by (4). Interestingly, the phase factor of the wave amplitude in the th guide reveals a self-focusing aspect of the coupledmode solution not noted in previous publications. In (4), the main terms with indices and have a phase factor whose exponent depends solely on the absolute separation between the source and receiving guides. Thus, as power is passed from one waveguide to the next, the transferred signal falls behind by 90 in phase at each step, whichever lateral direction the transfer takes place. Since this is true for the signal sent from the source waveguide, it is also true of the signal sent from any other waveguide because (1) must hold for all power transfers out of any waveguide. In particular, as the signal transferred to an adjacent guide starts to spread, some of it is sent back to the source guide a short distance farther. The returned signal now lags a total of 180 from the original signal. This phase reversal means extinction—power is blocked from returning to the source guide. Therefore, once power is transferred out, most of it must proceed laterally with no turning back. This predicts the presence of two sharp beams radiating from the source guide. The detailed interactions between excitations along all paths of power transfer are not explicit, but remain embedded in (4) in a self-similar manner. It is this partial self-focusing mechanism that propels the signal sideways. In a small array, the guides being close together, this phase cancellation mechanism, aided by reflections from the boundaries, can null out signals over a large area of the array so that power is concentrated in one or two narrow paths. As arrays increase in size, power gradually

WEI: POWER TRANSFER IN LARGE PARALLEL ARRAY OF COUPLED DIELECTRIC WAVEGUIDES

2349

Fig. 3. Power transferred from guide #1 (G1) to other guides in a three-guide coupler. Open and solid dots are experimental values from Miki et al. [31]. Solid lines are results calculated from (4).

Fig. 5. Comparison of results using FFT and the Bessel–Fourier approach of this paper. Upper plot: power level in individual guides within a 15-guide array after a 0-dB level signal incident in guide #2 has propagated for 11.5 coupling lengths. Bessel–Fourier approach: open squares along the dashed line. FFT:  solid circles. Lower plot: difference between the two methods.

Fig. 4. Comparison of results of current approach with a numerical simulation by Elachi and Yeh [1]. Power is injected into guide #2 and #4 (G2, G4) in a five-guide array. Solid lines delineate power levels in all guides according to (4) over the propagation distance. Solid circles are results of numerical simulation from [1].

leaks away from the main beams over a large lateral span because self-focusing is incomplete. Consequently there is less coherence among the guides, and power scatters widely over the array. Self-focusing will be evident in the 3-D propagation profiles shown in Section III. III. RESULTS AND DISCUSSIONS As the first test case, power transfer in a three-guide coupler is calculated according to (4) and compared with data obtained by Miki et al. [31] using an optical fluorescence scanning tech, and transferred nique. Power is injected into guide #1 at to the other guides via mode coupling, as plotted in Fig. 3. The discrete data points shown are measured values reported in [31] and the solid lines, a numerical fit using (4) with a coupling constant of 0.37 mm . Good agreement is observed. A second test compares predictions based on (4) with numerical simulations for a five-guide coupler by Elachi and Yeh [1]. Incident signals of 0.75 units of power are injected into guides #2 and #4, and the power level across the array is profiled as a function of propagation distance in Fig. 4. The solid lines again show the results calculated from (4), and the discrete points show the numerical simulation from [1]. The agreement is excellent. A third test compares the present Bessel–Fourier analysis with FFT. Since the boundary conditions of (1) requires sine Fourier transforms to be used in (3), only systems of

waveguides can be analyzed readily [28] with most FFT routines. Using the data extension and inversion technique detailed in [28], results for a 15-guide coupler have been computed with the built-in FFT algorithm of Microsoft Excel. An input power of 0 dB is sent into guide #2. Output power distribution across the array at a specific propagation distance of 11.5 coupling lengths is calculated using (4) and FFT. A comparison plot is shown in Fig. 5. The difference between the outputs of the two approaches is plotted in the lower half of Fig. 5. The maximum difference seen is only 55 dB. The advantage of using (4) is that the transfer efficiency between any pair of input and output ports can be calculated immediately for arrays of any size . With FFT and other routines, a program needs to be set up and run for the entire array first in order to extract the desired result. Large coupled waveguide arrays have been actively studied for use in AWG devices as directional couplers in wavelength division multiplexers [17]–[19]. One aim is to split the incoming signal uniformly [18] for direct injection to the dispersive grating so as to replace the free propagation section. The second is to optimize the array far-field radiation [17], [19] projected at the input end of the grating. In both applications, therefore, the power distribution across an array is of paramount interest. Previously only the difference term of (4), applicable to an infinite array, has been used for finite arrays. Modifications due boundary reflection have not been incorporated. In Fig. 6, one injects a unit of power into guide #62 on the right side of the array. The propagation distance scale in Fig. 6 has been drawn with its maximum at 100 coupling lengths. That range of propagation allows one to view power being transferred from one side of the array to the other. Immediately upon entry, the signal is split into two main beams, as previously predicted. Amplitude of excitation in the wedge region between the beams is fairly low because of the partial self-focusing mechanism described in Section II. After striking the boundary, each beam

2350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 8. 100-guide coupled array is uniformly excited at the input (at the top). The ensuing power distribution inside the array is colored (in online version) and pattern coded as in the legend box at left. Fig. 6. Transfer of power from guide # 62 of a 100-guide coupled array.

produce a 2-D interference pattern. Over time, many reflected signals pile up near the boundaries and become sufficiently incoherent with each other that destructive interferences deplete power over larger and larger areas near the boundaries. Observations from Figs. 7 and 8 allow one to make an estimate of the uniformity of power obtainable directly from an input directional coupler for an AWG. A detailed array aperture function can then be obtained from (4) if the associated far-field radiation pattern is required [19]. IV. APPLICATIONS

Fig. 7. Top or aerial view of Fig. 6 illustrating power transfer in the 100-guide coupled array. A unit of power is injected into guide #62 and the contour of transferred power is profiled over 100 coupling lengths of travel from the input.

appears to be specularly reflected. However, the amplitudes of both beams are modulated quasi-periodically as they propagate back into the array. A top or aerial view of the power flow pattern is shown in Fig. 7. Obviously the modulation of the reflected beams points to an interference effect. What are the interfering waves? Fig. 7 reveals that between the sharp beams on either side, a cylindrical wave radiates from the source, as is described of (4). After a sharp beam bounces by the Bessel function back from the boundary, it collides with multiple wavefronts of the cylindrical wave. Since the amplitudes of the reflected wave and of the cylindrical wave add up vectorially, the reflected beam is successively displaced laterally, thus giving rise to satellite beams splitting off from the main beam. If the array is uniformly injected at the input, Fig. 8 displays the power transfer pattern within the array in a contour plot. It is observed that initially power builds up along both boundaries due to reflection, flanked by bands of intensity maxima and minima. Toward the center of the array, converging power flows

The current analytical approach provides a quick assessment of the design of a directional coupler of any size. Conversely, one may also use (4) to extract coupling constants and ascertain the characteristics of the coupling mechanism. A signal of unit amplitude is injected into each guide of an eight-guide array in turn and the output at seven coupling lengths plotted using (4). Two patterns of power transfer are displayed in Fig. 9. Fig. 9(a) shows that power transfer is most effective, at 80% levels, between the boundary guides 1 and 8, due to reflection at the boundaries. However, in Fig (9b), even transfer efficiencies between intermediate guides reach 64% at the output end. For a smaller array of five guides, self-focusing raises transfer efficiencies to nearly 90% so that one can establish a clear one-to-one input–output channel association, such as 1–5, 2–4, 3–2, , etc. for use in a passive coupler. Over the years, many innovative designs have evolved from a simple array of identical coupled waveguides in order to achieve better transfer characteristics, but as this eight-guide coupler example demonstrates, with easy access to mapping of power transfer, one can yet obtain good performance from an array of identical waveguides with the proper design. Lastly, an example of extraction of coupling constant from experimental data is given. Reference [6] describes injection of light into the center waveguide of an array of at least nine ionimplanted coupled optical waveguides. The intensity of light coupled into each guide is scanned at three distances from the injection point. An example of the array intensity profile is shown by the solid bars of Fig. 10 at a propagation distance of 2.5 mm. From (4) and the power contour plots presented thus far, it is

WEI: POWER TRANSFER IN LARGE PARALLEL ARRAY OF COUPLED DIELECTRIC WAVEGUIDES

2351

Kz

Fig. 11. Plot of the extracted distance of propagation normalized to versus the distance of the measurement point from the input of an array as reported by Somekh et al. [6]. The extracted distance is obtained by comparing data from [6] to optical power transferred from the central guide to other guides in a nineguide coupled array, as prescribed by (4).

Fig. 9. Power is injected into a different waveguide of an eight-guide coupler in turn and its propagation profiled. (a) Input into #1. (b) Input into #3.

Fig. 10. Measured and calculated optical intensities within individual guides of a centrally excited array of [6] at a propagation distance of 2.5 mm. The solid bars are measured data [6]. Calculated results using (4) for arrays of nine and 21 guides are labeled 9G and 21G, respectively. A finite-difference solution of (1) for a nine-guide array is labeled 9G_FD.

array have been calculated using (4) and plotted as 21G. It is apparent that for 2.5 mm of propagation distance, adding boundary guides produces a negligible effect. A finite-difference approach was then used to solve (1) for a nine-guide array using a mesh . The resize of 0.025 times the normalized coupling length sults are labeled 9G_FD in Fig. 10. Results of all three calculations are close to each other. The measured intensities, however, are asymmetric, higher on the right than on the left. A possible explanation may involve the horizontal alignment of the focusing optics and of the photodetector array. Since the measured intensities of [6] at all distances are not in absolute units, the attenuation constant cannot be extracted. Using the power distribution in the array at various propagation distances though, one can examine the applicability of the coupled-mode equations to the problem at hand. Fig. 11 is a plot extracted using (4) of the normalized propagation distance at the three observation points of [6] versus the actual distances. mm The fairly linear fit with a coupling constant indicates that the nearest neighbor weakly coupled-mode solution describes the situation well. In summary, the compact formula (4) developed for transfer amplitudes offers an advantage not readily available in previously published approaches. The simplicity of the current solution and the physical insight it provides put the design and analysis of couplers within reach of almost anyone working with coupled waveguides. APPENDIX

observed that the power distribution across an array is uniquely characteristic of the array and its injection pattern. The ratio between intensities, preferably the higher ones, measured across the array at one observation distance gives a good estimate and, hence, with of the normalized propagation distance the aid of (4). Using the extracted , one can then fit data to the calculated intensities for an array of nine guides labeled 9G in Fig. 10. The fit to data is good for most guides; however, the total number of guides used in [6] was left unspecified. Therefore, intensities within the central nine guides of a larger 21-guide

Using the definition of

from (2) and applying [32],

(A1) is nonzero at the input, , the ampliNow if only tude of signal excited in the th guide at a distance away, is

2352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

obtained by substituting (A1) for the exponential and summing , as prescribed by (3), over the mode index of all

of the periodicity of the sines in (A3), coefficients with order meeting the following independent conditions are allowed as well: to to

(A7)

and are Since and equal at most, negative values of found to violate (A7). Furthermore, two sets of values for are generated independently by and . Hence, redundancies in are allowed. From the first line of (A7), one obtains for ,

or (A2) Grouping together the sine involving the guide index and the amplitude the cosine involving , one obtains for of excitation in guide at distance . As a result, the initial in guide is as follows: excitation

(A8) From the second line of (A7), one obtains another set of values for as follows:

or (A9) One can thus combine the independent conditions on dated by (A8) and (A9) into

man-

where (A3) is the Kronecker delta function with indices and . where The orthogonality of eigenfunctions of (2) obeys the following:

(A10) Hence, finally, terms in with where is an integer, are extracted as well, leading to (4).

(A4) REFERENCES Due to (A4), only those terms in (A3) that meet one of two independent conditions remain after the summation over , namely, those sines with arguments involving

and

(A5)

Since and are not equal in general, their difference is either positive or negative, but being positive, the three conditions in (A5) reduce to two as follows:

(A6) Therefore, Fourier coefficients consisting of Bessel functions of orders are extracted for . In addition, because

[1] C. Elachi and C. Yeh, “Distribution networks and electrically controllable couplers for integrated optics,” Appl. Opt., vol. 13, pp. 1372–1375, Jun. 1974. [2] J. K. Butler, D. E. Ackley, and D. Botez, “Coupled mode analysis of phase-locked injection laser arrays,” Appl. Phys. Lett., vol. 44, pp. 293–295, Feb. 1984. [3] D. Chen, Z. Shen, and Y. Lu, “Coupled mode analysis of forward and backward coupling in multiconductor transmission lines,” IEEE Trans. Electromagn. Compat., vol. 47, no. 3, pp. 463–470, Aug. 2005. [4] Y. Kim, A. Tripathi, R. K. Settaluri, A. Weisshaar, and V. K. Tripathi, “Extraction of multiple coupled line parameters using FDTD simulation,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 146, no. 6, pp. 443–446, Dec. 1999. [5] N. Kishi and E. Yamashita, “A simple coupled-mode analysis method for multiple-core optical fiber and coupled dielectric waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1861–1868, Dec. 1988. [6] S. Somekh, E. Gamire, A. Yariv, L. Garvin, and R. G. Hunsperger, “Channel optical waveguide directional couplers,” Appl. Phys. Lett., vol. 27, pp. 46–47, Jan. 1973.

WEI: POWER TRANSFER IN LARGE PARALLEL ARRAY OF COUPLED DIELECTRIC WAVEGUIDES

[7] Y. Meng, Q. Guo, W. Tang, and Z. Huang, “Analytical solutions of coupled mode equations for multi-waveguide systems, obtained by use of Cheybshev and generalized Chebyshev polynomial,” J. Opt. Soc. Amer., vol. 21, pp. 1518–1528, Aug. 2004. [8] H. A. Haus, L. Molter-Orr, and F. Leonberger, “Multiple-waveguide lens,” presented at the IEEE LEOS Conf., Anaheim, CA, Jun. 1984, Paper THI 36. [9] D. Meshulach and S. Rschin, “Active coupled waveguide and power splitter,” IEEE J. Quantum Electron., vol. 30, no. 6, pp. 1427–1434, Jun. 1994. [10] A. Kaplan and S. Ruschin, “Optical switching and power control in LiNbO coupled waveguide arrays,” IEEE J. Quantum Electron., vol. 37, no. 12, pp. 1562–1573, Dec. 2001. [11] L. A. Molter-Orr and H. A. Haus, “Multiple coupled waveguide switches using alternating delta beta phase mismatch,” Appl. Opt., vol. 24, pp. 1260–1264, 1985. [12] B. M. Oliver, “Directional electromagnetic couplers,” Proc. IRE, vol. 42, no. 11, pp. 1686–1692, Nov. 1954. [13] A. Patrovsky and K. Wu, “Substrate integrated image guide (SIIG)—A planar dielectric waveguide technology for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2872–2879, Jun. 2006. [14] A. Y. Simba, M. Yamamoto, T. Nojima, and K. Itoh, “Linear array of image NRD guide-based dielectric rod antenna fed by slotted rectangular waveguide,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 152, pp. 331–336, Oct. 2005. [15] N. Dib and L. P. B. Katehi, “Characterization of three-dimensional open dielectric structures using the finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 513–518, Apr. 1996. [16] A. Elfadl, M. Elkordy, and A. Attia, “Transverse operator method for the analysis of the directly connected image guide couplers,” in IEEE AP-S Int. Symp. Dig., Jun. 1993, vol. 1, pp. 436–439. [17] C. Dragone, “Efficiency of a periodic array with a nearly ideal element pattern,” IEEE Photon. Technol. Lett., vol. 1, no. 8, pp. 238–240, Aug. 1989. [18] R. N. Lang, “Design of a high index contrast arrayed waveguide grating,” M.S.E.E. thesis, Dept. Elect. Eng. Comput. Sci., MIT, Cambridge, MA, 2003. [19] G. H. Song and M. Y. Park, “Bessel-function analysis of the optimized star-coupler for uniform power splitting,” J. Opt. Soc. Amer., vol. 21, no. 8, pp. 1529–1544, 2004. [20] E. Kapon, J. Katz, and A. Yariv, “Supermode analysis of phase locked arrays of semiconductor lasers,” Opt. Lett., vol. 10, pp. 125–127, Apr. 1984. [21] A. Hardy and W. Streifer, “Coupled mode theory of parallel waveguides,” J. Lightw. Technol., vol. LT-3, no. 10, pp. 1135–1146, Oct. 1985.

N

2353

[22] A. Hardy, “Unified approach to coupled-mode phenomena,” IEEE J. Quantum Electron., vol. 34, no. 7, pp. 1109–1116, Jul. 1998. [23] A. Hardy and W. Streifer, “Coupled mode solutions of multiwaveguide systems,” IEEE J. Quantum Electron., vol. QE-22, no. 4, pp. 528–534, Apr. 1986. [24] H. A. Haus, W. P. Huang, S. Kawakami, and N. A. Whitaker, “Coupled-mode theory of optical waveguides,” J. Lightw. Technol., vol. LT-5, no. 1, pp. 16–23, Jan. 1987. [25] W. Streifer, M. Osinski, and A. Hardy, “Reformulation of the coupled-mode theory of multiwaveguide systems,” J. Lightw. Technol., vol. LT-5, no. 1, pp. 1–4, Jan. 1987. [26] L. Brillouin, Wave Propagation in Periodic Structure. New York: Dover, 1953, pp. 30–43. [27] G. H. Wannier, Elements of Solid State Theory. London, U.K.: Cambridge Univ. Press, 1959, p. 50. [28] W. Press, B. Flannery, S. Teukolsky, and W. Vetterling, Numerical Recipes. Cambridge, U.K.: Cambridge Univ. Press, 1989, pp. 401–402. [29] M. Abramovitz and I. Stegun, Handbook of Mathematical Functions. Washington, DC: U.S. Dept. Commerce, Nat. Bureau Standards, 1972, p. 361. [30] D. Marcuse, “Mode conversion caused by surface imperfections of a dielectric slab waveguide,” Bell Syst. Tech. J., vol. 48, pp. 3187–3215, Dec. 1969. [31] A. Miki, Y. Okamura, and S. Yamamoto, “Optical waveguide directional coupler measurement using a microcomputer-assisted TV camera system,” J. Lightw. Technol., vol. LT-7, no. 12, pp. 1912–1918, Dec. 1989. [32] I. S. Gradshteyn and I. M. Ryzhik, Tables of Integrals, Series, and Products. New Delhi, India: NAME OF PUBLISHER, 2000, p. 923.

John S. Wei (M’88) was born in Hankow, China. He received the B.S., M.S., and Ph.D. degrees in physics from the University of Illinois at Urbana-Champaign, in 1968, 1969, and 1974, respectively. He was initially with Bell-Northern Research, Ottawa, ON, Canada, where he was involved with research on integrated optical waveguides. He was subsequently engaged in the development of III–V laser diodes and LEDs with the ITT Electro-Optical Corporation and the Polaroid Corporation. In 1985, he joined Avantek Inc., where he developed microwave power field-effect transistor (FET) and integrated circuit (IC) products. He remained with this same business unit as it became part of Hewlett-Packard, then Agilent Technologies, and then Avago Technologies Inc., San Jose, CA. His recent research is concerned with acoustic filters for wireless communication.

2354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation Zhi Guo Qian, Student Member, IEEE, Weng Cho Chew, Fellow, IEEE, and Roberto Suaya, Member, IEEE

Abstract—A generalized impedance boundary condition is developed to rigorously model on-chip interconnects in the full-wave surface integral equation by a two-region formulation. It is a combination of the electric-field integral equation for the exterior region and the magnetic-field integral equation for the interior conductive region. The skin effect is, therefore, well captured. A novel integration technique is proposed to evaluate the Green’s function integrals in the conductive medium. Towards tackling large-scale problems, the mixed-form fast multipole algorithm and the multifrontal method are incorporated. A new scheme of the loop-tree decomposition is also used to alleviate the low-frequency breakdown for the formulation. Numerical examples show the accuracy and reduced computation cost. Index Terms—Full-wave solver, generalized impedance boundary condition, impedance boundary condition, interconnects, loop tree, mixed-form fast multipole algorithm, skin effect, surface integral equation.

I. INTRODUCTION ITH increasing operating frequency and complexity, wave physics plays an increasingly important role in the electrical performance of the state-of-the-art circuit interconnects in both the chip and package level. Consequently, full-wave solvers governed by Maxwell’s equations are indispensable to accurately predict the mutual coupling of high-speed dense interconnects, which are by no means captured through conventional quasi-static routines. Among various full-wave solvers, integral equations associated with the method of moments have been used to analyze conductors for years. However, it is nontrivial to model the interconnect conductors of finite thickness and finite conductivity. Due to the skin effect, the current is uniform on the cross section at low frequencies, but surficial at high frequencies, and there are generally three ways to address thick conductors with finite conductivity in integral equations. The first one is the volume-filament method, which, for example, is employed in the partial equivalent electric circuit (PEEC) solver [1]. The

W

Manuscript received April 29, 2007; revised June 23, 2007. This work was supported in part by Mentor Graphics under a gift and by the Air Force Office of Scientific Research under Multiuniversity Research Initiative Grant FA9550-04-1-0326. Z. G. Qian and W. C. Chew are with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 USA (e-mail: [email protected]; [email protected]). R. Suaya is with Mentor Graphics, 38334 St. Ismier, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908678

following two are based on the surface integral equation: one uses the impedance boundary condition and the other resorts to the two-region modeling. In the following, the three methods are explained individually. In the volume-filament method, the entire conductor is discretized into volume filaments to describe the volume current. It is efficient to model the interconnect conductors, which are usually long and thin, and has been widely used. However, at higher frequencies, it is hard to grid the cross section fine enough to capture the fully developed skin effect when the current is almost surficial. The resulting exorbitant number of unknowns makes the computation extremely expensive. Meanwhile, filaments only allow the current to flow axially; hence, it is difficult to describe irregular shapes, such as bends and junctions. Surface integral equations have the advantage that unknowns reside only on surfaces, which usually means a much smaller number of unknowns and also avoids the modeling deficiency of the volume-filament method. To account for the conductor loss, the impedance boundary condition is a popular way. It defines a simple local relation between the surface current and electric field so that it only introduces a trivial extra cost compared with the perfect electric conductor problem. For instance, one can simply use the wave impedance of the conductive medium. It is effectively a local planar approximation, and requires the curvature to be small, as well as the skin depth to be much smaller than the cross-sectional size. Therefore, it is improper for the interconnect analysis over most frequencies. In recent years, various types of impedance boundary conditions have been extensively investigated by researchers to improve the accuracy [2]–[6]. Usually a better accuracy can be achieved by changing the local relation to a global one on the cross-sectional boundary. However, all impedance boundary conditions are based on the 2-D approximation of the original problem. They require the shape of the cross section to be uniform and the direction of the current to be axial, which are by no means true for irregular structures such as junctions. Thus, it is impossible to derive a rigorous impedance boundary condition for arbitrarily shaped structures. Even for regular parts, the use of these advanced impedance boundary conditions may involve messy bookkeeping because the expression depends on the cross-sectional shape, as well as the local position. A rigorous means to account for the conductor loss in surface integral equations is to treat the highly conductive medium as the complex dielectric and apply the integral equation for the interior region. The highly conductive medium information can be included in the Green’s function of the inner region. There are a variety of formulations to model complex dielectric problems, but they are barely able to model the conductor because of the

0018-9480/$25.00 © 2007 IEEE

QIAN et al.: GENERALIZED IMPEDANCE BOUNDARY CONDITION FOR CONDUCTOR MODELING IN SURFACE INTEGRAL EQUATION

2355

equivalence principle, the electric-field integral equation for the exterior region is written as

(1)

Fig. 1. Two region modeling. The exterior region is labeled 1 and the interior region is labeled 2.

extremely high conductivity on the order of 10 S/m. This very high contrast complex dielectric brings up two issues: one is that the existing integration technique is improper for the highly lossy Green’s function. The other is that the existing formulations, though stable for the ordinary dielectric, are not suitable for the conductor. In the literature, there are only several papers addressing these issues [7], [8]. However, both the existing formulations and the integration technique are not perfect. It thus motivates the work in this paper. In this paper, a rigorous frequency domain surface integral formulation is introduced to efficiently model arbitrarily shaped conductors. It includes the skin effect via the Green’s function in the conductive medium. It can also be extended to the lowfrequency regime to ensure the necessary wideband coverage. In the high-frequency limit, as the skin depth approaches zero, the new formulation resembles the impedance boundary condition, which is why it is called the generalized impedance boundary condition. Furthermore, it is coupled with the mixed-form fast multipole algorithm and the multifrontal direct solver to tackle problems with a large number of unknowns. A preliminary briefing of this study can be found in [9]. This paper is organized as follows. In Section II, the new formulation is introduced. It is also extended for the multiconductor system and can be simplified toward the impedance boundary condition. In Section III, a simple, accurate, and efficient integration technique is proposed in detail. Some issues of the large-scale computation and the low-frequency breakdown are discussed in Section IV. Finally, a few numerical examples are provided for evaluation.

II. NEW FORMULATION FOR CONDUCTORS Here, the generalized impedance boundary condition is first introduced for a single conductor. It is then extended to the multiconductor system. Finally, a simplified version is provided in order to save the computational cost.

is the interior side of the surface , and where extracts the tangential component of a 3-D vector at . Here, and are called the electric- and magnetic-field integral operators respectively, and their expressions are listed in the Appendix. Integration over repeated variables is implied. represents the excitation upon the On the right-hand side, object in the electric-field form. It is usually described as the -gap voltage source for circuit problems. Similarly, the magnetic-field integral equation for the exterior region is written as

(2) represents the excitation in the magnetic-field where and are also listed in the form. The expressions of Appendix. In the same manner, the corresponding electric-field integral equation and magnetic-field integral equation for the interior region are

(3) and

(4) where is the exterior side of the surface . With these basic integral equations, there are quite a few ways to formulate an equation set to solve for the equivalent surface source and . However, the observation point for the four equations is usually set to be on the surface . There is no difference for the electric-field operator, but the residue term of the magnetic-field operator comes out and takes different signs depending on the approaching direction [10]. For simplicity of the notation, the operator takes the pertinent form implicitly. The general representation of the combined equations can be written as

(5)

A. Two-Region Formulation The conductor is a unique complex dielectric because of very high conductivity. For example, copper has a conductivity 10 S/m. To construct a suitable formulation, around 5.8 the conductor is first treated as an ordinary dielectric with the equivalent complex permittivity. In the literature, there are many ways to construct the surface integral equation for the two-region dielectric problem shown in Fig. 1. Based on the

(6) , , , and are the weights. When , they lead to the so-called Poggio–Miller–Chang–Harrington–Wu–Tsai (PMCHWT) formulation [11]. However, the combined formulations do not work well for very high-contrast problems because the high-contrast medium always makes one where

2356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

term dominate over the other [7]. Meanwhile, the magnetic-field excitation is not easy to obtain for circuit problems. The new formulation is derived by combining the electricfield integral equation of the exterior region with the magneticfield integral equation of the interior region

reduced from to a small disk having a small radius , provided that the surface is smooth. Therefore,

(7) (8) and include the residue term implicitly. It where avoids the magnetic-field excitation leading to

(13) Here, (14) and

. It can be shown that

(9) (15) B. Approximate Impedance Boundary Condition The usual electric-field integral equation with the impedance boundary condition reads

where represents the outward normal direction of surface . It follows that

(10)

(16)

defines a local relation between where the scalar impedance the electric current and electric field, i.e.,

The operator can be separated into two parts, which are related to the induction (current) contribution and charge contribution

(11) There are various expressions for . The wave impedance of the conductor is one of the simplest and was known as early as 1941 [12, p. 533]. Recent research changes the scalar local relation to be global on the cross section for a better accuracy. by The new formulation in (9) replaces the scalar so that the local relation between and is now indeed global. Since it resembles the impedance boundary condition, the new formulation is called the generalized impedance boundary condition. To gain more insights, it is illustrative to show that the impedance boundary condition in (10) and (11) with can actually be derived from the integral (9) for a highly conductive object with a smooth and flat surface. The derivation can be derived has two stages. At first, in (7) can then be approximated as for thin from (8). and long structures. 1) First Approximation: The first approximation applies , where to (8). For conductive medium, is the skin depth of a conductive medium. Therefore, the Green’s function can be written as

(17)

, the source region can be By the same argument, as reduced to . The first term can be derived as

(18)

(19) (12) . Such a Green’s function is a highly localwhere ized one when is relatively small. For a field position , only the source point very close to it has contribution. In the local polar coordinate with at the origin, the source region could be

The radius represents the distance from where the contribution is ignorable so and (20)

QIAN et al.: GENERALIZED IMPEDANCE BOUNDARY CONDITION FOR CONDUCTOR MODELING IN SURFACE INTEGRAL EQUATION

The second term can be approximated similar to the magas netic-field integral operator

2357

is much smaller than , though both of them are Thus, small for a good conductor. equals operating on , the above conclusion Since gives

(28) (21) Matching the tangential terms in (8),

The residue term takes a positive sign because the observation point is on the exterior side of the surface. With (28), the field on the interior side of the surface can be derived

(22) Thus,

(29) (23)

However, it is also noted that

2) Second Approximation: Substituting the first approximation (23) into (9), the new formulation can be written as

(24) Compared with (10) containing the impedance boundary in the above equation is actually replaced condition, . It can be proven by the cylindrical mode expansion by that such an approximation holds for a long and thin circular cylinder. In this paper, a simple argument is presented to justify it. For a long and thin circular cylinder with radius , the inner electric field along the -axis is dominated by the zeroth order so that the surface magnetic current forms a constant loop transverse to . Each magnetic loop is equivalent to an electric dipole whose dipole moment is determined by the magnetic loop as (25) denotes where is the wavenumber outside the cylinder and the magnetic current. All the electric dipoles align to form a and the problem line source. It takes the form is simplified to be 2-D with circular symmetry. The exterior electric field generated by the magnetic current source can be written as

(26) The limitation for

is

(30) for the planar surface. The approximation should be shape dependent. Since the on-chip interconnect is mainly made up by thin and long wires, it is reasonable to adopt (29). C. Matrix Representations For simplicity, the electric-field integral equation in (7) and the magnetic-field integral equation in (8) are written in the matrix representation as (31) where the sub-matrices are the impedance matrices of the corresponding operators through, for instance, Galerkin testing. The final matrix is the Schur complement of , (32) is a sparse matrix It involves the inversion of . Fortunately, due to the small skin depth so that the inversion is not expensive. The above new formulation for a single conductor can be easily extended to multiconductors. First, a two-conductor case is discussed. The homogeneous medium outside the conductors is indexed to be 0 and the highly conductive medium inside the th conductor is indexed to be . The governing equation in the matrix form is then written as

(33)

(27)

where or represents the electric- or magnetic-field integral operator having a source on the th conductor, tested on the

2358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

th conductor through the th region. Taking the Schur compleand , the new formulation for the two conducment of tors is written as

..

(34) Here, it is natural to denote as the generalized impedance boundary condition matrix for the th conductor. It reads (35) The matrix equation in (34) then becomes

(36) Similarly, the new formulation for written as ..

.. .

conductors in (37) can

Similarly, the new formulation for be simplified as .

.. .

.. .

The simplified version serves as a bridge between the impedance boundary condition and the generalized one. All three can be easily implemented in a single code to achieve different levels of accuracy. III. INTEGRATION TECHNIQUE FOR HIGHLY LOSSY MEDIUM The critical foundation for the formulation discussed above is the accurate evaluation of matrix elements. Denote the homogewith . neous Green’s function as For Rao–Wilton–Glisson basis functions [13], the basic integrals involved with the electric- and magnetic-field integral operators are the following:

conductors can be

.. .

(39)

(40)

(37)

(41)

where and denote the entire matrix of the electricand magnetic-field integral operator in the exterior region, respectively. The details of the submatrices comprising them are omitted.

(42)

.

D. Simplified Version The generalized impedance boundary condition is proposed as a rigorous means to replace the impedance boundary condition for the conductor modeling. Regarding the computation cost, it is not as efficient as the latter. The impedance boundary condition in (10) has only one electric-field integral operator, while it shows in (37) that the new formulation additionally and general impedance has magnetic-field integral operator has the same boundary condition matrices . The operator memory and computation costs as . Even if the cost is trivial to generate for all conductors, the new formulation has an almost twofold computation cost of the impedance boundary condition. As proven before, the generalized impedance boundary condition can be reduced to the traditional impedance boundary condition with certain approximation. Here, a simplified version is introduced to provide an alternative to save the compu, which can be illustrated with tation cost by approximating the single-conductor system described in (9) and (32). Since the magnetic-field integral operator in the exterior region can be approximated for thin and long wires as

(43) where lies in the source triangle plane and is the vector from the projection of the observation point to the source point. Here, is the wavenumber. For highly conductive medium, it is apwith representing the skin depth. proximately A. Existing Methods The four integrals in the lossless or low-loss homogeneous medium can be evaluated by a variety of numerical schemes. However, for highly conductive media, the standard singularity extraction fails to evaluate the integral accurately [8]. One proposed approach is to rewrite them in polar coordinates. The generalized form is [8] (44) Since the function is either 1, can be easily done over

, or

, the integral

(38)

(45)

can be replaced by an extremely sparse main matrix form, trix , where is the matrix representation of the operator . In fact, such an approximation also underlies the impedance boundary condition.

The double integral is then reduced to the single integral only depending on , but there are two disadvantages. One is that it is because it is dependent and involves the expensive to get

QIAN et al.: GENERALIZED IMPEDANCE BOUNDARY CONDITION FOR CONDUCTOR MODELING IN SURFACE INTEGRAL EQUATION

2359

Calculation: One applies similar variable substitution 2) such as for and for to the integral

(48)

Fig. 2. Geometric parameters for the ith edge.

nontrivial computational geometry algorithm for calculating the is not smooth, angle intervals. The other is that the function which may lead to a very slow convergence of the final integral over . It can be improved by breaking the interval into smooth segments and applying a recursive adaptive integration for each piece [14]. However, it is not very efficient.

Notice that

B. New Method Here, a simple, general and efficient integration method is proposed. 1) Calculation: The method to handle is adopted from [15], where it is written as

(49)

thus, (46)

Since Thus,

, it is easy to prove that

.

(50)

If , is not well defined. However, when , there is no need to evaluate [8]. and Calculation: The geometry relation gives 3) . The surface gradient operator can be written as . Then (47) (51) where

has been used so that . The double integral is reduced to single integrals on the boundary. The symbol refers to the height from the projection point to the th edge. Fig. 2 explains the pertinent geometric parameters of the th edge.

(52) (53)

2360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

and

and . The Gaussian quadrature is then apparts plied to each individual part and the integral converges much faster. C. Validation

(54)

(55)

4) Succinct Forms: In summary, the four integrals can be written in succinct forms as

In the first example, the source triangle lies in the -plane , , and with three vertices , where mm. The testing point is at and the wavenumber is determined by setting the skin depth to be 0.1 mm. Since there is no true singularity in this situation, both the 2-D Gaussian quadrature and the new integration method converge. Here, convergence is determined when the increase of the Gaussian quadrature points only introduces an relative error less than 10 . Numerical results show that the two methods converge to nearly the same value. For instance, the integral computed by the two methods are as follows: • 2-D Gaussian quadrature: (12.6754707433182, 16.7903645303460); • new method: (12.6754707433190, 16.7903645303461). The two solutions have the same first 13 digits for both the real and imaginary parts. In the second numerical experiment, the skin depth is changed to to make the field decay rapidly, and the so that it is testing point is moved to very close to the source triangle. Here, is still 1 mm. The convergence histories for the four integrals are shown in Fig. 3, where the final converged value is used as the reference to compute the relative error. This figure demonstrates the rapid convergence of the new method. IV. ITERATIVE SOLVER The matrix representation of the formulation in (37) is ready to be solved. For problems with moderate size, the LU factorization can be employed. For large-sized cases, the iterative solver combined with fast algorithms is able to achieve complexity. A. Fast Algorithm

(56)

In the implementation for this paper, the mixed-form fast multiple algorithm [16] is deployed to accelerate the matrix vector products with the operators in exterior region, namely, , and . The multifrontal method [18] is adopted for the inversion . of the sparse matrices B. Low-Frequency Regime

where and . If the observation point is on the triangle plane, , otherwise . Thus far, all four integrals are transformed to 1-D integrals on the edges. Usually the kernels are smooth and the integrals converge fast, but when the projection of the testing point is close to the boundary, the convergence of the corresponding 1-D Gaussian quadrature slows down. One remedy is to use the piecewise quadrature [15] by separating the interval into two

For high-speed on-chip interconnect analysis, the interesting and important frequency range starts from where the skin effect evolves. It usually starts from 100 MHz to 50 GHz for a typical interconnect. The low-frequency limit justifies the sparsity of , while manifesting that the new formulation the matrices does not work for all frequencies. On the other hand, it also poses the possible low-frequency breakdown for the electricfield integral equation. One remedy is by using double precision implementation. It can alleviate the problem and help the solver

QIAN et al.: GENERALIZED IMPEDANCE BOUNDARY CONDITION FOR CONDUCTOR MODELING IN SURFACE INTEGRAL EQUATION

2361

is the vector potential term and is the scalar powhere tential term. Through the loop-tree decomposition, the matrix equation becomes

(59) The impedance matrix is now represented in loop-tree basis functions. Since loop basis functions are in the null space of the scalar potential operator, the loop part of the transform matrix is directly set to zero to avoid numerical errors. Such a loop-tree decomposition removes the low-frequency breakdown. In other words, the loop-tree decomposition is a preconditioner for the original electric-field integral operator. The formulation of the generalized impedance boundary condition has both the electric- and magnetic-field integral operators. The standard method is to apply the loop-tree decomposition to each operator individually. However, when it is directly applied to a single magnetic-field integral operator, the additional static cancellation has to be employed to improve the accuracy of the loop–loop interaction because of the pertinent static physical meaning [19]. In this paper, a new scheme is proposed to avoid the additional correction. The new formulation for a single conductor described in (32) can be taken as an illustration. Due to the highly conductive medium, the electric-field integral operator has a much milder low-frequency breakdown than ; it is, therefore, feasible to avoid the loop-tree decomposition for , , and individually and precondition the new formulation in (32) in the low-frequency regime as

Fig. 3. Convergence history of the four integrals with r = (0; 0; 0), r = ( ; 0; 0), r = (0:8 ; 0:7 ; 0), r = (0:6 ; 0:1 ; 0:001 ), and  = 0:01 where = 1 mm.

(60)

to work correctly three to four decades lower in frequency than the single precision implementation. If it still fails to cover the interesting frequency band, the loop-tree decomposition can be evoked. For example, the expansion coefficients of current in the basis of the two sets of basis functions are related by the two transform matrices

where is separated into two parts: and . The looptree decomposition is actually applied to a combined operator , which has an impedance-like physical meaning. There is no need to perform additional corrections for the magnetic-field integral operators. The new scheme is easier to implement and can further push the low-frequency limit approximately two decades lower. For practical purposes, the full coverage of the interesting frequency range is ensured.

(57) where is the vector of coefficients of Rao–Wilton–Glisson tree basis funcbasis functions, loop basis functions, and tions. The matrix representation of the electric-field integral equation in Rao–Wilton–Glisson basis functions can simply be written as [17]

(58)

V. NUMERICAL EXAMPLES Here, the accuracy and computation cost of the generalized impedance boundary condition are studied by a selection of interconnect examples. A. Two Loops At first, the new formulation is applied to a pair of copper rectangular loops, each of which is 1984 m by 122 m with a rectangular cross section of 4 m by 4 m, as shown in Fig. 4. One loop is placed on the top of the other, as shown in Fig. 4(c), m. The conductivity of copper with short distance

2362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 4. Rectangular loops. (a) Perspective on the XY -plane. (b) Perspective on the Y Z -plane of single loop. (c) Perspective on the Y Z plane for two loops.

is set to be 5.8 10 S/m. A single port, in the form of the -gap voltage source, is placed in the middle of a short side for each loop. In total, a coarse triangular mesh with only 1344 Rao–Wilton–Glisson basis functions is used. It is equivalent to 450 loop basis functions plus 894 tree basis functions after the loop-tree decomposition. It is noted that surface integral-equation solvers based on coarse mesh cannot capture the edge singularity well. The input admittance is studied over a broad frequency range starting from 0.01 to 100 GHz. Correspondingly, the skin depth decreases from 20.9 to 0.209 m. At the low-frequency end, the skin depth is five times the side length of the cross section. The current inside the conductor is nearly uniform across the cross section. At the high-frequency end, the skin depth is less than one-tenth of the side length and the current becomes almost surficial. The new formulation is compared with two other solutions. The first one is FastHenry [20], which is an RL extraction code. It is widely used and believed to provide accurate results when the size of the whole structure is less than one-tenth wavelength. The second one uses the impedance boundary condition based on the wave impedance. As discussed before, it is supposed to deliver the correct solution when the skin depth is small. and . The generalized Figs. 5 and 6 show the results of impedance boundary condition agrees well with FastHenry at frequencies lower than 10 GHz. Meanwhile, it delivers nearly the same results as the impedance boundary condition based on the wave impedance for frequencies higher than 25 GHz. It again demonstrates that the new formulation is of high accuracy over the wide band. One needs to mention that the solutions of the generalized impedance boundary condition have been done in the following four ways: 1) formulation in (37) solved without the acceleration of the mixed-form fast multipole algorithm; 2) formulation in (37) solved with the acceleration; 3) simplified version in (39) solved without the acceleration; 4) simplified version in (39) solved with the acceleration. Comparison among the four solutions shows that the simplified version only introduces an error around 0.1% and the acceleration of a mixed-form fast multipole algorithm gives an error around 1%. Thus, the above numerical experiments not

Fig. 5. Real and imaginary parts of Y for the two copper rectangular loops. The distance between the two loops is 4 m. In this figure, GIBC refers to the new formulation of the generalized impedance boundary condition. IBC-WI refers to the impedance boundary condition based on wave impedance of the conductive medium. FastHenry is the code from J. White’s group at the Massachusetts Institute of Technology (MIT), Cambridge [20].

only prove the accuracy of the generalized impedance boundary condition, but also show that acceleration of the mixed-form fast multipole algorithm does not introduce inaccuracies, as well as that the simplified version for thin wires is a good approximation. Another issue that needs to be addressed is the sparsity of matrices for the interior region. Table I confirms that they are sparse enough. In this table, the sparsity is regarded as the ratio of the average number of fill ins per unknown to the total number of unknowns. It shows that as frequency increases, the matrices get sparser and sparser. Since each loop is a rather small problem, a better sparsity is expected for larger problems because a larger percentage of unknowns are far apart. B. Costs Test In the last part, some numerical experiments are conducted to test the computation cost of the new formulation. The testing machine has a 3.0-GHz processor and 2.4-GB memory. In order to raise the number of unknowns, many loops are stacked together in the same manner as the previous two-loop example. The stack of loops is configured as , which means

QIAN et al.: GENERALIZED IMPEDANCE BOUNDARY CONDITION FOR CONDUCTOR MODELING IN SURFACE INTEGRAL EQUATION

2363

TABLE II NUMERICAL EXPERIMENT DATA ON COMPUTATION COST. GIBC REPRESENTS THE GENERALIZED IMPEDANCE BOUNDARY CONDITION IN (37), AND SGIBC IS THE SIMPLIFIED VERSION IN (39). THE TIME COUNTS FOR THE FIRST TEN ITERATION STEPS

In this table, the time for the matrix vector product and the total memory usage are further compared between the generalized impedance boundary condition and the simplified version. For each configuration, the simplified version gains a speedup of approximately two, which indicates that computation related to interior matrices is trivial.

Fig. 6. Real and imaginary parts of Y for the two copper rectangular loops. The distance between the two loops is 4 m. In this figure, GIBC refers to the new formulation of the generalized impedance boundary condition. IBC-WI refers to the impedance boundary condition based on wave impedance of the conductive medium. FastHenry is the code from J. White’s group at MIT [20].

TABLE I SPARSITY OF  AND  OF INTERIOR REGION

L

K

loops in the -direction and loops in the -direction. For configuraexample, the previous two-loop example is a tion. Table II gives the numerical experimental data at 10 GHz. For a better evaluation of the matrix-vector product cost, the recorded time is for the first ten iteration steps instead of the total time. It can be observed from the third column that the computation time of the new formulation scales almost linearly with the number of unknowns. As for the memory usage, a rough linear relation can also be detected in the fourth column. Since the structures do not have unknowns uniformly distributed in the 3-D space, the deviation may come from the varying number of unknowns in the leafy boxes.

C. Some Remarks It has been demonstrated that the generalized impedance boundary condition is advantageous for small skin depth. However, if the frequency is very low, wherein the skin depth is too and are not sparse large, it does not work well because any more. In such a situation, the traditional PMCHWT-like formulation can be used because the dielectric contrast is already mild. The volume-filament method is also an efficient alternative. On the other hand, when the frequency is high enough, it is possible to substitute the new formulation by the impedance boundary condition for faster computation. The transition between them can be easily automated by a yardstick of the skin depth. VI. CONCLUSION The new formulation of the generalized impedance boundary condition has been fully developed for the on-chip interconnect analysis discussed in this paper. It is based on the full-wave physics for both the exterior and interior regions of the conductors; therefore, the skin effect can be well captured. A novel integration technique for fundamental integrals involved in highly conductive media can improve the accuracy, as well as the efficiency of the matrix element calculation. For large-scale problems, the incorporation of the mixed-form fast multipole algorithm and the multifrontal method reduces the matrix vector product cost greatly. To cover the interesting frequency range, a special loop-tree decomposition is used to alleviate the low-frequency breakdown for the new formulation. A few examples have shown that the proposed scheme is accurate and efficient. APPENDIX A. Expressions of the Basic Operators The electric- and magnetic-field integral operators for a homogeneous medium are written as

(61)

2364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

(62)

(63)

(64) contains both the Cauchy For simplicity of the notation, principle value term and the residue term. It takes different signs of the residue term when the testing point approaching the source surface from different sides. REFERENCES [1] A. E. Ruehli, “Equivalent circuit models for three dimensional multiconductor systems,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 216–221, Mar. 1974. [2] K. M. Coperich, A. E. Ruehli, and A. Cangellaris, “Enhanced skin effect for partial-element equivalent-circuit (PEEC) models,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1435–1442, Sep. 2000. [3] J. D. Morsey, V. I. Okhmatovski, and A. C. Cangellaris, “Finite thickness conductor models for full-wave analysis of interconnects with a fast integral equation method,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 24–33, Feb. 2004. [4] A. Rong and A. C. Cangellaris, “Comprehensive broadband electromagnetic modeling of on-chip interconnects with a surface discretization-based generalized PEEC model,” IEEE Trans. Adv. Packag., vol. 28, no. 3, pp. 434–444, Aug. 2005. [5] J. C. Rautio and V. Demir, “Microstrip conductor loss models for electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 915–921, Mar. 2003. [6] D. De Zutter, H. Rogier, L. Knockaert, and J. Sercu, “Surface current modelling of the skin effect for on-chip interconnects,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 342–349, May 2007. [7] Y. H. Chu and W. C. Chew, “A robust SIE formulation for conductive media,” Microw. Opt. Technol. Lett., vol. 46, no. 2, pp. 109–114, Jul. 2005. [8] S. Chakraboty and V. Jandhyala, “Evaluation of Green’s function integrals in conducting media,” IEEE Trans. Antennas Propag., vol. 52, no. 12, pp. 3357–3363, Dec. 2004. [9] Z. G. Qian and W. C. Chew, “Generalized impedance boundary condition,” presented at the IEEE AP-S Int. Symp., Honolulu, HI, Jun. 2007. [10] W. C. Chew, Waves and Fields in Inhomogeneous Media. Piscataway, NJ: IEEE Press, 1995. [11] L. N. Medgyesi-Mitschang, J. M. Putman, and M. B. Gedera, “Generalized method of moments for three-dimensional penetrable scatterers,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 11, no. 4, pp. 1383–1398, Apr. 1994. [12] J. A. Stratton, Electromagnetic Theory. New York: McGraw-Hill, 1941. [13] S. M. Rao, D. R. Wilton, and A. W. Glisson, “Electromagnetic scattering by surface of arbitrary shape,” IEEE Trans. Antennas Propag., vol. AP-30, no. 3, pp. 409–418, May 1982. [14] S. Chakraboty and V. Jandhyala, “Accurate computation of vector potentials in lossy media,” Microw. Opt. Technol. Lett., vol. 36, no. 5, pp. 359–363, Mar. 2003. [15] Z. Zhu, B. Song, and J. K. White, “Algorithms in FastImp: A fast and wideband impedance extraction program for complicated 3-D geometries,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 7, pp. 981–998, Jul. 2005. [16] L. J. Jiang and W. C. Chew, “A mixed-form fast multipole algorithm,” IEEE Trans. Antennas Propag., vol. 53, no. 12, pp. 4145–4156, Dec. 2005. [17] J. S. Zhao and W. C. Chew, “Integral equation solution of Maxwell’s equations from zero frequency to microwave frequencies,” IEEE Trans. Antennas Propag., vol. 48, no. 10, pp. 1635–1645, Oct. 2000. [18] T. A. Davis, “A column pre-ordering strategy for the unsymmetricpattern multifrontal method,” ACM Trans. Math. Softw., vol. 30, no. 2, pp. 165–195, Jun. 2004. [19] Y. H. Chu and W. C. Chew, “A multilevel fast multipole algorithm for electrically small composite structures,” Microw. Opt. Technol. Lett., vol. 43, no. 3, pp. 202–207, Nov. 2004.

[20] M. Kamon, M. J. Tsuk, and J. White, “FastHenry: A multipole-accelerated 3-D inductance extraction program,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1750–1758, Sep. 1994. Zhi Guo Qian (S’07) received the B.S. and M.S. degrees from the Southeast University, Nanjing, China, in 2001 and 2004, respectively, both in electrical engineering, and is currently working toward the Ph.D. degree in electrical engineering at the University of Illinois at Urbana-Champaign. His research interests include low-frequency integral-equation techniques and fast algorithms for the integrated circuit analysis.

Weng Cho Chew (S’79–M’80–SM’86–F’93) received the B.S., M.S. and Engineer’s degrees, and Ph.D. degree from the Massachusetts Institute of Technology (MIT), Cambridge, in 1976, 1978, and 1980, respectively, all in electrical engineering. He is currently the Dean of Engineering at The University of Hong Kong, Kowloon, Hong Kong. He was a Professor and the Director of the Center for Computational Electromagnetics and the Electromagnetics Laboratory, University of Illinois at Urbana-Champaign (UIUC). Prior to joining the UIUC, he was a Department Manager and a Program Leader with Schlumberger-Doll Research. He is the originator of several fast algorithms for solving electromagnetics scattering and inverse problems. He has led a research group that has developed parallel codes that solve dense matrix systems with tens of millions of unknowns for the first time for integral equations of scattering. He was a Founder Professor of the College of Engineering. He is currently a Y. T. Lo Endowed Chair Professor with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign. In 2006, he served as the Cheng Tsang Man Visiting Professor with the Nanyang Technological University, Singapore. He authored Waves and Fields in Inhomogeneous Media [Van Nostrand, 1990; IEEE Press, 1995 (reprint)], coauthored Fast and Efficient Methods in Computational Electromagnetics (Artech House, 2001), and has authored or coauthored over 300 journal publications, over 400 conference publications, and over ten book chapters. Recently, ISI Citation elected him to the category of Most-Highly Cited Authors (top 0.5). His research interests are in the areas of waves in inhomogeneous media for various sensing applications, integrated circuits, microstrip antenna applications, and fast algorithms for solving wave scattering and radiation problems. Dr. Chew is a Fellow of the Optical Society of America (OSA) and the Institute of Physics (IOP). He was a National Science Foundation (NSF) Presidential Young Investigator (USA). He has served on the Administrative Committee (AdCom) for IEEE Antennas and Propagation Society, as well as for the IEEE Geoscience and Remote Sensing Society. He has also been actively involved with various journals and societies. He was the recipient of the Schelkunoff Best Paper Award, the IEEE Graduate Teaching Award, the UIUC Campus Wide Teaching Award, and the IBM Faculty Award. Since 2005, he has served as an IEEE Distinguished Lecturer. Roberto Suaya (M’88) received the Ph.D. degree in physics from the University of Buenos Aires, Buenos Aires, Argentina, in 1973. He is currently the Chief Scientist with the Calibre Division, Mentor Graphics Corporation, St. Ismier, France. He has held academic positions in theoretical physics with the University of Illinois at Urbana-Champaign, McGill University, Montreal, QC, Canada, and with the Stanford Linear Accelerator Center, Stanford, CA, and in computer science with the California Institute of Technology, Pasadena. He has held research positions with the Fairchild Research Center, Schlumberger Palo Alto Research in System Science, the Computer Science Laboratory, SRI International (formerly Stanford Research Institute), and Weidlinger Associates. He also founded Thunder Software. He is a member of the Technical Advisory Board on CAD for the Semiconductor Research Corporation (SRC). He has lectured extensively in Europe and North and South America. He has been published extensively in very large scale integrated (VLSI) computer-aided design (CAD) and theoretical physics. He coedited VLSI and Parallel Computation (Morgan Kaufmann, 1991). Dr. Suaya has co-chaired international conferences on frontiers in VLSI. He is an associate editor of the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2365

Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications Jingliang Zheng and Ming Yu, Senior Member, IEEE

Abstract—An accurate and efficient method for analyzing circular to multiple off-center rectangular side-coupled waveguide T-junctions is developed based on a rigorous mode-matching technique. The method is very general and not limited by symmetry or other dimensional constraints to the junction. A new way to match fields on the curved interface between subregions is described in detail. The computer code developed based on this theory is highly efficient. The numerical results match with the results obtained by other methods including experimental ones. The method is used to design a side-coupled circular waveguide dual-mode filter. Index Terms—Circular waveguide T-junction, mode-matching method.

I. INTRODUCTION Fig. 1. Side-coupled dual-mode filter.

HE DUAL-MODE circular waveguide filter using the TE11n mode is one of the most important filter types for satellite splitting and combing networks because of its compact size and excellent performance. Traditionally, this type of filter is coupled at the ends of the circular cavities. In some cases, this may not be possible since the ends may be inaccessible, or may contain an adjustment mechanism for temperature compensation or frequency tuning. In the new generation of dual-mode waveguide filters introduced by Yu et al. [1], the input/output coupling and/or coupling between the circular cavities are realized at the sides of the circular cavities. In these filters, there are rectangular irises and/or rectangular waveguide sections on the sidewalls of the circular cavities (Fig. 1). To design such filters more efficiently, an accurate and efficient method to simulate circular to rectangular side-coupled waveguide T-junctions, as shown in Figs. 2 and 3, is desired. The mode-matching technique [2]–[7] is a powerful electromagnetic (EM) modal analyzing method and is widely used for simulating different waveguide circuits and solving other EM problems. For problems where the discontinuity interface is parallel to the coordinate planes at both sides of the discontinuity, mode-matching formulas are straightforward to derive and the method is extremely efficient and convenient. However, if the discontinuity interface is not parallel to one side’s or both sides’ coordinate plane, the matching between the fields of both sides becomes much more complicated. In order to obtain an efficient

T

Manuscript received May 16,2007; revised August 28, 2007. The authors are with Com Dev Ltd., Cambridge, ON, Canada N1R 7H6 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908662

Fig. 2. Side-coupled circular to single rectangular waveguide T-junction.

solution, the authors developed different ways to choose a convenient surface or region for matching the fields. Melloni et al. [8] analyzed a waveguide bandpass filter using the mode-matching technique. The main building blocks of the filter are the cylindrical resonators coupled by rectangular irises from the sidewalls. When matching the fields in the junction, the curvature effect of the cylinder is neglected. Therefore, the accuracy of this method will be questionable if the size of the rectangular waveguide is large.

0018-9480/$25.00 © 2007 IEEE

2366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 3. Subregions and the geometry of side-coupled circular to multiple rectangular waveguide T-junction.

Krauss and Arndt [9] divided the whole T-junction structure into six subregions, as shown in Fig. 2(a): two circular waveand guide regions at two circular ports (outside of surfaces ), one rectangular waveguide region at the rectangular port (outside of surface ), one circular cavity region between surand , and two additional regions, which are the “infaces and , and an inhomogeneous region” between surfaces finitely thin artificial intermediate region on surface . The “inhomogeneous region” contains the half-moon-shaped area. The field in this region is calculated by the boundary-contour mode-matching method [10]. The existence of the “inhomogeneous region” requires a minimum length of rectangular waveguide such that the rectangular port is not too close to the junction. Gentili and Melloni [11] divided the junction part of the structure into subregions using a similar approach to Krauss and Arndt [9]. The generalized admittance matrix (GAM) has been used and the infinitely thin artificial intermediate region on suris no longer needed. Although minimal detailed derivaface tion was given, a different method from [9] for the analysis of the transition region has been employed. While there are no limitations on the physical dimensions, the transition subregion beand is still needed. tween surfaces Rong and Zaki [12] solved a more complicated, but symmetrical problem, where two circular cylinders with circular dielectric bodies at their centers are connected by a rectangular waveguide. The cross-sectional geometry of the structure is symmetrical in both the - and -directions [see Fig. 2(b)]. At the curved connecting interface between circular and rectangular parts, no additional region was used and the fields were matched directly on the interface. Using the symmetry condition, the authors simplified the problem by putting a perfect electric/magnetic conducting wall on both symmetry planes. Wu et al. [13] solved a 1-D symmetrical problem, as shown in Fig. 2(c). No additional region was used at the curved interface, and the rectangular region was extended into the cavity region. Instead of using the interfaces , the fields are matched on the artificial interface . In order to avoid the numerical integrations where the integrand includes Bessel functions, the finite plane-wave series-expansion technique [14] was used to expand the modes in the cavity region. Since the boundary conditions on the half-moon-shaped area between the circular waveguide region and rectangular waveguide region were ignored, the accuracy becomes poor if the rectangular waveguide size “b” is large.

Zheng and Yu [16] uses the mode-matching method to analyze a side-coupled circular waveguide to multiple rectangular waveguide T-junctions without any symmetry, as shown in Fig. 3. The rectangular waveguides may have different dimensions and not necessarily be centered on the circular cavity. Since the longitudinal field components and the longitudinal factor of the transversal field are included in the equations obtained from the boundary conditions, choosing an appropriate weighting function is an essential part of the solution process. The weighting functions chosen in this study led to two important features. First, the fields are matched directly on the with neither artificial intermediate region, curved interface nor transition region being used, which reduces the complexity of the problem. Second, there are no Bessel functions in the integrand of the numerical integrations so that the numerical computation is much faster. The approach of selecting the weighting function in this study is applicable to either flat or curved interfaces. This paper discusses in detail the method for analyzing a sidecoupled circular waveguide to multiple rectangular waveguide T-junctions described briefly in [16]. The resultant formulations are listed in the Appendix. The convergence problem is also discussed. The method is used to design a side-coupled circular waveguide dual-mode filter, and is validated by numerical and experimental results. It is demonstrated that the method is highly efficient, and has minimal limitations on the geometry of the waveguide sections. II. FORMULATIONS A. Sub-Regions A few rectangular waveguides with different cross sections connected to a circular waveguide from its side are shown in Fig. 3. Angle and can be any reasonable value where the subscript indicates the th rectangular waveguide. Fig. 2(a) is again used to represent the 3-D view of Fig. 3, although only one rectangular waveguide branch is shown. The whole T-junction is divided into three types of subregions, i.e.: 1) circular waveguide regions ; 2) rectangular waveguide regions ; and 3) cavity region . There are two circular waveguide regions, i.e., and , from interfaces and to two circular ports, respectively. The number of rectangular waveguide regions , to one rectangular port, is equal which span from interface to the number of rectangular waveguides connected to the junction. The cavity region is in the middle of the structure, connecting with the circular waveguide subregions at the interface and and the rectangular waveguide subregions at the interface . All circular and rectangular waveguide subregions do not connect with each other directly. The EM fields in different subregions are expressed by their own modal function series. The fields in neighboring subregions are matched on their common interface , , or . B. Field Modal Functions in Subregions The modal functions for EM fields in circular and rectangular waveguide can be found in standard textbooks, which are repeated here for completeness. In order to stay concise, only the longitudinal magnetic field component for TE modes and

ZHENG AND YU: RIGOROUS MODE-MATCHING METHOD OF CIRCULAR TO OFF-CENTER RECTANGULAR SIDE-COUPLED WAVEGUIDE JUNCTIONS

the longitudinal electric field component for TM modes are expressed in this paper. All transverse field components can be derived from longitudinal field components by the formula

2367

of the th rectangular waveguide in the - and -direction, respectively. There are three different sets of modal functions in circular cylindrical cavity region . The first modal function set contains the modes for the circular cylinder shorted at interface and

(1) where is the wavenumber of the medium filling the waveguide junction, is the propagation constant of the mode in the waveguide, and is the transverse gradient operator

(5)

where the transverse coordinate factors

(Cartesian coordinate)

and

(2) (cylindrical coordinate).

, their normalization factors

The upper and lower sign in symbols and in (1) and other formulas in this paper always indicate the wave propagating forwards and backwards, respectively. In circular waveguide region , the field modal functions are

and

, and

the propagation constants and are exactly the same as they were in (3) for the circular waveguide region since the radius is the same for both regions. The second modal function set in the cavity region includes the modes in the circular cylinder shorted at interface and . Its expressions can be obtained from (5) directly by with . substituting The third modal function set in the cavity region is the mode set for the space between two parallel plates at the interface and , respectively,

(3)

where is the Bessel function of order , and are the th zero of the th-order Bessel function and its derivative, respectively, is the radius of the circular waveguide, and and are the normalization factors. Subscripts and indicate the TE and TM mode, and and represent the and mode. Subscript indicates the transverse coordinate factor. In circular waveguide region , the field modal functions are almost the same as (3). The only difference, which is needed for added convenience during field matching, is that the coordinate in (3) has to be replaced with , where is the distance and . between interfaces In rectangular waveguide regions ,

(6)

Each mode normalization factor or in (6) will be determined by forcing the vector product between and of that mode over the entire cavity side surface to be 1, while all other normalization factors in (3)–(5) are determined by the vector product over the cross-sectional surface of the corresponding waveguide. The propagation constants in (3)–(6) are

(4) where and are the normalization factors. , , and are local coordinates in the th rectangular waveguide with as the longitudinal coordinate and parallel to the axis of the circular cylinder in the structure. and are the dimensions

(7)

2368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

C. Field Matching Procedure Arranging the mode sequence in each modal function set according to their cutoff frequencies, the double mode indices and can be replaced by the mode sequence’s number in the formulas. In this paper, , , , , and will be used as TEand TM-mode indices for different mode sets instead of and . The fields in each subregion are the superposition of the modal functions in that subregion. Taking only the first finite terms, the superposition can be expressed in the following matrix expressions. Since the electrical and magnetic fields have a similar form, only the -field expressions will be shown and , the total field is here. In subregion (8) where superscript

can be

and

.. .

and coefficient matrix have expressions similar to (12) and (13). In cavity region , the fields contain three groups of modes (14) where , , and , , have similar expressions, as shown in (9) and (10). in (8), (11), and (14) are unknown coeffiThe matrices cient matrices, which will be determined by the boundary conditions on all ports and all interfaces between subregions. There unknown coefficient matrices altogether, are indicates the number of rectangular waveguides in where is the total port number. the structure and matrix equations are needed to obtain the relationship between the incoming and outgoing wave modes on all ports, which may be presented in the form of a general scattering matrix. From the electrical boundary conditions on the interface between subregions, three matrix equations can be obtained. Another equations will be derived from the magnetic boundary conditions. and on the surface The vector product of functions are defined by the following integration: (15)

.. . .. .

The electrical boundary condition on the interface on

(9) .. . and form to

are similar to and , and the coefficient matrix

,

has a similar

is (16)

where is the unit vector in the -direction. Choosing , , , and as the weighting functions, and taking the vector product on both sides of (16) on , it is derived that (17)

(10) and are similar to and , has a similar . form to In subregion , the fields have similar expressions

where is the diagonal matrix shown in the Appendix. Similarly, from the electrical boundary condition on the interface , the following equation is obtained: (18) On the interface

, the electrical boundary condition is

(11) on

(19)

where where contains the complete side surface of the cylindrical , , cavity. Using the weighting functions , and , and taking the vector product on both sides of (19) on , (20) is obtained as follows:

.. .

(20)

.. . .. . (12) .. . (13)

and diagonal matrix are shown in the where matrices Appendix. Most integrations included in the elements of ma, except for a few special cases, cannot be solved antrices alytically. However, since the integrands do not contain Bessel functions, numerical integration can be done quickly. That is one of the advantages of choosing a cylindrical surface as the

ZHENG AND YU: RIGOROUS MODE-MATCHING METHOD OF CIRCULAR TO OFF-CENTER RECTANGULAR SIDE-COUPLED WAVEGUIDE JUNCTIONS

interface between the cavity and rectangular waveguide subregions. shows The magnetic boundary condition on the interface on

(21)

, , , Using weighting functions , and taking the vector product on both sides of and (21) on the interface , it is derived

2369

is one of the essential steps of the mode-matching technique. Actually, (25) is a general way to select the weighting functions. It is valid for cases where the interface is parallel or is not parallel to the tangential field components in the corresponding subregions. Following this approach, the weighting function for boundary condition (21) should be

(22) Similarly, from the magnetic boundary condition on the interface , using similar weighting functions, it is obtained (23) The magnetic boundary condition on the interface on

shows

(27) Since the interface cular waveguide,

is parallel to the cross section of the cir-

(24)

is the interface between the cavity region and the th where rectangular waveguide region. The choice of weighting functions for performing the vector products on both sides of (24) is important. The weighting functions should have the characteristics of both the forward and backward propagating waves. If the interface is a flat plane such or , and is parallel to the tangential field components, as field expression factors having the longitudinal coordinate are constant on the interface, and thus the forward and backward propagating waves differ by a constant factor. In the case of a curved non parallel interface, field expression factors having the longitudinal coordinate are not constant over the interface so the difference between the forward and backward propagating waves is no longer described by a constant factor. A possible way to achieve the goal is to use the sum of the forward and backward propagating waves as the weighting functions

(25) Performing the vector product to both sides of (24) with these yields weighting functions on

(26) where superscript indicates the transpose of the matrix. Equation (17), (18), (20), (22), (23), and (26) are together matrix equations. Combining these equations, unknown coefficient matrices , , and can be eliminated and desired matrix equations are obtained. These conunknown coefficient matrices , , and tain representing the magnitude and phase of the forward and backward propagating wave modes at each port. The general scattering matrix for the structure can be obtained from these equations. Selecting the weighting function and taking the vector product in order to get equations from the boundary conditions

on on

(28)

By combining (27) and (28), the weighting function used to derive (22) can be obtained. III. CONVERGENCE PROBLEM For practical purposes, all the field modal functions have to be truncated in numerical calculation. It is well known in modematching techniques that the choice of the number of the modes and the ratio of these numbers between different subregions can strongly influence the accuracy of the simulation. In this study, a critical frequency is used to determine the mode numbers. All modes that have cutoff frequencies lower than the critical frequency must be taken into account. The convergence of the example shown in Fig. 4 is studied. It is worth pointing out that the aperture at the junction is large in the circumferential direction of the cylinder. The -parameters GHz using of the junction are simulated at frequency different critical frequencies. Table I shows the mode numbers and the simulated data versus the critical frequency. When the critical frequency is selected as six times the frequency of , the simulated -parameters converged. Although S11sin (the return loss of sin mode at the circular port) varies rapidly in the (see Fig. 4), its simulated results at frequency band near showed little change, while the critical frequency changed from to . IV. NUMERICAL RESULTS A computer program based on the presented theory is developed to simulate side-coupled circular waveguide to multiple rectangular waveguide T-junctions. A series of examples of such structures with different dimensions and different symmetries is simulated by the program and compared with the measurements and other simulation tools. Three examples are shown in Figs. 4–6.

2370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

TABLE I CONVERGENCE OF THE SIMULATION DATA

Fig. 5. Magnitude of S -parameter of a circular cavity coupled to a rectangular waveguide with a longitudinal slot at its side and connected to rectangular waveguides at both its ends (plot from [16]).

Fig. 4. Magnitude of guide T-junction.

S -parameters of a circular to single rectangular wave-

Fig. 4 shows an example of a circular to single rectangular , in and waveguide T-junction, where in. The two circular ports are set to be ports 1 and 2, while the rectangular port is port 3. This figure shows the -parameters of the first two modes at the circular ports, the TE11-cos and TE11-sin mode, and the first mode at the rectangular port TE10. The inflection point at approximately 12.1 GHz is caused by the TM01 mode in the circular waveguide. Its cutoff frequency is 12.116 GHz. On a 3.6-GHz P4 computer with 3.5-GB RAM, the computation of the proposed method takes approximately 1 s for one frequency point and approximately 2 min for the entire

Fig. 6. Magnitude of S -parameters of a circular to two off-center rectangular waveguide T-junction with shorted ends at two circular ports (plot from [16]).

frequency band, while HFFS uses over 40 min for the whole frequency band. Fig. 5 shows an example of a circular waveguide cavity with a longitudinal slot iris coupled to a rectangular waveguide. Both cavity ends are connected to rectangular waveguide junctions. , , in, , cavity length is 2.2 in, iris thickness is 0.014 in, and the WR75 waveguide

ZHENG AND YU: RIGOROUS MODE-MATCHING METHOD OF CIRCULAR TO OFF-CENTER RECTANGULAR SIDE-COUPLED WAVEGUIDE JUNCTIONS

2371

TABLE II

N + 2 COUPLING MATRIX OF THE FOUR-POLE FILTER

is used at all three ports. The simulation results match with the measurement. Fig. 6 shows an example of a circular to two off-center rect, angular waveguide T-junction, where , in, , , and . The two circular ports are shorted at the ends. The length of each circular waveguide section on both sides of the T-junction is 1.5 in. This figure shows the -parameters of the first mode at the rectangular ports TE10 mode. Both the mode-matching results and Agilent Technologies’ High Frequency Structure Simulator (HFSS) results show glitches at approximately 10.4 and 12.2 GHz, which correspond to the resonant frequency of the TE113 and TE115 modes in the circular cylindrical cavity respectively. Computation using the proposed method takes approximately 1 s for one frequency point and approximately 3 min for the entire frequency band, while HFFS uses over 80 min for the entire frequency band. V. SIDE-COUPLED DUAL-MODE FILTERS A side-coupled circular waveguide dual-mode four-pole filter with a trifurcated iris, as shown in Fig. 1, is designed. The geometry of the filter is described in [1]. The design is performed by using the space-mapping method [15]. The fine model computation is performed using computer code (engine) based on the presented theory. In addition to the iris models given earlier, tuning screws are modeled using the 2-D finite-element method and 3-D mode-matching method. Unlike [1], which used HFSS as a fine model engine, the mode-matching-based engine runs 30 times faster. Since the EM code is called many times in the space-mapping process, the presented technique improved total design cycle time by a factor of ten. The coupling matrix used to design the filter is shown in Table II. Fig. 7 shows the performance of the filter where the simulation results match with the measurement results quite well. Typical computation time for one frequency point is approximately 4 s. Only a few minutes are required when a full band response is desired for typical filter design problems. VI. CONCLUSION A rigorous and efficient mode-matching technique has been presented for analyzing circular to multiple off-center rectangular side-coupled waveguide T-junctions. The method is general and does not have any symmetrical or dimensional limitations at the junction. The computer code developed based on

Fig. 7. Performance of a side-coupled circular waveguide dual-mode filter with trifurcated iris.

this theory is accurate and uses less computer time than existing solvers, which makes it possible to analyze and optimize a side-coupled circular waveguide dual-mode filter more efficiently. APPENDIX In all the following, trices:

,

,

, and

are diagonal ma-

2372

where

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

and

are mode indices

ACKNOWLEDGMENT The authors wish to thank Dr. X. Tian and B. Yassini, both with Com Dev Ltd., Cambridge, ON, Canada, for their help on checking this paper’s long and tedious equations.

REFERENCES [1] M. Yu, D. J. Smith, A. Sivadas, and W. Fitzpatrick, “A dual mode filter with trifurcated iris and reduced footprint,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 3, pp. 1457–1460. [2] A. Wexler, “Solution of waveguide discontinuities by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 9, pp. 508–517, Sep. 1967. [3] H. Patzelt and F. Arndt, “Double-plane steps in rectangular waveguides and their application for transformers, irises, and filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 5, pp. 771–776, May 1982. [4] F. Alessandri, G. Bartolucci, and R. Soberto, “Admittance matrix formulation of waveguide discontinuity problem: Computer-aided design of branch guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 394–403, Feb. 1988. [5] X.-P. Liang and K. A. Zaki, “A rigorous three plane mode-matching technique for characterizing waveguide T-junction, and its application in multiplexer design,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2138–2147, Dec. 1991. [6] G. V. Eleftheriades, A. S. Omar, L. P. B. Katehi, and G. M. Rebeiz, “Some important properties of waveguide junction generalized scattering matrices in the context of the mode matching technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1896–1903, Oct. 1994. [7] F. Arndt, R. Beyer, J. M. Reiter, T. Sieverding, and T. Wolf, “Automated design of waveguide components using hybrid modematching/numerical EM building-blocks in optimization-oriented CAD frameworks—State-of-the-art and recent advances,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 747–759, May 1997. [8] A. Melloni, M. Politi, and G. G. Gentili, “Mode-matching analysis of TE011-mode waveguide bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2109–2116, Sep. 1995. [9] P. Krauss and F. Arndt, “Rigorous mode-matching method for the modal analysis of the T-junction circular to side-coupled rectangular waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 1995, vol. 3, pp. 1355–1358. [10] J. M. Reiter and F. Arndt, “Rigorous analysis of arbitrarily shaped H - and E -plane discontinuities in rectangular waveguides by a fullwave boundary contour mode-matching method,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 796–801, Apr. 1995. [11] G. G. Gentili and A. Melloni, “Analysis of the X-junction between tow rectangular waveguides and a circular waveguide,” IEEE Microw. Guided Wave Lett., vol. 7, no. 8, pp. 245–247, Aug. 1997. [12] Y. Rong and K. A. Zaki, “Full-wave analysis of coupling between cylindrical combline resonators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1721–1729, Sep. 1999. [13] K.-L. Wu, M. Yu, and A. Sivadas, “A novel modal analysis of a circular-to-rectangular waveguide T-junction and its application to design of circular waveguide dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 465–473, Feb. 2002. [14] R. H. MacPhie and K.-L. Wu, “Scattering at the junction of a rectangular waveguide and a larger circular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2041–2045, Sep. 1995. [15] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EMbased design of larger-scale dielectic-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 386–392, Jan. 2004. [16] J. Zheng and M. Yu, “Rigorous mode matching method for circular to off-center-rectangular side-coupled waveguide junctions,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 1923–1926.

ZHENG AND YU: RIGOROUS MODE-MATCHING METHOD OF CIRCULAR TO OFF-CENTER RECTANGULAR SIDE-COUPLED WAVEGUIDE JUNCTIONS

Jingliang Zheng received the B.S. degree from Beijing University of Posts and Telecommunications, Beijing, China, in 1982, and the Masters and Ph.D. degrees in electrical engineering from Tsinghua University, Beijing, China, in 1984 and 1988. For one year, he was with the Beijing Design Institute of Telecommunications, Beijing, China, where he was involved with wireless communication. From 1989 to 1993, he was involved with EM field simulation with the Swiss Federal Institute of Technology, Zurich, Switzerland. From 1994 to 1998, he was involved with antenna and antenna array design with DSO National Laboratories, Singapore. He was then an Engineer with GHz Technologies Inc., Montreal, QC, Canada, for two years. In 2000, he joined the Research and Development Department, Com Dev Ltd., Cambridge, ON, Canada, where he is currently a Senior Member of Technical Staff, involved with the development of computer-aided design (CAD) software for design, simulation, and optimization of microwave circuits for space applications.

2373

Ming Yu (S’90–M’93–SM’01) received the Ph.D. degree in electrical engineering from the University of Victoria, Victoria, BC, Canada, in 1995. In 1993, while working on his doctoral dissertation part time, he joined Com Dev Ltd., Cambridge, ON, Canada, as a Member of Technical Staff, where he was involved in the design of passive microwave/RF hardware from 300 MHz to 60 GHz. He was also a principal developer of a variety of Com Dev Ltd.’s design and tuning software for microwave filters and multiplexers. His varied experience with Com Dev Ltd. also includes being the Manager of filter/multiplexer technology (Space Group) and Staff Scientist of corporate research and development (R&D). He is currently the Director of R&D. He is responsible for overseeing the development of RF microelectromechanical system (MEMS) technology, computeraided tuning and EM modeling, and optimization of microwave filters/multiplexers for wireless applications. He is also an Adjunct Professor with the University of Waterloo, Waterloo, ON, Canada. He has authored or coauthored over 70 publications and numerous proprietary reports. He is frequently a reviewer for IEE publications. He holds eight patents with four pending. Dr. Yu is vice chair of the IEEE Technical Coordinating Committee 8 (TCC, MTT-8) and is a frequent reviewer of numerous IEEE publications. He is the chair of the IEEE Technical Program Committee 11 (TPC-11) for 2006 and 2007. He was the recipient of the 1995 and 2006 Com Dev Ltd. Achievement Award for the development of computer-aided tuning algorithms and systems for microwave filters and multiplexers.

2374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Passivity Enforcement With Relative Error Control Stefano Grivet-Talocia, Senior Member, IEEE, and Andrea Ubolli

Abstract—This paper introduces a new error control strategy in passivity enforcement schemes for linear lumped macromodels. We consider the general class of a posteriori passivity enforcement algorithms based on Hamiltonian matrix perturbation. Standard available formulations preserve the accuracy during passivity enforcement using special matrix norms associated to the controllability Gramian of the macromodel. This procedure leads to absolute error control. On the other hand, it is well known that relative error control in the macromodel is sometimes preferable, especially for structures that are characterized by small coupling coefficients or high dynamic range in their responses. Here, we present a frequency-weighting scheme leading to the definition of a modified Gramian that, when employed during passivity enforcement, effectively leads to relative error control. Several examples illustrate the reliability of the proposed technique. Index Terms—Hamiltonian matrices, linear macromodeling, passivity, perturbation theory, relative error, weighted Gramian.

I. INTRODUCTION ASSIVE macromodeling has become a common practice in the design flow of digital, RF, and mixed-signal systems in several application areas. Macromodeling techniques derive broadband equivalent circuits from frequency- or time-domain responses, typically obtained from full-wave field solvers or direct measurements. Such equivalent circuits can be used within standard circuit analysis tools such as SPICE in order to assess the electrical performance of a design since its early stages [1], [2]. Thus, macromodeling bridges the gap between fields and circuits, allowing their fast and accurate co-simulation. Macromodels usually consist of Laplace-domain rational approximations of the transfer matrix of a given linear structure. Several algorithms are now available for the robust computation of these rational approximations starting from port responses. A very effective algorithm is the well-known vector-fitting scheme, in its various implementations [3]–[9]. This algorithm has now become de facto an industry standard, leading to more accurate and robust results with respect to its classical counterparts. One of the important features that vector fitting (as well as many other common rational approximation schemes) is not able to guarantee is the passivity of the macromodel. A model is passive when it is unable to generate energy in any termination condition [10]–[13]. It is well known that nonpassive models may lead to unstable transient simulation depending on their termination networks [14], [15]. Thus, passivity is a fundamental

P

Manuscript received April 24, 2007; revised July 23, 2007. The authors are with the Department of Electronics, Politecnico di Torino, Turin 10129, Italy (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908661

property that should be enforced in any model for its safe use in a computer-aided design (CAD) environment. Even when beginning from initially passive data, rational macromodels may lack passivity for two main reasons. First, the unavoidable approximation errors may lead to passivity violations at those frequencies where the structure exploits a nearly lossless behavior. Second, the band-limited nature of any characterization (frequency or time domain, simulated or measured) does not allow controlling the behavior of the approximation outside the available data bandwidth. Therefore, the most severe passivity violations are usually located outside the desired modeling bandwidth. Passivity has been a subject of intense research over the last few years. Several techniques are now available for the enforcement of macromodel passivity. Methods based on convex optimization [16], using some form of the positive real or bounded real lemma [13], do allow a priori passivity enforcement [17]–[19]. Their use is unfortunately limited to small-scale models both in terms of dynamic order and port count. A posteriori passivity correction techniques are available for larger size models. Some are based on linear or quadratic programming [15], [20]–[23] at discrete frequency samples. Other methods exploit the theory of Hamiltonian matrices [14], [24], [25]. We concentrate here on the latter class of methods, although the proposed formulation can be applied to any passivity enforcement scheme. The above-mentioned a posteriori passivity enforcement schemes apply some perturbation to the model until its passivity is achieved. This perturbation is performed using special constraints insuring that the model accuracy is preserved. These constraints have always been formulated in terms of absolute error in the responses, except for the very recent results in [22], [26]–[28]. In this study, we present a method allowing for the systematic preservation of the relative error on each individual response during the passivity enforcement. We show that the proposed technique, which is based on a particular norm employing a frequency-weighted controllability Gramian of the model, leads to superior performance with respect to standard absolute error controlled schemes. This paper extends and generalizes the preliminary results presented in [28], where a simplified formulation is developed for one-port (scalar) models and applied only to low-complexity examples. Here, we present a complete and general formulation, including detailed derivations. The results in this study are directly applicable to macromodels with an arbitrary number of ports, as documented by the rich set of numerical examples taken from various application areas. This paper is organized as follows. Section II motivates this study using a simple, but illustrative example. Section III introduces basic notation and background material. Section IV defines the frequency-weighted norms allowing for relative

0018-9480/$25.00 © 2007 IEEE

GRIVET-TALOCIA AND UBOLLI: PASSIVITY ENFORCEMENT WITH RELATIVE ERROR CONTROL

error control. Section V presents the new passivity enforcement scheme. Finally, Section VI demonstrates the excellent performance of proposed scheme on several examples. II. MOTIVATIONS We motivate the need for relative error control using a very simple, but significant example. We consider the dc response of two coupled interconnects for digital signal transmission. The and are reftwo signal lines have a dc resistance erenced to a common larger ground conductor having dc resis. The four-port scattering matrix referenced to tance is computed and successively used to evaluate the dc response of the interconnect. In this experiment, the near-end ports are matched, with an additional unit voltage source exciting only one conductor, and the two far-end ports are left open. Nominal dc solution is 1 V at both ports of the excited conductor, and 0 V on the victim conductor. The nominal scattering matrix is then perturbed in two different ways. First, a constant perturbation is applied by adding to all its elements, thus simulating the derivation of a macromodel with absolute error control. Relative perturbation , thus simis also applied by multiplying all elements by ulating macromodel derivation with relative error control. The solution of the two models leads to a maximum 4-mV crosstalk offset in the absolute perturbation case, whereas this offset is as low as 1 V in the relative perturbation case. This difference is mainly due to the change in the terminations with respect to the nominal (matched) conditions. Since any macromodel is meant to represent the port behavior of a linear structure under any possible termination scheme, it is clear that relative error control provides the optimal solution. Absolute error control may produce unreliable results. III. PRELIMINARIES AND NOTATION The main notation that will be used throughout this paper is introduced here. Reference material on Hamiltonian-based passivity enforcement schemes is also briefly recalled in order to simplify the presentation of the new developments in Section V. A. Basic Notation Throughout this paper, , , and denote a generic scalar, vector (lower case and boldface), and matrix (upper case and boldface), respectively. Superscripts , , and will stand for the complex conjugate, transpose, and conjugate (Hermitian) transpose, respectively. We consider linear macromodels in state–space form, described by the following standard shorthand notation:

2375

the linear component over a given bandwidth, the macromodel are obtained by solving parameters (2) with a suitably defined norm. Several algorithms are available for this task, including the well-known vector-fitting scheme [3]–[9]. Note that any equivalent macromodel form in terms of poles/residues or poles/zeros is readily converted into (1). We will assume a state–space realization with the same structure as in [14] since macromodels are usually obtained in this form [3], [5], [9]. More precisely, we assume the following structure:

(3) where stores in its diagonal the poles of the th column of , is a array with all entries equal to 1, and stores the residues of the th column of . If complex pole pairs are present, the transformation in [14] can be applied to the relevant blocks of (3) in order to recover a real realization. Therefore, we will assume a real-valued realization without loss of generality. to be either a scattering or a hybrid We will consider matrix of the model, the latter also including impedance and admittance as special cases. A system in the form of (1) is passive when the following three conditions are fulfilled [12], [13]. is defined and analytic in 1) Each element of . , , where 2) in the hybrid representation case, and in the scattering representation case. . 3) In the following, we will assume a slightly more stringent regularity condition than 1) by requiring all eigenvalues of to be strictly stable. No purely imaginary poles will be allowed in the model. Conversely, we will not consider the overly restrictive strict passivity conditions [29] instead of 2) since we want to also include in our applications lossless structures. Basic operations on transfer matrices can be recast as algebraic operations on the associated state–space realizations. In particular, we have (4) whenever

is nonsingular, and

(1) (5) is the transfer matrix where is the Laplace variable, of the macromodel, and are the state–space ma. This macromodel trices of some realization associated to is obtained via some fitting, approximation, or identification process from tabulated responses of a given linear and time-invariant structure or component. As an example, if are the frequency samples of the scattering matrix of

for any pair of transfer matrices with compatible dimensions. The reader is referred to [30] for further details. associated to (1) is The controllability Gramian defined as the solution of the following Lyapunov equation [11]: (6)

2376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

We will postulate controllability, which follows implicitly from the adopted structure (3). Therefore, the Gramian is strictly positive definite and admits the following Cholesky decomposition:

, whereas in the scattering case,

with we have

(14) (7) where

with

is upper triangular.

B. Absolute Norms Let us assume that the macromodel (1) is not passive. Most passivity enforcement schemes that have been presented thus far [20]–[25] try to find a new passive model (8) by preserving the system poles and perturbing the associated residues, which are located in matrix . The numerical evaluais performed in order to keep the induced perturbation of tion in the system response (9) as small as possible. The standard measure that is used to quantify this amount of perturbation is the (energy) norm, defined as

and . Let denote the set of (simple) purely imaginary eigenvalues (hybrid) or (scattering). It can be shown [24], [33] of that the model is not passive whenever . Passivity can be recovered by perturbing these imaginary eigenvalues [14], [24], [34] until they move off the imaginary axis. We now recall the main result of [24], which is the starting point for the new developments of this paper. Hamiltonian eigenvalue displacement is achieved by solving an inverse corresponding to perturbation problem, i.e., by finding the a desired eigenvalue perturbation. Using standard first-order expansions, the following linear constraint: (15) is obtained for each eigenvalue to be perturbed. In this expression, denotes the desired perturbation on the th imaginary eigenvalue, is the Kronecker matrix product [31], [32], matrix is defined as (16) and (17)

(10) where denotes the Frobenius norm. It is clear from the can be regarded as a cumulative above definitions that absolute error in the responses induced by the perturbation. The controllability Gramian turns out to be very useful for the evaluation of (10) since it can be shown [30] that (11)

(18) in the hybrid case and (19)

(12)

in the scattering case. Detailed derivations can be found in [24]. To summarize, passivity is enforced by iteratively finding a solution of the underdetermined system having (15) as its th row. According to (11), the mean energy of the absolute perturbation in all responses can be minimized by performing the basis change (12) in (15)

in a co-

(20)

stacks the columns where is the matrix trace, operator of its matrix argument [31], [32], and where

represents the perturbation on the state–space matrix ordinate system defined by .

is the right eigenvector of the Hamiltonian matrix associated to eigenvalue . Vector is defined as

and finding the minimum-norm solution of this underdetermined system using standard pseudoinverse methods [35].

C. Hamiltonian-Based Passivity Enforcement We now recall the definition of the Hamiltonian matrix associated to (1). In the hybrid case, we have (13)

IV. WEIGHTED GRAMIANS Here we introduce the frequency-dependent weighting schemes and the associated norms that will be used for the relative error control during passivity enforcement in Section V.

GRIVET-TALOCIA AND UBOLLI: PASSIVITY ENFORCEMENT WITH RELATIVE ERROR CONTROL

A. General Weighting Schemes We start by defining a general weighting matrix (21)

2377

is a matrix whose entries are constructed using where (26). The remainder of this section is devoted to the algebraic characterization of the above relative norm in a form that is compatible with (11) and (20). in a singleWe begin by rearranging the elements of column vector by stacking its columns

which is used to define a weighted model perturbation

(28) Equivalently, (29) where (22)

where the state–space realization is readily obtained using (5). We denote as

(30) and (31)

(23)

(32)

the controllability Gramian associated to (22). By now applying (11) to (22), we can compute the weighted norm of the model perturbation

operator rounds its argument towards zero and where the denotes the remainder after integer division. The above indexing equivalence will be used throughout this section. Now , we can easily exby using the global realization (1) of tract a partial state-space realization for one of its elements

(24) This norm is formally identical to (11), differing only from the choice of the Gramian, which is extracted from the upper left block of (23). This type of weighted norm has been extensively used to define frequency-weighted model-order reduction schemes [30], [36]. As a particular case, we can set

(33) where and

collects the , is the is a scalar. Similarly, we have

poles of th row of

(25) provided that is minimum phase [30] so that its inverse is strictly stable and the corresponding controllability Gramian is positive definite. When these conditions hold, the frequencyweighted norm (24) becomes the relative error. Unfortunately, the minimization of this error does not guarantee the minimization of the relative error on each individual element of ma, which is indeed our main goal. In Section IV-B, we trix present how this goal can be achieved. B. Single-Element Inverse Weighting Let us apply the above inverse weighting scheme to the perturbation of a single matrix element of the model (26) We can thus introduce the cumulative relative error, in the sense, as

, ,

(34) is minWe now make the important assumption that is strictly stable. This imum phase so that its inverse restriction will be removed in Section IV-C. This allows us to derive, using (4) and (5), (35)

Using (24), this partial state–space realization leads to (36) where the single-element weighted Gramian is computed as in (22) and (23) and is positive definite by construction. We can, therefore, perform the change of variable (37) where

(27)

(38)

2378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

is the Cholesky decomposition of

. Expression (36) becomes

modifications that are needed for the implementation of (40) as a relative perturbation error control. First, we note that

(39) (47) The final step is to now compute the global relative error (27) by adding the energy contributions from all matrix elements. We have

is simply a reordering of the elements in the overall perturbation of (9). Therefore, we can find a permutation matrix such that

(40)

(48) Now using (37) and defining

where

(49)

(41) It is clear from the above derivation that minimization of (40) corresponds to the minimization of the global energy of the relative perturbations on the model responses.

we can write (50) Direct substitution into (15) leads to

C. Minimum Phase Enforcement We now remove the constraint that each element of the model is should be minimum phase. Let us begin from (33). If not minimum phase, it can be decomposed as (42) is minimum phase and is an all-pass funcwhere tion. This decomposition can be obtained as a particular case of a general result on inner–outer factorizations [37]. More preis the solution of the following algebraic Riccati cisely, if equation: (43) , the minimum-phase factor has the folwhere lowing state-space realization: (44) where

(51) According to (40), the minimum-norm solution of this underdetermined system realizes a passivity enforcement scheme with relative error control on each individual response of the model. We note that the form of (51) is practically identical to its counterpart (20) with absolute error control. A different coordinate change, (37) instead of (12), leads to a slightly different way in which the system rows are constructed, but the computational cost required for solving either system is identical. We remark that this cost is negligible with respect to the more demanding determination of the imaginary Hamiltonian eigenvalues and eigenvectors. This issue has already been addressed in [14] and [25]. Finally, we note that the traditional scheme with absolute error control requires the evaluation of the controllability Gramian of the entire system (1), whereas the proposed scheme involves the evaluation of independent and small-size , one for each response. Given the diagonal strucGramians ture of the adopted state–space realization of the model (3), this cost is negligible [14]. This applies to the solution of the algebraic Riccati equation (43) as well due to the small size of (33).

(45) VI. EXAMPLES Therefore, a strictly stable and minimal realization of is readily obtained by replacing in (35) with . Since, by construction,

(46) the cumulative relative error in (27) is not affected by this substitution. With this modification, however, the partial weighted results strictly positive definite and can be used to Gramian define the relative norms (36) and (40).

The advantages of the proposed passivity enforcement scheme are illustrated here via several examples. The first synthetic example of Section VI-A is specifically designed to highlight the performance between different error control schemes. The other case studies are taken from various application areas in order to demonstrate the wide applicability of the proposed technique. Examples range from antennas (Section VI-B) to connectors (Section VI-C) and packages (Section VI-D). A. Validation Test Case

V. PASSIVITY ENFORCEMENT WITH RELATIVE ERROR CONTROL Here, we consider the linear constraints imposed by the Hamiltonian eigenvalue perturbation (15), and we illustrate the

The first example we consider is a synthetic three-port lumped structure (with 36 poles) that was specifically designed to compare the performance of the various passivity enforcement schemes. A similar test case was considered in [28],

GRIVET-TALOCIA AND UBOLLI: PASSIVITY ENFORCEMENT WITH RELATIVE ERROR CONTROL

Fig. 1. Example VI-A (synthetic validation example). Responses of different passive models are compared to raw frequency data.

where the same procedure was applied to construct one of the numerical tests. The present example is a different realization of the same random generation process, briefly described below. , First, poles of each response are defined as where are random variables uniformly distributed in the . A constant ratio desired bandwidth and is used in this example. Placement of zeros follows the same process, with one additional real zero placed very close to the origin in order to force a small magnitude for some of the responses. Finally, the resulting rational scattering matrix is constrained via suitable scaling to have a maximum singular . Note that the explicit placement of zeros value guarantees that the model is minimum phase. Three different passivity enforcement schemes were applied to this example. In all cases, passivity is enforced by iterative perturbation of Hamiltonian eigenvalues, as in [24], and as recalled in Section III-C. Each different scheme implements a different norm in order to control the accuracy during the model perturbation. Labeling of these options will be consistent throughout this section, namely, abs

this is the standard scheme as in [24], expressed by the perturbation (20) associated with the absolute error control (11);

mrel

this scheme employs a matrix-based relative weighting scheme of (25), with a corresponding relative norm expressed by (24);

rel

this is our proposed scheme with relative error control on each individual response, expressed by (51).

The results are shown in Figs. 1 and 2 for two different matrix elements. A logarithmic scale is used in all plots in order to visualize clearly the relative errors on each response. As expected, the standard passivity scheme with absolute error control is limited in its resolution and fails in retaining a good accuracy for the small low-frequency values of each response. This means

2379

Fig. 2. Example VI-A (synthetic validation example). Responses of different passive models are compared to raw frequency data.

Fig. 3. Example VI-A (synthetic validation example). Absolute and relative errors of different passive models.

that the necessary perturbation that is needed to eliminate the passivity violation at a well-defined and limited frequency band has dramatic impact on the accuracy of the model at all frequencies. Although in absolute scale this may be acceptable for some applications, a better solution is indeed possible. The second scheme with matrix-based relative error control , but fails in retaining a achieves a better performance on . This is also expected since this norm good accuracy for does not allow controlling individual responses. Finally, the proposed scheme guarantees excellent accuracy throughout the frequency bandwidth, even when the responses reach small values at low frequency. The only visible difference is concentrated around the largest peak, where the raw data are nonpassive. A better overview is provided in Fig. 3, where both absolute and . The relative error control relative errors are depicted for clearly provides a better performance.

2380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 4. Example VI-B (antenna–antenna coupling). Responses of different passive models are compared to raw frequency data.

Fig. 5. Example VI-B (antenna–antenna coupling). Responses of different passive models are compared to raw frequency data.

B. Glass Antenna The second example is a two-port model representing the mock-up of a double-feed glass antenna for automotive applications. The 2 2 scattering matrix of the structure has been measured at the antenna feed ports using a vector network analyzer (VNA). The investigated frequency band ranges from 300 kHz up to 500 MHz. A rational macromodel with 20 poles was generated using vector-fitting iterations with inverse weighting [4], [9]. This allows retaining good accuracy also for the off-diagonal scattering elements (feed-to-feed coupling). A passivity check on this initial macromodel shows small passivity viola) in a small bandtions (maximum singular value width centered between the two main resonances. The reason for this initial passivity violation is due to both noise in the original data and approximation error in the rational fitting stage. Both passivity enforcement schemes with absolute and relative error control were applied to correct this small gain in the initial model, leading to the results of Figs. 4 and 5. Both . However, schemes lead to excellent accuracy control for the performance is quite different for the coupling coefficient . For this element, model quality is significantly deteriorated by the standard scheme, whereas the proposed new algorithm preserves a good accuracy at all frequencies. C. Connector The third example that we consider is a connector. As for Example VI-B, the four-port scattering matrix corresponding to the terminals of two adjacent pins was measured up to 20 GHz using a VNA. Small crosstalk values are found at low frequencies. We want to preserve such small couplings also in the passive model to be identified from the measured data. To this end, an initial rational macromodel was generated using vector-fitting iterations using inverse weighting, resulting in a state–space realization with 480 states. After having verified its lack of pas), this model was sivity (maximum singular value then processed by the passivity enforcement schemes with both

Fig. 6. Example VI-C (connector). Responses of different passive models are compared to raw frequency data.

absolute and relative error control in order to compare their performance. The results are depicted in Figs. 6 and 7 for two elements of the scattering matrix. As for Example VI-B, the scheme based on relative error control closely matches the measured data, whereas the scheme based on absolute error control fails in the at low frequencies. approximation of D. Package The last example is a complex package with 34 ports, including both signal and ground pins. The raw scattering responses are known from the results of an electromagnetic solver. As a preliminary study, we considered a subset of six ports and we generated the corresponding rational model consisting of a state–space realization of order 206. The challenge for this example is the very large dynamic range of some of the responses over a very large frequency range spanning ten decades. Two

GRIVET-TALOCIA AND UBOLLI: PASSIVITY ENFORCEMENT WITH RELATIVE ERROR CONTROL

Fig. 7. Example VI-C (connector). Responses of different passive models are compared to raw frequency data.

Fig. 8. Example VI-D (package). Responses of different passive models are compared to raw frequency data.

significant responses are depicted in Figs. 8 and 9. The rational model was generated using vector fitting with inverse weighting, as with the other cases, in order to preserve the nature of the small couplings, especially at dc. Passivity was then enforced using both absolute and relative error control. The results are compared in Figs. 8 and 9. The proposed scheme offers an excellent match to the raw data, whereas absolute error control is not able to preserve model accuracy at low frequencies. VII. DISCUSSION AND CONCLUSIONS In this study, we have suggested a general approach for the relative error minimization in passivity enforcement schemes, as opposed to standard absolute error control. We now put our study in perspective by comparing the approach to other existing passivity enforcement methods.

2381

Fig. 9. Example VI-D (package). Responses of different passive models are compared to raw frequency data.

We begin by noting that any passivity enforcement scheme is based on two fundamental elements, i.e.: 1) the passivity constraints and 2) some accuracy metric. Here, we have mainly addressed the second issue, showing that existing approaches based on absolute error control may be inadequate for some applications. For instance, a noise analysis for structures that require very high isolation levels between some ports fails if the absolute error is used since the absolute perturbation induced on small responses might be orders of magnitude larger than the desired isolation level. For such applications, a relative error control strategy is preferred. This paper provides a solution for all applications subject to these accuracy constraints. The proposed technique is, in principle, applicable to any formulation of the passivity constraints, including schemes based on the passivity enforcement at discrete frequency samples [15], [20]–[23] and schemes based on the solution of linear matrix inequalities (LMI) via convex optimization [17]–[19]. The latter are the only methods leading to the strictly optimal solution, where optimality depends, of course, on the adopted norm. Unfortunately, LMI-based schemes are not applicable in practical situations due to the overwhelming computational complexity, even for moderate model size. The proposed method is based on iterative Hamiltonian first-order perturbation, which is only suboptimal: each iteration computes an optimal step towards the solution, but the final result might not be strictly the nearest to the original model in the adopted norm. However, the methodology is applicable to large-sized models with thousands of states [14], [25]. Therefore, one may be willing to trade a little accuracy for the ability to solve the problem. The numerical results show, however, an excellent performance of the Hamiltonian-based formulation in terms of accuracy. For the proposed technique, the precise computation of the purely imaginary Hamiltonian eigenvalues is of paramount importance. This calculation is the most computationally demanding part of the overall scheme. The techniques proposed

2382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

in [14] provide fast algorithms for this evaluation based on multipoint restarted Arnoldi iterations. Further speedup might be obtained if the number of these eigenvalues could be estimated, e.g., using Gershgorin’s theory [23], [34]. Unfortunately, application of these results to typical Hamiltonian spectra does not lead to useful estimates due to the lack of diagonal dominance. Therefore, estimates based on adaptive sampling [25] are preferred. We conclude by pointing the reader to other ongoing research on passivity enforcement of macromodels. References [26] and [27] also use a Hamiltonian-based approach, but formulate the passivity enforcement as a nonlinear optimization using poles as free variables and imposing accuracy both in the absolute and matrix-relative sense at discrete frequency samples. Perturbing poles has the advantage of reducing the number of variables, but may be inapplicable in case of highly resonant structures, where the poles have a physical correspondence to the system resonances. Here, we explicitly preserve the system poles in order to avoid this difficulty. Reference [22] enforces passivity at discrete frequency samples, but using an innovative accuracy control strategy based on relative errors on residue matrix eigenvalues rather on matrix elements. This approach is best suited to stiff applications showing high sensitivity to terminations. REFERENCES [1] M. Celik, L. Pileggi, and A. Obadasioglu, IC Interconnect Analysis. Norwell, MA: Kluwer, 2002. [2] M. Nakhla and R. Achar, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [3] B. Gustavsen and A. Semlyen, “Rational approximation of frequency responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [4] B. Gustavsen, “Computer code for rational approximation of frequency dependent admittance matrices,” IEEE Trans. Power Del., vol. 17, no. 4, pp. 1093–1098, Oct. 2002. [5] B. Gustavsen and A. Semlyen, “A robust approach for system identification in the frequency domain,” IEEE Trans. Power Del., vol. 19, no. 3, pp. 1167–1173, Jul. 2004. [6] D. Deschrijver and T. Dhaene, “Rational modeling of spectral data using orthonormal vector fitting,” in Proc. 9th IEEE Signal Propag. Interconnects Workshop, Garmisch-Partenkirchen, Germany, May 10–13, 2005, pp. 111–114. [7] D. Deschrijver, B. Haegeman, and T. Dhaene, “Orthonormal vector fitting: A robust macromodeling tool for rational approximation of frequency domain responses,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 216–225, May 2007. [8] S. Grivet-Talocia and M. Bandinu, “Improving the convergence of vector fitting in presence of noise,” IEEE Trans. Electromagn. Compat., vol. 48, no. 1, pp. 104–120, Feb. 2006. [9] “IdEM 2.4,” Politech. Torino, Turin, Italy, 2006. [Online]. Available: www.emc.polito.it [10] V. Belevitch, Classical Network Theory. San Francisco, CA: HoldenDay, 1968. [11] T. Kailath, Linear Systems. Englewood Cliffs, NJ: Prentice-Hall, 1980. [12] M. R. Wohlers, Lumped and Distributed Passive Networks. New York: Academic, 1969. [13] S. Boyd, L. El Ghaoui, E. Feron, and V. Balakrishnan, Linear Matrix Inequalities in System and Control Theory, ser. Studies in Appl. Math. Philadelphia, PA: SIAM, 1994. [14] S. Grivet-Talocia and A. Ubolli, “On the generation of large passive macromodels for complex interconnect structures,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 39–54, Feb. 2006. [15] D. Saraswat, R. Achar, and M. Nakhla, “Global passivity enforcement algorithm for macromodels of interconnect subnetworks characterized by tabulated data,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 7, pp. 819–832, Jul. 2005.

[16] B. Stephen and V. Lieven, Convex Optimization. Cambridge, U.K.: Cambridge Univ. Press, 2004. [17] C. P. Coelho, J. Phillips, and L. M. Silveira, “A convex programming approach for generating guaranteed passive approximations to tabulated frequency-data,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 2, pp. 293–301, Feb. 2004. [18] H. Chen and J. Fang, “Enforcing bounded realness of parameter through trace parameterization,” in 12th IEEE Elect. Perform. Electron. Packag. Topical Meeting, Princeton, NJ, Oct. 27–29, 2003, pp. 291–294. [19] B. Dumitrescu, “Parameterization of positive-real transfer functions with fixed poles,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 4, pp. 523–526, Apr. 2002. [20] B. Gustavsen and A. Semlyen, “Enforcing passivity for admittance matrices approximated by rational functions,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [21] B. Gustavsen, “Computed code for passivity enforcement of rational macromodels by residue perturbation,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 209–215, May 2007. [22] B. Gustavsen, “Fast passivity enforcement of rational macromodels by perturbation of residue matrix eigenvalues,” in 11th IEEE Signal Propag. Interconnects Workshop, Ruta di Camogli, Genova, Italy, May 13–16, 2007, pp. 71–74. [23] D. Saraswat, R. Achar, and M. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 27, no. 1, pp. 57–70, Feb. 2004. [24] S. Grivet-Talocia, “Passivity enforcement via perturbation of Hamiltonian matrices,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 51, no. 9, pp. 1755–1769, Sep. 2004. [25] S. Grivet-Talocia, “An adaptive sampling technique for passivity characterization and enforcement of large interconnect macromodels,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 226–237, May 2007. [26] A. Lamecki and M. Mrozowski, “Passive SPICE networks from nonpassive data,” in 16th Int. Microw., Radar, Wireless Commun. Conf., Krakow, Poland, May 22–24, 2006, vol. 3, pp. 981–983. [27] A. Lamecki and M. Mrozowski, “Equivalent SPICE circuits with guaranteed passivity from nonpassive models,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 526–532, Mar. 2007. [28] S. Grivet-Talocia and A. Ubolli, “On relative error minimization in passivity enforcement schemes,” in 11th IEEE Signal Propag. Interconnects Workshop, Ruta di Camogli, Genova, Italy, May 13–16, 2007, pp. 75–78. [29] L. Knockaert, “A note on strict passivity,” Syst. Control Lett., vol. 54, no. 9, pp. 865–869, Sep. 2005. [30] K. Zhou, J. C. Doyle, and K. Glover, Robust and Optimal Control. Englewood Cliffs, NJ: Prentice-Hall, 1996. [31] J. W. Brewer, “Kronecker products and matrix calculus in system theory,” IEEE Trans. Circuits Syst., vol. CAS-25, no. 9, pp. 772–781, Sep. 1978. [32] C. F. V. Loan, “The ubiquitous Kronecker product,” J. Comput. Appl. Math., vol. 123, pp. 85–100, 2000. [33] S. Boyd, V. Balakrishnan, and P. Kabamba, “A bisection method for norm of a transfer matrix and related problems,” computing the Math. Control Signals Syst., vol. 2, pp. 207–219, 1989. [34] J. H. Wilkinson, The Algebraic Eigenvalue Problem. London, U.K.: Oxford Univ. Press, 1965. [35] MATLAB Release 14 User’s Guide. Natick, MA: The MathWorks, 2006. [Online]. Available: www.mathworks.com, [36] K. Zhou, “Frequency-weighted L norm and optimal Hankel norm model reduction,” IEEE Trans. Autom. Control, vol. 40, no. 10, pp. 1687–1699, Oct. 1995. [37] X. Chen and K. Zhou, “On the relative and multiplicative model reduction,” in Proc. 27th Southeastern Syst. Theory Symp., Mar. 12–14, 1995, pp. 57–60.

S

H

Stefano Grivet-Talocia (M’98–SM’07) received the Laurea and Ph.D. degrees in electronic engineering from the Politecnico di Torino, Turin, Italy, in 1994 and 1998, respectively. From 1994 to 1996, he was with the National Aeronautics and Space Administration (NASA)/Goddard Space Flight Center, Greenbelt, MD, where he was involved with applications of fractal geometry and wavelet transform to the analysis and processing of geophysical time series. He is currently an Associate Professor of circuit theory with the Department of

GRIVET-TALOCIA AND UBOLLI: PASSIVITY ENFORCEMENT WITH RELATIVE ERROR CONTROL

Electronics, Politecnico di Torino. He has authored over 80 journal and conference papers. His current research interests are passive macromodeling of lumped and distributed interconnect structures, modeling and simulation of fields, circuits, and their interaction, wavelets, time-frequency transforms, and their applications. Dr. Grivet-Talocia was an associate editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY from 1999 to 2001.

2383

Andrea Ubolli received the Laurea degree in electronic engineering from the Politecnico di Torino, Turin, Italy, in 2003, where he is currently working toward the Ph.D. degree. Upon graduation, he has been with the Electromagnetic Compatibility (EMC) Group, Department of Electronics, Politecnico di Torino, as a Research Assistant. His research interests are in the field of electromagnetic compatibility, where he is involved with macromodeling of electrical interconnects and power integrity in microscale and macroscale complex interconnected systems, with an emphasis on passivity enforcement schemes.

2384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits Jorge F. Oliveira and José Carlos Pedro, Fellow, IEEE

Abstract—This paper describes a new computer-aided design tool especially conceived for the efficient time-domain simulation of highly heterogeneous nonlinear wireless communication circuits, i.e., combining RF and baseband analog circuitry and digital components. Using multirate Runge–Kutta algorithms within a multitime framework, to benefit from the different rates of variation of slowly varying (latent) and fast-varying (active) currents and voltages (state variables), we managed to solve the envelope transient regime of circuits excited by AM and PM RF carriers, in a highly efficient way. Indeed, with the adoption of convenient sampling rates for the multiple time representations of the slowly varying and fast-varying state variables, gains of more than one order of magnitude in simulation time are reported, even for an illustrative circuit example of very small size. Index Terms—Nonlinear circuits, nonlinear differential equations, simulation.

I. INTRODUCTION HE NEED for adaptability and reconfigurability of current and upcoming wireless transceivers demands an increased use of digital signal processing and control techniques [1]. Current examples of these include automatic gain control, output power control, and digital predistortion linearizers. However, available RF circuit simulators cannot deal with these heterogeneous circuits because of their different signal and component model formats, and nonlinear and dynamic regimes. Thus, circuit designers have relied on simulating critical parts of the circuit at the circuit level, leaving the remaining blocks on a simplified system-level description. This methodology is applicable as long as there are no evident interactions between the system and the sub-circuit blocks so that they can be simulated in a more or less independent way. Unfortunately, this is rapidly changing with, for example, the recent interest in envelope elimination and restoration power amplifiers or wireless polar transmitters [2]. In these circuits, AM and PM are treated in two parallel branches. The PM branch is a traditional RF chain composed by a continuous wave (CW) RF carrier oscillator, a PM modulator and a highly efficient

T

Manuscript received April 10, 2007; revised July 26, 2007. This work was supported by the Network of Excellence Top Amplifier Research Groups in a European Team (TARGET) and by the European Union Information Society Technologies Program under Contract IST-1-507893-NOE. The work of J. F. Oliveira was supported in part by the Portuguese Foundation for Science and Technology FCT under a Ph.D. grant. J. F. Oliveira is with the Electrical Engineering Department, Instituto Politécnico de Leiria, 2411-901 Leiria, Portugal (e-mail: [email protected]). J. C. Pedro is with the Instituto de Telecomunicações, 3810-193 Aveiro, Portugal (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908679

switching-mode power amplifier. Conversely, the AM path is a baseband chain whose signal is typically processed with digital over-sampling techniques (either with (sigma–delta quantizers) or without (pulsewidth modulation) quantization noise shaping), which is then passed to the analog domain via fast switching power devices and a low-pass reconstruction filter [2]. Thus, in such circuits, the RF and baseband (or even digital) circuitry is intricately mixed. This does not advise the use of circuit/system-level co-simulation techniques. Instead, it demands for alternative circuit-level simulation methods that can efficiently treat these sets of heterogeneous circuits. Moreover, the switching behavior of the AM power-supply modulator produces waveforms of very short rise and fall times that are extremely demanding on the number of harmonics for a convenient frequency-domain representation. This advises the substitution of the traditional frequency-domain harmonic-balance solvers [3] by their time-domain rivals like the periodic steadystate simulation engines [3]. In conclusion, some of the current, and most of the future, wireless transceivers present a challenging scenario of heterogeneous broadband and strongly nonlinear circuits of slowly varying baseband and rapidly changing RF node voltages or branch currents. These circuits are excited by modulated signals involving widely distinct time scales, whose switching operation advises a time-domain description or an unaffordable large number of harmonic components. This study details and explains, via simple illustrative examples, the innovative solution to this problem previously advanced in [4]. It then extends the simulation technique outlined in [4] applying modern multirate Runge–Kutta (MRK) numerical algorithms [5]–[11] to a complex combination of the transient envelope oriented technique [12] with the multi time-step periodic steady-state (shooting) solution in a warped time domain [13]–[15]. Furthermore, we included a new circuit partitioning strategy, which allows the automatic identification and classification of the various circuit state variables according to their time rates of change. Until now, MRK methods were only used to obtain the numerical solution of univariate initial value problems (transient electronic circuit simulation in 1-D time) [5]–[11]. Here, we used them in a bivariate framework. As we will see below, in this study we consider a multirate scheme (different time-step integration sizes to state variables that present significantly disparate rates of change) coupled with a multirate excitation regime (multiple time-scale representations). This way, we managed to first efficiently resolve strongly nonlinear circuits excited by forcing functions of very distinct time scales using multiple time variables, and then dramatically reduce the simulation time attributing different time steps to the slowly varying

0018-9480/$25.00 © 2007 IEEE

OLIVEIRA AND PEDRO: EFFICIENT TIME-DOMAIN SIMULATION METHOD FOR MULTIRATE RF NONLINEAR CIRCUITS

2385

in which the excitation vector are given by ables

and the vector of state vari-

(3)

Fig. 1. Nonlinear dynamic circuit example.

(latent) and fast-varying (active) state variables. In addition, the use of a warped time domain [13]–[15] also allowed the accommodation of the phase-modulated RF carrier of the polar transmitter used for illustration of the method. Indeed, the adopted circuit simulation case study—a wireless transmitter based on the modern polar architectures—shows how the benefits of this novel simulation technique can already be felt in today’s RF and microwave circuits of unarguable practical interest. This paper is organized as follows. Section II presents the background of the simulation techniques discussed herein. Section II-A describes the bivariate formulation adopted for the multirate excitation regime. Section II-B addresses this bivariate formulation in the warped time. Section II-C explains how an RF circuit can be divided into two coupled sub-circuits composed of only latent and active state variables, respectively. Section III then presents the proposed simulation method beginning with the bivariate formulation in the warped time (in Section III-A), and then explains in detail the application of the new MRK method to the bivariate regime (Section III-B). For improved efficiency, Section III-C explains an algorithm to automatically identify the circuit’s latent and active state variables. Section IV illustrates the application of the proposed simulation method to a power amplifier circuit of a polar transmitter, and Section V summarizes the most important conclusions of this study. II. THEORETICAL BACKGROUND A. Excitation Regimes of Widely Separated Time Scales For achieving an intuitive explanation of the method, let us start by the illustrative example depicted in Fig. 1. This circuit is composed of a current source connected to a linear inductance, linear conductance, nonlinear capacitance, and nonlinear voltage-dependent current source. A nodal analysis of this circuit leads to the following system of equations in the node voltand and the inductor current ages

In general, , , and denote all memoryless linear or nonlinear elements, while models dynamic linear or nonlinear elements as capacitors (represented as nonlinear electric charges) or inductors (nonlinear magnetic fluxes). Now, suppose that the current source is an amplitude-modulated RF carrier of the form (4) where

is an envelope, slowly varying in time, while is a fast-varying RF carrier. The simulation of this circuit, using conventional time integration methods, tends to be highly inefficient because it requires time steps closely spaced in time (for sampling the RF carrier and its harmonics) and during a very long time window determined by the envelope. The system of (1), subject to the excitation of (4), is a typical example of what is known as a multirate problem [12]. It can be [and solved in a much more efficient way if the excitation , , and ] is rewritten as also the state variables a 2-D time-scale entity (5) In this particular case, spect to , but not to , i.e.,

is a periodic function with re-

(6) The univariate and bivariate forms of our excitation with and GHz are plotted in Figs. 2 ns time interval, and a rectangular region and 3 for a ns , respectively. There it can be appreciated that does not have as many oscillations as , thus allowing a more compact representation with fewer samples. The application of this bivariate strategy to the differential algebraic system of (2) converts it into the following multirate partial differential algebraic equations’ system [12]: (7)

Equation (1) can be seen as a particular case (in ) of the system of differential algebraic equations in time, describing a general nonlinear electronic circuit

The mathematical relation between (2) and (7) establishes that, if and satisfy (7), then the univariate and satisfy (2) [12]. Thereforms fore, univariate solutions of (2) are available on diagonal lines and along the bivariate solutions of (7), i.e., may be retrieved from its bivariate form by simply set. Consequently, due to the periodicity of the ting problem in the dimension, on the generic rectangular domain , we have

(2)

(8)

(1)

2386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 4. Bivariate representation of the PM signal. Fig. 2. Envelope modulated signal in the univariate time.

GHz, (the which is plotted in Fig. 4 for modulation index), and (a low-frequency MHz). Now we can see that has sinusoid of a high density of fluctuations, unlike the simple surface shown in Fig. 3. Furthermore, the number of these fluctuations could was increased. be even larger if To effectively handle PM signals, we make use of the concept of warped time [13]–[15] within the bivariate formulation. The employment of this recently proposed technique dynamically re-scales (warps) the fast time axis to considerably reduce the number of fluctuations of the PM signals. For instance, let us consider the new bivariate form (11) together with the warping function (12)

Fig. 3. Bivariate representation of the envelope modulated signal.

B. RF Circuits With Various Types of Stimulus In the case study that will be presented in Section IV, we have a mixture of diverse types of periodic and aperiodic stimulus (baseband signals, RF carrier, digital clock, pulsewidth-modulation signals, and PM signals). PM signals are not compact in the above-mentioned simple bivariate representation. Actually, bivariate forms of PM/FM signals have many fluctuations (i.e., high-frequency components), which is the reason why they cannot be sampled efficiently on a 2-D grid [13]–[15], particularly if we have high modulation indices. Thus, the direct application of the multiple time approach is not well suited to analyze circuits with these types of excitations. In order to illustrate this situation, let us now consider that the current source in the circuit of Fig. 1 is a PM signal of the form (9) where is a slowly varying envelope and is a fast-varying RF carrier. By adopting the same procedure as for (4) and (5), we can obtain the corresponding bivariate form (10)

will be a simple surface and its original The plot of . univariate form may be recovered as This strategy eases the compact representation of PM signals on a 2-D grid and leads to a framework of multirate partial differential algebraic equations in warped and unwarped time scales, together with a mapping between multitime and single-time functions. In this study, we will adopt the following procedure: for the slowly varying parts of the expressions of and , is replaced by ; for the fast-varying , where is any appropriate parts, is replaced by re-scaling function (the so-called warped time [13]–[15]). This results, once again, in bivariate representations for the excitaand tion and the solution that we will now denote by , respectively. The differential algebraic system (2) is at this time converted into the following system of warped multirate partial differential algebraic equations [13]–[15]:

(13) . in which There is a key relationship between the warped multirate partial differential algebraic system (13) and the original circuit’s

OLIVEIRA AND PEDRO: EFFICIENT TIME-DOMAIN SIMULATION METHOD FOR MULTIRATE RF NONLINEAR CIRCUITS

system of differential algebraic equations (2). It establishes that, and satisfy (13), then the corresponding if and univariate forms satisfy (2). Thus, univariate solutions are available on and along the paths of parametric equations in the space. Once again, bivariate solutions due to the periodicity of the problem in the dimension (fast carrier warped time scale), on the generic rectangular domain , we have

2387

The key idea is to split (15) into coupled active (fast) and latent (slow) subsystems, obtaining

(16) with (17)

(14) This warped multitime approach can be seen as a generalization of the multiple time formulation presented above. In fact, if we would unwarp the fast time axis, making in (13), then we would obtain (7). Warped multitime-based methods can efficiently simulate systems with heterogeneous forcing functions (AM sources, PM sources, etc.) of widely separated time scales. Numerical computations can be performed using time-domain, frequency-domain, or hybrid (combination) methods. In this and , taking profit of the periodstudy, we solve (13) for dimension, using an envelope icity of the problem in the transient oriented method. For that, each derivative of (13) in is replaced with a finite-differences approximation, obtaining, , a differential algebraic system in with pefor each step riodic boundary conditions. Considering the possible strongly nonlinear regimes of the circuit, we propose to solve these periodic boundary value problems using a shooting algorithm, a common time-domain technique used for periodic steady-state RF and microwave circuit simulation [3], instead of the harmonic-balance engine, more often employed in moderately nonlinear regimes [3]. C. RF Circuits With Heterogeneous State Variables The shooting algorithm is an iterative solver that uses an initial value technique to solve a boundary value problem. Thus, let us consider a generic initial value problem, expressed, for convenience, in the classical form

where is the active (fast varying) state-variable components’ the latent (slowly varying) state-variable compovector and nents’ vector. The active components will be integrated with a small step size (microstep), while the latent components will be integrated with a much larger step size (macrostep). The number of microsteps within a macrostep is an integer ; thus, . III. NEW SIMULATION METHOD A. Envelope Transient Over Shooting in a Warped Time Domain Let us start by considering again (2) and (13). If we want the for , then we original univariate solution must solve (13) on the rectangular region of space, where is the period of and in the dimension. Consider now the semidiscretization of the rectangle defined by (18) is the total number of steps in the dimension. If where we discretize (13) in using the backward Euler rule, then we from to , the boundary value have for each step problem

(19) (15) in which the explicit dependence of on accounts for any possible excitation. This system of ordinary differential equations can be numerically solved by time-step integration, the technique used by all SPICE-like simulators. However, when integrating systems whose state-variable components evolve according to different time scales, one would like to use numerical methods that do not expend unnecessary work on slowly changing components. In such cases, traditional time-step integrators, like classical Runge–Kutta (RK) methods, which use the same step size for all system’s components, become inefficient and numerical schemes with different time-step sizes are required. For example, in the power amplifier application example presented in Section IV, some state variables are very fast, while others are much slower. This disparity can be exploited by MRK methods [5]–[11], which integrate components of the slow subsystem with a larger step size than the one used for the fast subsystem.

. with the periodic boundary condition is known, the solution on This means that once the next level, i.e., , is obtained by solving (19). Obviously, for obtaining the whole solution in the entire domain , we have to solve a total of boundary value problems. We solve each differential algebraic system (19) using the shooting method by numerically time-step integrating the set of differential equations and simultaneously solving the set of algebraic equations. The set of differential equations is partitioned into active (fast) and latent (slow) subsets, and expressed in the form of (16) so that we can use a shooting algorithm based on MRK methods. The set of algebraic equations is solved with any appropriate iterative solver, e.g., the Newton–Raphson algorithm. B. Shooting Based on MRK Integration Shooting is a procedure that consists of guessing the initial condition by comparing, and wisely updating, initial estimates

2388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 5. Single step in a standard RK integrator.

after successive time-step integrations (consecutive shooting iterations). Traditionally, this initial estimate updating is achieved with Newton iteration or, occasionally, with fixed-point iteration, and the successive time-step integrations are performed with standard initial value solvers like RK methods (the most popular time-step integrators). As an illustrative example, consider the first-order and onestage explicit RK scheme, also known as the forward Euler is given method. In that case, the solution of (15) at by

Fig. 6. Microsteps and macrostep in an MRK integrator.

initial value problem expressed in the form of (15) with the same time-step is defined as [16] (23)

(24) (20) This is illustrated in Fig. 5. The corresponding multirate version of the forward Euler scheme for the solution of (16) would be given by

(21)

Now consider two RK methods of and stages that can be, but do not have to be, the same, expressed by their Butcher and for integrating, on each shooting tableaus iteration, the active components with the microstep and the latent components with the macrostep , respectively. The resulting MRK method for the numerical solution of the same initial value problem, at this time expressed in the form of (16), can be defined as follows [6], [7]. are given by The active (fast-varying) components

for the latent components, and by

(25) .. .

(22) for the active components. As seen from (22) and illustrated in Fig. 6, the vector of ac, defined tive state variables at each of the time instants in a fine grid, is calculated from its previous values and the re. On the other hand, the vector of spective time derivatives latent state variables is only evaluated in the coarse time instant , assuming that its time derivatives are constant within that interval. In general, a standard RK method of stages, expressed by , for integrating, on each its Butcher tableau [16], [17] shooting iteration, all the components of the corresponding

(26) with . The latent (slowly varying) components

are given by (27)

(28) with

.

OLIVEIRA AND PEDRO: EFFICIENT TIME-DOMAIN SIMULATION METHOD FOR MULTIRATE RF NONLINEAR CIRCUITS

2389

As can be seen, now the coupling between active and latent subsystems is performed by the intermediate stage values and . There are several strategies for computing these values. Here we have used the ones suggested in [6] and [7], which are based on coupling coefficients. C. Active-Latent Partitioning Strategy An algorithm capable of automatically detecting the fastvarying and slowly varying state variables would be of great utility for a general-purpose simulator. Using embedded RK methods [16], error estimates, usually computed for step-size control and stiffness detection [17], may be used for this automatic classification and the consequent partition of the system into the fast and slow subsystems. Such partitioning strategy is described in detail in [6] and [11], and it is particularly suitable for the transient integration of 1-D time multirate problems, especially for circuits that have parts that change their activelatent status with time. Although it could also be used here for the set of differential equations in each differential algebraic system (19), the bivariate nature of the circuit example under study and the particular characteristics of the RF problems considered in this study dictate a different approach, which leads to a simpler and faster algorithm. This technique is explained below. For a general RF circuit with a dynamic active-latent partition, we solve the periodic boundary value problem (19) for each of the rectangular domain using a level uni-rate scheme on the first shooting iteration, and the multirate scheme on the subsequent shooting iterations. With this approach, we begin by considering, in (19), the same microstep for all system’s components. We do so by considering in the MRK time-step integrator (so that this method degenerates into a standard RK method when integrating the set of differential equations) and by simultaneously solving the set of algebraic equations with an iterative solver, e.g., Newton-Raphson, and . We then split at the warped time instants the differential algebraic system according to the variations in its components’ time derivatives. Each component that practically evidences no variations in its time derivatives for the entire line , i.e., each component that satisfies slope

slope

(29)

and is a small where slope prescribed deviation tolerance, will be treated as latent (slow) on the next shooting iterations. The remaining components will be treated as active (fast). All the subsequent shooting iterations on level will be conducted in a multirate way by using the the MRK method for the integration of the differential equations, and by simultaneously solving the algebraic equations at the , , required warped time instants , . In a nonuniform grid, step sizes and/or and may be chosen using any step-size control tool. In a uniform grid, they can be predefined or successively refined to achieve a desired accuracy. In order to increase the robustness of this partitioning strategy, more than one shooting iteration with the uni-rate . However, it obscheme could be considered for each level

Fig. 7. Simplified power amplifier schematic used in wireless polar transmitters.

viously would lead to some efficiency reduction of the method since this efficiency is mainly dependent on the number of the latent components and on . In general, and as was just explained, the partition into fast and slow subsystems may dynamically vary with time throughout the integration process. However, in many RF circuits, this subset division is static, i.e., does not change with time. In such cases, it will be useful if the simulator can take advantage of this characteristic. It will avoid some unnecessary computational work. For example, in our RF sample application presented in Section IV, the active-latent partition will always remain constant along the simulation process and, thus, we have decided to adopt the following simpler procedure. We start by solving (19) with classical shooting for the first of the rectangle . As mentioned level in the MRK time-step above, we do so by considering integrator when solving the set of differential equations and by simultaneously solving the set of algebraic equations. We then split the differential algebraic system according to the fluctuations of the solution in the dimension. Each state variable that practically evidences no fluctuations in this dimension, i.e., each component that satisfies the condition that the corresponding numerical peak-to-peak value stays within a small prescribed deviation tolerance, will be treated as latent on the next levels. The remaining components will be treated as active. IV. CASE STUDY A. Sample Application In order to test the performance and the efficiency of the method presented in Section III, we will now discuss an illustrative application example. The nonlinear circuit of Fig. 7 is a simplified power amplifier schematic of a wireless polar transmitter. Its most relevant comV, H, nF, ponents’ values are nH, pF, nH, pF, and , and the MOSFETs were represented by the following simplified nonlinear device model:

(30)

2390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

TABLE I COMPUTATION TIMES—EXPLICIT RK SCHEME OF ORDER 1 (COMPUTER: AMD 1.8 GHz, 256 Mb RAM)

TABLE II COMPUTATION TIMES—EXPLICIT RK SCHEME OF ORDER 3 (COMPUTER: AMD 1.8 GHz, 256 Mb RAM)

with V , A/V, , and V. Similarly, the diode current–voltage characteristic was given by (31) A, , and V. where As described in Section I, this circuit includes two indepenand the signals, dent baseband excitations, the whose bandwidth is around 2 MHz. The signal is overMHz to get the desired sampled with a digital clock of signal modupulsewidth-modulation format, while the GHz frequency. In lates the phase of a CW RF carrier of order to get highly efficient power amplification, the amplitude of the driving voltages of both MOSFETs were set so that the devices were forced to operate in the switching mode, leading in this way to a strongly nonlinear problem. As we can see, in this nonlinear circuit, we have a mixture of periodic (RF carrier and digital clock) and aperiodic [ and ] forcing functions of very distinct time scales with a combination of heterogeneous node voltages and branch currents with widely disparate rates of variation. For instance, while inductor current and the capacitor voltage of the the output bandpass filter are very fast (and, thus, are detected as inductor current and capacitor active state variables), the voltage of the AM branch low-pass filter are both much slower (and, thus, classified as latent state variables).

Fig. 8. Bivariate AM branch transistor source voltage.

B. Numerical Simulation Results To put in evidence the speedup advantage of the proposed method, we simulated the circuit in MATLAB with the technique presented in Section III (warped bivariate envelope transient over a shooting algorithm based on an MRK scheme). We then compared its results with the corresponding warped bivariate envelope transient over classical shooting based on a standard RK scheme of the same order. Numerical computation times (in seconds) for simulations in ns and ns intervals are presented in Tables I the and II for explicit MRK and RK schemes of orders 1 (forward Euler) and 3 (Bogacki–Shampine [8]), respectively. A uniform grid was assumed for simplicity and step lengths and were chosen according to the rates of variation of the fast and slow components. No comparison was made with any classical time-marching engine (univariate time-step integration) such as SPICE [3] because the bivariate nature of the circuit operation would determine an unbearably large simulation time. Also, no comparison

Fig. 9. Bivariate C capacitor voltage.

was made with any frequency-domain, e.g., harmonic balance [3], or hybrid (combination) solvers because the highly nonlinear regimes of the circuit would lead to an intolerably large number of harmonics. ns Figs. 8 and 9 show the bivariate solutions in the and ns intervals for the AM branch transistor source capacitor voltage, respectively. These are voltage and the slowly varying state variables in the 1-D time (see Figs. 10 and 11), which is the reason why there are no fluctuations in the fast warped time , enabling the use of a large step size in so that that dimension. We chose a warped time function . ns Figs. 12 and 13 show the bivariate solutions in the and ns intervals for the RF transistor current and the

OLIVEIRA AND PEDRO: EFFICIENT TIME-DOMAIN SIMULATION METHOD FOR MULTIRATE RF NONLINEAR CIRCUITS

2391

Fig. 13. Bivariate output voltage. Fig. 10. Univariate AM branch transistor source voltage in the digital clock time scale.

Fig. 14. Univariate RF transistor current in the fast carrier time scale. Fig. 11. Univariate C capacitor voltage in the slow envelope time scale.

Fig. 12. Bivariate RF transistor current.

Fig. 15. Univariate output voltage in the fast carrier time scale.

output voltage of the circuit, respectively. Small step sizes were now used in both time dimensions because these are examples of fast-varying state variables, as can be concluded from their time-scaled versions plotted in Figs. 14 and 15. We have conducted several other simulations under different conditions and different time intervals, but the results were al-

ways similar to the ones presented in Tables I and II. The new MRK-based method always exhibited significant advantages in speed over its corresponding RK-based classical algorithm. Finally, for comparison, we have also considered in this study the simulation of the circuit with the conventional bivariate (unwarped) envelope transient over shooting based on an MRK

2392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

TABLE III COMPUTATION TIMES—EXPLICIT RK SCHEME OF ORDER 1 (COMPUTER: AMD 1.8 GHz, 256 Mb RAM)

scheme [4] versus the corresponding unwarped envelope transient over classical shooting based on a standard RK scheme (the technique used by commercial RF simulators for strongly nonlinear problems). The results of these simulations for the explicit MRK and RK scheme of order 1 (forward Euler) can be seen in Table III. By comparing Tables I and III, we can attest the efficiency provided by the warped time concept, within the envelope transient over multirate and uni-rate shooting techniques, when handling PM signals. V. CONCLUSIONS In this paper, a new powerful time-domain simulation method, particularly suitable for heterogeneous and strongly nonlinear RF circuits, has been discussed. This new technique has been demonstrated to be highly efficient because it uses an envelope transient technique over an innovative periodic steady-state (shooting) algorithm based on modern MRK schemes. Significant reductions of the computational work were achieved, without compromising accuracy, as we simply took advantage of the slowness of some state variables. Numerical experiments revealed very promising results since speedups of one order of magnitude or more were obtained for the tested circuit example. In this sense, the presented approach offers an effective new possibility for the numerical simulation of current and upcoming RF circuits. REFERENCES [1] P. Asbeck, L. Larson, and I. Galton, “Synergistic design of DSP and power amplifiers for wireless communications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2163–2169, Nov. 2001. [2] P. Asbeck, L. Larson, D. Kimball, F. Wang, J. Jeong, P. Draxler, and C. Hsia, “Envelope elimination and restoration technology for high efficiency base station applications,” presented at the IEEE MTT-S Int. Microw. Symp. WMB High-Efficiency Amplifiers Workshop, 2006. [3] K. Kundert, J. White, and A. Sangiovanni-Vincentelli, Steady-State Methods for Simulating Analog and Microwave Circuits. Norwell, MA: Kluwer, 1990. [4] J. F. Oliveira and J. C. Pedro, “A new time-domain simulation method for highly heterogeneous RF circuits,” in 37th Eur. Microw. Conf., Munich, Germany, Oct. 2007, submitted for publication. [5] M. Günther and P. Rentrop, “Multirate ROW methods and latency of electric circuits,” Appl. Numer. Math., vol. 13, no. 1–3, pp. 83–102, Sep. 1993. [6] A. Kværnø and P. Rentrop, “Low order multirate Runge–Kutta methods in electric circuit simulation,” IWRMM, Univ. Karlsruhe, Karlsruhe, Germany, 1999, Preprint 99/1. [7] A. Kværnø, “Stability of multirate Runge–Kutta schemes,” Int. J. Differential Equations Applicat., no. 1A, pp. 97–105, 2000.

[8] M. Günther, A. Kværnø, and P. Rentrop, “Multirate partitioned Runge– Kutta methods,” BIT Numer. Math., vol. 41, no. 3, pp. 504–514, Jun. 2001. [9] A. Bartel, “Multirate ROW methods of mixed type for circuit simulation,” in Scientific Computing in Electrical Engineering, ser. Lecture Notes Comput. Sci. Eng. Berlin, Germany: Springer, 2001, pp. 241–249. [10] A. Bartel, M. Günther, and A. Kværnø, “Multirate methods in electrical circuit simulation,” in Progress in Industrial Mathematics at ECMI 2000. Berlin, Germany: Springer, 2002, pp. 258–265. [11] J. Oliveira and A. Araújo, “Envelope transient simulation of nonlinear electronic circuits using multi-rate Runge–Kutta algorithms,” WSEAS Trans. Electron., vol. 3, no. 2, pp. 77–84, Feb. 2006. [12] J. Roychowdhury, “Analyzing circuits with widely separated time scales using numerical PDE methods,” IEEE Trans. Circuits Syst., vol. 5, no. 48, pp. 578–594, May 2001. [13] O. Narayan and J. Roychowdhury, “Analyzing oscillators using multitime PDEs,” IEEE Trans. Circuits Syst., vol. 50, no. 7, pp. 894–903, Jul. 2003. [14] R. Pulch, “Multi time scale differential equations for simulating frequency modulated signals,” Appl. Numer. Math., vol. 53, no. 2–4, pp. 421–436, 2005. [15] R. Pulch, “Variational methods for solving warped multirate PDAEs,” Univ. Wuppertal, Wuppertal, Germany, Apr. 2005, Preprint BUWAMNA 05/01. [16] E. Hairer, S. Nørsett, and G. Wanner, Solving Ordinary Differential Equations I: Nonstiff Problems. Berlin, Germany: Springer-Verlag, 1987. [17] E. Hairer and G. Wanner, Solving Ordinary Differential Equations II: Stiff and Differential Algebraic Problems. Berlin, Germany: Springer-Verlag, 1991.

Jorge F. Oliveira was born in Leiria, Portugal, in 1965. He received the Diploma degree in electrical engineering, electronics, and telecommunications and Master of Science degree in applied mathematics from the Universidade de Coimbra, Coimbra, Portugal, in 1989 and 2005, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the Instituto de Telecomunicações, Universidade de Aveiro, Aveiro, Portugal. He is an Assistant Lecturer with the Instituto Politécnico de Leiria, Leiria, Portugal. His current research interests include computer-aided design (CAD) techniques for nonlinear circuit analysis and system simulation.

José Carlos Pedro (S’90–M’95–SM’99–F’07) was born in Espinho, Portugal, in 1962. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1985 and 1993, respectively. From 1985 to 1993, he was an Assistant Lecturer with the Universidade de Aveiro, and a Professor since 1993. He is currently a Senior Research Scientist with the Instituto de Telecomunicações, Universidade de Aveiro, as well as a Full Professor. He coauthored Intermodulation Distortion in Microwave and Wireless Circuits (Artech House, 2003) and has authored or coauthored several papers appearing in international journals and symposia. His main scientific interests include active device modeling and the analysis and design of various nonlinear microwave and opto-electronics circuits, in particular, the design of highly linear multicarrier power amplifiers (PAs) and mixers. Dr. Pedro is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and is a reviewer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was the recipient of the 1993 Marconi Young Scientist Award and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2393

Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects Francisco Javier Pérez Soler, Student Member, IEEE, Mónica Martínez Mendoza, Fernando Daniel Quesada Pereira, Member, IEEE, David Cañete Rebenaque, Student Member, IEEE, Alejandro Alvarez Melcon, Senior Member, IEEE, and Richard J. Cameron, Fellow, IEEE

Abstract—In this paper, we propose an alternative configuration for the design of bandpass inductive filters using transversal topologies. The structure is based on the use of a dielectric post asymmetrically placed on a cavity resonator. The input and output windows will couple energy to the TE102 - and TE103 -mode resonators at the same time, therefore obtaining the transversal topology. The proposed configuration is very compact, and allows to implement transmission zeros for maximum selectivity in an easy way. Results are validated with Ansoft’s finite-element High Frequency Structure Simulator tool, and with an integral-equation technique to demonstrate the validity of the proposed structure. Index Terms—Dielectric posts, doublet topology, inductive filters, transmission zeros, transversal filters, waveguide filters.

I. INTRODUCTION

F

ILTER DESIGN is one of the most interesting fields in microwave engineering. A wide number of different topologies allows to obtain specific responses for a wide range of applications. Inductive filters constitute a strategy of special interest due to their simplicity and easy manufacturing processes associated with these configurations [1]. In this line, several studies have been developed in the past to improve the electrical characteristics of inductive waveguide filters. For instance, microwave filters with inductive windows were explored in [2] for space applications. In addition, inductive filters with metallic and dielectric posts have been studied in detail in [3]–[5]. Elliptic responses were also investigated, for the first time, combined with inductive topologies in [6]. The work presented in [6] is, in fact, very interesting since it constitutes the first attempt to implement transversal filters with inductive waveguide topologies. In this sense, it is well known that transversal filters have several advantages over other inline topologies. The main one is that a maximum number of transmission zeros can be implemented for a given order of the filter. Another advantage is that

Manuscript received January 3, 2006. This work was supported by the Comisión Interministerial de Ciencia y Tecnología under Spanish National Project TEC2004-04313-C02-02/TCM and under the Regional Seneca Project 02972/PI/05. F. J. Pérez Soler, M. Martínez Mendoza, F. D. Quesada Pereira, D. Cañete Rebenaque, and A. Alvarez Melcon are with the Communications and Information Technologies Department, Technical University of Cartagena, Cartagena ES-30202, Spain (e-mail: [email protected]; [email protected]). R. J. Cameron is with COM DEV International Ltd., Aylesbury HP22 5SX, U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908673

compact topologies can usually be implemented due to the relatively easy coupling routing scheme used. Apart from the advantages of transversal filters, this topology is known to be more sensitive to mechanical tolerances. This can be a drawback for certain high-precision applications, which, in general, can be overcome by introducing tuning elements in the final filter structure. Synthesis methods for transversal filters were first presented in [7]. Since then, several topologies were proposed, both in printed [8] and waveguide [9] technology. However, the implementation of transversal filters using inductive waveguide structures was only proposed in [6]. In that study, the use of the and was proposed as the fundamental resmodes onances of the filter. The main drawback of this structure is that the volume of the resonant cavity need to be increased (larger cavity width) in order to allow for the propagation of the higher mode of the waveguide. order In this paper, we present an alternative method for the implementation of transversal filters using inductive waveguide configurations. The structure is formed with a cavity coupled with inductive windows, and with a dielectric post placed asymmetrically inside the cavity. The resulting topology is very compact in size since the width of the cavity is not increased to allow for the propagation of higher order modes. We show in this paper that the dimensions of both the waveguide cavity and dielectric post can control all the coupling parameters needed to synthesize useful transfer functions. This novel topology for filters allows to easily obtain high selective elliptic filters saving mass and volume. Results obtained with the transversal coupling matrix theory described in [7] are compared with simulations obtained with the Ansoft’s finite-element High Frequency Structure Simulator (HFSS) tool and with an integral-equation technique, validating the new topology proposed. II. DESCRIPTION OF THE FILTER TOPOLOGY The filter under study consists of the classical doublet topology shown in Fig. 1. This structure is known to have a response with one transmission zero if no coupling between the source and the load is considered [8], [10]. The proposed practical topology for implementing this type of filter is sketched in Fig. 2. It consists of a cavity delimited by two inductive windows with a square dielectric post joined to the center of one of the cavity walls. These two elements (cavity and dielectric post) are the two basic components of the filter, which will allow the and of the doublet combination of the two resonances scheme in Fig. 1. The volume of the waveguide resonator is also reduced by the presence of the dielectric post. In addition, the width of the waveguide need not be increased to allow for the propagation of higher order modes. This represents a

0018-9480/$25.00 © 2007 IEEE

2394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 1. Typical routing scheme of a doublet. J to J represents the couplings between the source S and the load L to the resonators R and R .

Fig. 3. Electric field distribution inside the cavity without the dielectric post, mode. Dimensions: a = showing the typical field distribution of the TE 19:05 mm, L = 19:9 mm, w = 4 mm, h = 10:65 mm, f = 14 GHz.

Fig. 2. Proposed topology for implementing the doublet scheme ofFig. 1. The structure consists of a single cavity with a square dielectric post attached to one of the waveguide walls.

TABLE I COUPLING MATRIX M OF THE DOUBLET SHOWN IN Fig. 1

Fig. 4. Wide frequency sweep with the Fig. 3.

further reduction of the volume of the device, as compared to the original design presented in [6]. Following the theory of the transversal filter topology preof the doublet in Fig. 1 is sented in [7], the coupling matrix known to be of the form shown in Table I. In this table, is the input port (source) and is the output port (load). For our procan be posed topology, the elements of the coupling matrix controlled by means of the dimensions shown in Fig. 2. The first resonator of the doublet is formed with the mode of the waveguide cavity formed between the two inducmode is actually restive steps. To demonstrate that the onating in the structure, in Fig. 3 we present the electric field distribution inside an empty cavity resonator of length mm. We can observe that the electric field has two variations along the length of the cavity, typical of second-order resonances. In Fig. 4, we present a wide frequency sweep of the response of this cavity. We can clearly observe the first-order resonance at 9.8 GHz and the second-order resonance at 14 GHz. It is at this frequency that we will operate the final filter. It is

S

-parameters of the cavity shown in

also important to note that the use of the second-order resonance along the cavity length is essential for the correct operation of the filter. This is because in the doublet topology shown in Fig. 1, one of the four couplings must always be opposite in sign with respect to the sign of the other three couplings [11]. The change in sign of the electric field shown in Fig. 3 is actually implementing this negative coupling. Considmode as the first resonator of the filter, ering the and are the impedance inverter constants associated to the couplings between this resonator to the source and load, respectively. From the above discussion, it is simple to see that the amount of coupling to this resonance is controlled with the width of the inductive windows ( in Fig. 2). With the length of the cavity selected in the previous example, mode cannot resonate in the cavity. However, since the has a zero field at the center of the cavity, the basic mode we can place a dielectric post there without introducing important perturbations to this resonance. On the contrary, the field of

PÉREZ SOLER et al.: DESIGN OF BANDPASS ELLIPTIC FILTERS EMPLOYING INDUCTIVE WINDOWS AND DIELECTRIC OBJECTS

Fig. 5. Scattering parameters of a cavity loaded with a dielectric post. Dimensions according to Fig. 2: a = 19:05 mm, L = 30 mm, w = 4 mm, h = 10:65 mm, L = 3:5 mm, h = 4 mm,  = 4.

Fig. 6. Resonant TE mode in the cavity loaded with a dielectric post at a frequency of 11.5 GHz. Dimensions according to Fig. 2: a = 19:05 mm, L = 30 mm, w = 4 mm, h = 10:65 mm, L = 3:5 mm, h = 4 mm,  = 4.

the mode is maximum at the center of the cavity. Therefore, a dielectric post can be used to strongly lower its resonant frequency to the required design frequency of the filter. To illustrate these concepts, we have analyzed a similar cavity as before, but including a dielectric post of relative permittivity . The length of the cavity is also increased to mm to de-tune the resonance of the cavity. In Fig. 5, we present the scattering parameters obtained for this structure in a wide frequency sweep. We can observe a first resonance resonance, which of the cavity at 11.5 GHz. This is the is now at lower frequencies due to the larger cavity size used. This is demonstrated by looking at the field pattern obtained at 11.5 GHz, presented in Fig. 6. We again observe the field pattern mode without practically any disturbance caused of the by the dielectric post. The important point to discuss now is that a second resonance appears in Fig. 5 at 14 GHz. This resonance is due to the

2395

mode perturbed by the dielectric post at the frequency Fig. 7. Resonant TE of 14 GHz. Dimensions according to Fig. 2: a = 19:05 mm, L = 30 mm, w = 4 mm, h = 10:65 mm, L = 3:5 mm, h = 4 mm,  = 4.

mode, which has a lower resonance frequency due to a strong interaction with the dielectric post placed in the middle of the rescavity. To demonstrate that this is indeed a perturbed onant mode, we further present in Fig. 7 the electric field pattern of the structure at 14 GHz. We can observe the three typical lobes of a third-order resonance. We also observe that the central lobe is concentrated inside the dielectric, therefore strongly affecting the resonant frequency of the mode. In the final filter, the resonance will act as the second resonance of the filter mode res( of Fig. 1), and it will be combined with the onance ( of Fig. 1) to build the final doublet. Finally, note that mode suffers two sign changes the electric field of the along the cavity length, as shown in Fig. 7. The signs of the couplings associated to this resonance then do not change from input to output. This is in accordance with the fact that only one sign of the four involved in the doublet must change in sign. It is also important to bear in mind that the presence of this dielectric -mode resonance of the post will hardly disturb the first final filter, as demonstrated in Fig. 6. The disturbance comes in a slight modification of the resonant frequency of the mode. This effect can be easily compensated by adjusting back (reducing) (see Fig. 2). the length of the waveguide cavity Following this concept, it is simple to see that the inductive windows of the cavity will also affect the coupling to the second mode of the doublet. However, once this coupling window is fixed, the coupling to the second mode of the doublet can be further adjusted with the height of the dielectric post. This change mode. However, the will not modify the behavior of the change of this height will modify the pulling effect of the central , therefore effectively modifying the coupling. lobe of the The corresponding impedance inverters associated to these couplings are and according to the coupling matrix of Table I (see also Fig. 1). will modify the resonant Finally, the length of the cavity frequencies of both modes. However, once the resonant fremode is adjusted with this length, the resquency of the mode can be further adjusted by onant frequency of the of the dielectric post. The differences modifying the length in the resonant frequencies of both resonators in asynchronously

2396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 8. Coupling matrix and scattering parameters of a bandpass filter with a transmission zero above the passband. The waveguide width is a = 19:05 mm. The dimensions according to Fig. 2 are: w = 4 mm, h = 10:65 mm, h = 4:1 mm, L = 5 mm, L = 20:4 mm,  = 4.

Fig. 9. Coupling matrix and scattering parameters of a bandpass filter with a transmission zero placed below the passband. The waveguide width is a = 19:05 mm. The dimensions according to Fig. 2 are: w = 4 mm, h = 10:95 mm, h = 4:5 mm, L = 8:4 mm, L = 17:26 mm,  = 4.

tuned filters are included in the self-coupling terms and of the coupling matrix shown in Table I [7]. With these simple considerations, it is easy to optimize the dimensions of the filter in order to synthesize the values of any coupling matrix of the form shown in Table I. As already stated, we observe that, in this case, there is no need to increase the width of the waveguide cavity in order to implement transmission zeros. This leads to more compact structures as compared to the original work proposed in [6].

Another interesting feature of the new topology is that it exhibits the so-called zero shifting property of transversal filters [13]. Using this property, few adjustments in the resonant frequencies of the resonators can be introduced to implement a transmission zero below the passband of the filter. To show that this is indeed the case, we present in Fig. 9 a similar filter as before, but with the transmission zero placed at the frequency of 13.3 GHz. To obtain this filtering function, only small changes were applied to the resonant frequencies of the resonators ( and ). The height was also slightly modified to compensate for the couplings to the second resonator. Finally, the input/ were slightly adjusted to recover the reoutput windows turn-loss level of 20 dB. The analysis of this new structure with Ansoft’s HFSS is presented in Fig. 9, showing that the transmission zero now occurs below the passband. The inset of this figure shows the new coupling matrix synthesized with the technique presented in [7]. Results predicted by the direct analysis of this coupling matrix are also presented in Fig. 9, showing excellent agreement with the full-wave simulations of the structure. Again, results obtained with the integral-equation technique based on the theory described in [12] are also shown for further validation. It is worth mentioning that the doublets designed in this paper can be cascaded together to produce higher order filtering functions, as originally proposed in [14]. This can be done by using a nonresonating node as the basic element to join the doublets [15]. Alternatively, doublets can be cascaded by using conjoining resonators (rather than nonresonating nodes). To do this, trisections are first created, and then cross-pivot rotations are applied to form the cascaded doublets (see [11, Fig.7]). From the results shown in Figs. 8 and 9, we observe that, in the new structure proposed, the transmission zeros are placed close to the passband. This is due to the fact that the coupling mode is stronger than the coupling from the ports to the mode. This can also be verified from the field patto the mode has most of its terns shown in Figs. 6 and 7. The mode is strength close to the ports, while the modified concentrated in the dielectric post at the center of the cavity.

III. RESULTS In order to demonstrate the practical value of the proposed topology, we will consider the design of a bandpass filter centered at the frequency of 14 GHz, and with a transmission zero above the passband, at the frequency of 14.4 GHz. The filter has been optimized to exhibit a ripple within the passband of 20 dB. Following the synthesis technique described in [7], the coupling matrix corresponding to the structure shown in Table I takes the values presented in the inset of Fig. 8. The different geometrical parameters of the structure presented in Fig. 2 can be adjusted in order to synthesize the different values of this coupling matrix. Choosing a standard WR75 waveguide mm , the final dimensions for the inductive windows are mm and mm. The height and length of the mm and mm with a relative dielectric post are . Finally, the optimized length of permittivity constant mm. The scattering parameters obtained the cavity is for this geometry, when analyzed with Ansoft’s commercial finite-element software HFSS, are presented in Fig. 8, together with the results obtained directly from the analysis of the coupling matrix. We can observe very good agreement between the results predicted by the coupling matrix theory and the results obtained from the full-wave analysis of the optimized structure. As a further validation test, we also show in Fig. 8 the results obtained with an integral-equation technique derived for inductive waveguide devices based on the theory presented in [12]. Again we can observe very good agreement.

PÉREZ SOLER et al.: DESIGN OF BANDPASS ELLIPTIC FILTERS EMPLOYING INDUCTIVE WINDOWS AND DIELECTRIC OBJECTS

2397

Fig. 10. Details of the insertion losses of the filter of Fig. 9 for two different values of the dielectric loss tangent: tan  = 0:0025 and tan  = 0:0125.

Fig. 11. Comparison of the out-of-band performance for the new transversal filter against a standard H -plane filter of similar characteristics.

Consequently, the coupling from the ports to the mode will always be larger, resulting in transmission zeros close to the passband. However, the strength of the coupling from the input/output ports to the resonators is essentially controlled by the coupling windows ( in Fig. 2). This means that large couplings can be synthesized for the design of wide bandpass filters. In the examples shown in Figs. 8 and 9, the filters exhibit bandwidths of 400 MHz with return losses of 20 dB. Of course, narrower bandpass filters can also be designed by closing the input/output windows to reduce the strength of the couplings. Another interesting aspect of the topology proposed is related to the insertion losses that can be achieved with this structure. From the behavior of the resonances of the filter presented in Figs. 6 and 7, we can observe that the quality factor of the mode will essentially be the same as in a conventional -plane filter. This is because this mode is hardly affected by the dielecmode tric post. On the contrary, the quality factor of the will strongly depend on the losses of the dielectric object since this mode has most of its strength inside the post, as shown in Fig. 7. To demonstrate this fact, we include in Fig. 10 the details of the insertion-loss response of the filter presented in Fig. 9, when the losses in the dielectric post are increased. This figure shows a slope in the insertion-loss response of the filter, being maximum around the frequency of 13.7 GHz, where the mode has its strongest effect. For a value , the insertion loss of the filter varies inside the passband from 1.3 dB , the in(at the worst point) to 0.4 dB. When sertion loss improves from 0.3 dB (at the worst point) to only 0.1 dB. Finally, it is important to notice that the out-of-band response of the transversal filter proposed in this paper is expected to be worse than in a conventional -plane filter. This is because of the influence of the dielectric post in the higher order modes of the resonator. Thanks to the dielectric post, we can combine the and modes to make a transversal filter. The mode is pulled down to lower frequencies due to the presence of the dielectric post. However, any other higher order mode having a maximum at the center of the cavity (for instance, the mode), will also be pulled down to lower frequencies by the dielectric post. This will certainly approach the spurious

bands closer to the useful operational band. To demonstrate this, we have designed a similar two pole filter in a standard -plane technology, and we compare them with the filter shown in Fig. 9. The results of the comparison are presented in Fig. 11. We observe that the first spurious band is obtained at the frequency of 18 GHz in the new filter. However, the conventional -plane filter has the first spurious band at 22 GHz. IV. CONCLUSIONS In this paper, we have proposed a new topology for implementing high-selectivity bandpass filters with one transmission zero in its insertion-loss response. The structure is formed with a waveguide cavity and a dielectric resonator attached to one of the waveguide walls. This paper has shown that this structure is able to implement a transversal filter topology (doublet) with reduced size and volume. This topology produces a single transmission zero, which can be easily placed above or below the passband using the zero shifting principle. Results obtained through full-wave analysis of the new structure proposed are in good agreement with theoretical results, which have been directly obtained with the coupling matrix theory. REFERENCES [1] M. Mokhtaari, J. Bornemann, K. Rambabu, and S. Amari, “Coupling matrix design of dual and triple passband filters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3940–3946, Nov. 2006. [2] M. Guglielmi, G. Gheri, and A. A. Melcon, “CAD of tuning-less bandpass filters,” ESA–ESTEC, Noordwijk, The Netherlands, ESTEC Working Paper 1624, Jul. 1991. [3] V. E. Boria, M. Bozzi, D. Camilleri, A. Coves, H. Esteban, B. Gimeno, M. Guglielmi, and L. Polini, “Analysis and design of all-inductive filters with dielectric resonators,” in Proc. 33rd Microw. Conf., Munich, Germany, Oct. 7–9, 2003, vol. 3, pp. 1247–1250. [4] Y. Leviatan, P. G. Li, A. T. Adams, and J. Perini, “Single post inductive obstacle in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 10, pp. 806–812, Oct. 1983. [5] P. G. Li, A. T. Adams, Y. Leviatan, and J. Perini, “Multiple post inductive obstacles in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 365–373, Apr. 1984. [6] M. Guglielmi, P. Jarry, E. Kerherve, O. Roquebrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1764–1769, Oct. 2001. [7] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003.

2398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

[8] D. C. Rebenaque, A. A. Melcon, and M. Guglielmi, “A new simple microstrip open-loop resonators filter for high selectivity applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 8–13, 2003, pp. 1603–1606, Paper TH-1B-3. [9] S. Amari, U. Rosenberg, and J. Bornemann, “Adaptive synthesis and design of resonator filters with source/local-multiresonator couplings,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1975, Aug. 2002. [10] S. Amari and U. Rosenberg, “A universal building block for advanced modular design of microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 541–543, Dec. 2003. [11] R. J. Cameron, A. R. Harish, and C. J. Radcliffe, “Synthesis of advanced microwave filters without diagonal cross-coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2862–2872, Dec. 2002. [12] F. Quesada-Pereira, F. Pérez-Soler, B. Gimeno-Martínez, V. E. Boria-Esbert, J. Pascual-García, J. L. Gómez-Tornero, and A. Álvarez-Melcón, “Efficient analysis tool of inductive passive waveguide components and circuits using a novel space domain integral formulation,” in Proc. Eur. Microw. Conf., Manchester, U.K., Sep. 11–15, 2006, pp. 1003–1006. [13] D. C. Rebenaque, F. Q. Pereira, J. P. Garcia, A. A. Melcon, and M. Guglielmi, “Two compact configurations for implementing transmission zeros in microstrip filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 475–477, Oct. 2004. [14] U. Rosenberg and S. Amari, “Novel coupling schemes for microwave resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2896–2902, Dec. 2003. [15] S. Amari and U. Rosenberg, “New building blocks for modular design of elliptic and self-equalized filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 721–736, Feb. 2004.

Francisco Javier Pérez Soler (S’05) was born in Murcia, Spain, in 1981. He received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2004, and is currently working towards the Ph.D. degree at UPCT. He is currently with the Communication and Information Technologies Department, UPCT. His current scientific interests include the integral-equation technique for the analysis of antennas and microwave devices.

Mónica Martínez Mendoza was born in Cartagena, Murcia, Spain, in 1983. She received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2006, and is currently working toward the Ph.D. degree at UPCT. In 2007, she joined the Telecommunications and Electromagnetic Group, UPCT, as a Research Assistant, where she is involved in the development of novel transversal filtering structures for satellite systems. Her current scientific interests include the analysis and design of microwave circuits.

Fernando Daniel Quesada Pereira (S’05–M’07) was born in Murcia, Spain, in 1974. He received the Telecommunications Engineer degree from the Technical University of Valencia (UPV), Valencia, Spain, in 2000, and the Ph.D. degree from the Technical University of Cartagena, Cartagena, Spain in 2007. In 1999, he joined the Radiocommunications Department, UPV, as a Research Assistant, where he was involved in the development of numerical methods for the analysis of anechoic chambers and tag antennas. In 2001, he joined the Technical University of Cartagena, Cartagena, Spain, initially as an Research Assistant and then becoming an

Assistant Professor. His current scientific interests include the integral-equation technique applied to the analysis of antennas and microwave devices.

David Cañete Rebenaque (S’04) was born in Valencia, Spain, in 1976. He received the Telecommunications Engineer degree from the Technical University of Valencia, Valencia, Spain, in 2000, and is currently working toward the Ph.D. degree at the University of Cartagena, Cartagena, Spain. During 2001, he was an RF Engineer with a mobile communication company. In 2002, he joined the Communications and Information Technologies Department, Technical University of Cartagena. His research interests include analysis and design of microwave circuits and active antennas.

Alejandro Alvarez Melcon (M’99–SM’07) was born in Madrid, Spain, in 1965. He received the Telecommunications Engineer degree from the Technical University of Madrid (UPM), Madrid, Spain, in 1991, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1998. In 1988, he joined the Signal, Systems and Radiocommunications Department, UPM, as a Research Student, where he was involved in the design, testing, and measurement of broadband spiral antennas for electromagnetic measurements support (EMS) equipment. From 1991 to 1993, he was with the Radio Frequency Systems Division, European Space Agency (ESA)/European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands, where he was involved in the development of analytical and numerical tools for the study of waveguide discontinuities, planar transmission lines, and microwave filters. From 1993 to 1995, he was with the Space Division, Industry Alcatel Espacio, Madrid, Spain, and also with the ESA, where he collaborated on several ESA/ESTEC contracts. From 1995 to 1999, he was with the Swiss Federal Institute of Technology, École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he was involved in the field of microstrip antennas and printed circuits for space applications. In 2000, he joined the Technical University of Cartagena, Cartagena, Spain, where he currently develops his teaching and research activities. Dr. Alvarez Melcón was the recipient of the Journée Internationales de Nice Sur les Antennes (JINA) Best Paper Award for the best contribution to the JINA’98 International Symposium on Antennas, and the Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award for the best doctoral thesis in basic information and communication technologies.

Richard J. Cameron (M’83–SM’94–F’02) was born in Glasgow, U.K., in 1947. He received the B.Sc. degree in telecommunications and electronic engineering from Loughborough University, Loughborough, U.K., in 1969. In 1969, he joined Marconi Space and Defence Systems, Stanmore, U.K., where his research activities included small earth-station design, telecommunication satellite system analysis, and computer-aided RF circuit and component design. In 1975, he joined the European Space Agency (ESA)/European Space Research and Technology Centre (ESTEC), Noordwijk The Netherlands, where he was involved in the research and development of advanced microwave active and passive components and circuits with applications in telecommunications, scientific, and earth observation spacecraft. In 1984, he joined COM DEV International Ltd., Aylesbury, U.K., where he has been involved in the software and methods for the design of a wide range of high-performance components and subsystems for both space and terrestrial application. Mr. Cameron is a Fellow of the Institution of Electrical Engineers (IEE), U.K.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2399

A 25–75-MHz RF MEMS Tunable Filter Kamran Entesari, Member, IEEE, Khaled Obeidat, Student Member, IEEE, Andrew R. Brown, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents a state-of-the-art discrete RF microelectromechanical systems (MEMS) tunable filter designed for 25–75-MHz operation. This paper also presents an enhanced model of the RF MEMS switch, which is used for accurate prediction of the tunable filter response. The two-pole lumped-element filter is based on digital capacitor banks with on-chip metal-contact RF MEMS switches and lumped inductors, and results in a tuning range of 3 : 1 with fine frequency resolution, and a return loss better than 13 dB for the entire tuning range. The relative 1% over the tuning range and the bandwidth of the filter is 4 insertion loss is 3–5 dB, limited mostly by the inductor and the switch loss. The IIP3 measurements prove that tunable filters with metal-contact series RF MEMS switches show extremely 68 dBm). linear behavior (IIP3 Index Terms—Microelectromechanical systems (MEMS), RF MEMS, tunable filters.

I. INTRODUCTION

T

HE RF microelectromechanical systems (MEMS) tunable filter has been developed for multiband communication systems, radars, and wideband tracking receivers. The MEMS switch has been either implemented as part of the entire integrated filter for microwave and millimeter-wave applications [1]–[3] or mounted as a chip on the printed circuit board for UHF applications [4], [5]. RF MEMS tunable filters 60 dBm , offer very high linearity performance which makes them essential in large interference environments such as the VHF and UHF range with 10–100-kW broadcast stations. VHF tunable filters using thermally actuated metal-contact MEMS microrelays have been reported in [6] and [7]. This paper presents a two-pole RF MEMS digitally tunable filter for 25–75-MHz applications using surface-mount on-chip metal-contact RF MEMS switches with electrostatic actuation. The frequency band is covered with at least eight filter responses (states), and hermetically sealed single-pole single-throw (SPST) RF MEMS switches are used in the

Manuscript received February 28, 2007; revised July 30, 2007. This work was supported by the Lockheed Martin Corporation. K. Entesari is with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA (e-mail: [email protected]). K. Obeidat is with the Department of Electrical Engineering and Computer Science, The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]). A. R. Brown is with A. R. Brown Design, Northville, MI, 48167 USA (e-mail: [email protected]). G. M. Rebeiz is with the Department of Electrical Engineering, University of California at San Diego, La Jolla CA 92093 USA (e-mail: [email protected]. edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908674

Fig. 1. (a) Photograph, (b) side view, and (c) simple model of the Radant MEMS switch.

tunable capacitor banks. An essential part of the design is the use of an enhanced switch model for accurate tunable filter design.

II. RF MEMS ENHANCED SWITCH MODELING Metal-contact SPST RF MEMS switches from Radant MEMS, Stow, MA,1 are used to implement the tunable filter [see Fig. 1(a) and (b)]. This switch has been tested to 100-billion cycles at 100 mW of RF power for 100 units by Radant MEMS and several government laboratories [i.e., the Air Force Research Laboratory (AFRL), Army Research Laboratory (ARL), National Research Laboratory (NRL)] and is a very reliable switch [8], [9]. The switch is based on a gold cantilever, which is normally in the up-state position. A dc actuation voltage of 90 V is used to pull the cantilever to the down-state position and create a near short circuit in the transmission line. A simple model of an eight-contact switch is shown in Fig. 1(c), and results in an up-state capacitance of 80 fF (90 V). A short (0 V) and a down-state resistance of 0.7 high-impedance t-line on the silicon substrate is shown as a 0.15-nH inductance in series with the switch. 1Radant MEMS Inc., Stow, MA. [Online]. Available: www.radantmems.com

0018-9480/$25.00 © 2007 IEEE

2400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 4. Simplified circuit models for: (a) C and (b) C or C as switched capacitor banks (R = 10 k , C = 10 nF for the bias circuit and 1=G = 56 k ).

Fig. 2. (a) Top view, (b) side view, and (c) enhanced model of a mounted RF MEMS switch on an FR4 substrate.

Fig. 3. (a) Two-pole filter with capacitive tuning. (b) Implementation using C C ]. parallel resonators and capacitive inverters [C = C

0

0

Fig. 2 presents the top and side views of a mounted and bondwired RF MEMS switch on an FR4 substrate. The switch is mounted on top of a metallic pad using silver epoxy. Fig. 2(c) presents the circuit enhanced model for the switch considering

TABLE I FINALIZED CAPACITOR BANKS ELEMENT VALUES

bond-wire and mounting pad effects. The capacitance between the mounting pad and the FR4 substrate ground is extracted from full-wave simulation of the FR4 substrate using Agilent Technologies’ Momentum [10] and fitted to a 0.1-pF capacitance to ground. This capacitance can also be calculated from (1) and are the parallel plate and fringing field capacwhere itors between the mounting pad and the FR4 substrate ground, respectively. Assuming a mounting pad area of mm and a 62-mil-thick FR4 substrate , is

ENTESARI et al.: 25–75-MHz RF MEMS TUNABLE FILTER

2401

TABLE II FILTER CENTER FREQUENCIES, BANDWIDTHS AND PARALLEL CAPACITOR COMBINATIONS FOR EIGHT DIFFERENT STATES

calculated to be 85 fF and is calculated to be (16 fF), resulting in a total capacitance of 101 fF. The equivalent circuit between the switch drain and source metal pads (100 m 200 m each) through the 2- m-thick , 250- m-thick high-resistivity silicon oxide layer k cm , and the mounting pad is also extracted layer using full-wave simulations and is fitted to a series – circuit k and pF [see in the model where Fig. 2(b) and (c)]. The series – element values between the drain (or source) and the metal pad on the bottom of the switch meter to 300 MHz and are also measured by using an found to be 9.5 k ( ) and 1.1 pF ( ), which verifies the fullwave simulation results. The bond-wire length is 1 mm with a height of 0.3 mm and a diameter of 25 m [see Fig. 2(b)]. The bond-wire equivalent circuit is obtained using Agilent Technologies’ Advanced Design System (ADS) and is fitted to a series – circuit with and nH [see Fig. 2(c)]. III. FILTER DESIGN Fig. 3(a) presents a two-pole filter with capacitive tuning. This filter is a practical realization of a standard Chebyshev bandpass filter with parallel resonators and -inverters [see is given by the design process and Fig. 3(b)]. The value of , where is the angular center frequency. is implemented using a -capacitive network and is implemented by a capacitor transformer. The negative element together with creates a half-section admittance inverter with the absence of a susceptance on the load side of the basic -inverter. The interstage coupling capacitor , and and are obtained by [11], [12] (2) (3)

(4)

and negative capacitors and The shunt capacitor are absorbed into each other and form a shunt capacitor . , the interstage coupling capacThe resonant capacitor , and the matching capacitor are each substituted itor by a capacitor bank with four switchable unit cells in shunt , , and , respectively [see with fixed capacitors Fig. 4(a) and (b)]. Each unit cell is a series combination of a fixed lumped capacitor and a metal-contact series RF MEMS switch. This results in 16 different filter responses using 16 different combinations of switches in the up- and down-state positions, but only 8–10 of these filter responses are used in practice because they cover the entire tuning range in a are systematic fashion. A set of 56-k shunt resistors connected to ground in parallel with the RF MEMS switches to prevent any dc floating nodes across the MEMS switch, which could result in the electrostatic damage (ESD) of the switch. The high-value resistors have a minimal effect on the insertion loss (0.1–0.2 dB over all states) and can be used with RF MEMS electrostatic switches since these switches have very and capaclow current consumption ( 1 A). For the itor banks, the common node of the MEMS switches [node 1 in Fig. 4(b)] is connected to ground through the resonant inductor and, therefore, only one resistor is used even though the switch is placed in a series configuration. It is important to note that if this tunable filter is built using p-i-n diodes, then other than the 20-30-mA bias current required per diode to achieve a 0.7- resistance, each diode must -bias choke instead of a 56-k bias resistor. also have an A dual polarity supply (or another circuit for reverse biasing the diodes) is also required for p-i-n diodes to achieve a low capacitance in the off state. This makes the p-i-n tunable filter much more difficult to implement than an RF MEMS design even at 25–75 MHz. A two-pole 4% 1%, Chebyshev filter with a 0.2-dB ripple response is designed at 25–75 MHz using (2)–(4) and considering the enhanced RF MEMS switch model. The lumped inis 90 nH ( at 50 MHz) and is fixed over the ductor tuning range. Table I presents the unit-cell capacitor values of , , and ( ). The capacitor values of , , and are optimized using ADS [10] while considering the effects of the enhanced RF MEMS switch model and

2402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 6. Simulated insertion loss for the two-pole tunable filter for states 1 and 7.

7) with the simple and enhanced switch models is performed. First, the two models are substituted instead of the ideal switches in the switched capacitor banks , , and . , and -parameters for Fig. 5 presents the simulated , , states 1 and 7. The simulations are close for both the simple and enhanced switch models at state 1, but there is a small, but significant difference for state 7. This is clearly shown in Fig. 6 where even the small variation in the capacitor banks behavior due to the enhanced model results in filter loss and relative bandwidth degradation. For state 7, the insertion loss and bandwidth change from 2 to 5 dB and from 6.5% to 4.4%, respectively, between the simple and enhanced switch models. For state 1, the difference between the models is not as severe, but still results in an additional 1 dB of insertion loss between the simple and enhanced models. The degraded filter performance for state 7 can be explained using Fig. 2(c). In this state, all the RF MEMS switches are in the up-state position, and the parasitics between the drain and source pads through the silicon substrate 1.1 pF 9.5 k , especially for the switches located in the inverter capacitor banks, greatly increase the filter loss and reduce the filter bandwidth. On the other hand, for state 1, most of the switches are in the down-state position, and the parasitics between the source and drain pads are short circuited by the 0.7- series resistance and, therefore, do not have a considerable effect on the filter loss and bandwidth. IV. FABRICATION AND MEASUREMENTS

Fig. 5. Simulated S -parameters for: (a) C in state 7, (b) C in state 1, (c) C and C in state 7, and (d) C and C in state 1 with simple and enhanced switch models.

the filter layout. Table II shows the simulated filter center frequencies and bandwidths for eight different states and the corresponding parallel combinations of the unit-cell capacitors for each capacitor bank. The bandwidth of the filter is defined based on the 0.2-dB ripple. To understand the effect of the enhanced switch model in the filter design procedure, a comparison between the simulated -parameters for the two-pole tunable filter (states 1 and

Fig. 7 shows the layout of the two-pole filter fabricated on the FR4 substrate. The filter is composed of two shunt induc, two matching capacitor banks , one inter-stage tors , and two resonant capacitor banks coupling capacitor bank , each with four unit cells. The inductors and capacitors were pre-measured before placement on the FR4 substrate and are accurate to within 1%. All the interconnects between the lumped – – surface-mount elements and the RF MEMS switches in the filter layout are taken into account as short microstrip lines and discontinuities on the FR4 substrate using ADS. The via-hole (0.4-mm diameter and 1.57-mm height) effect through the FR4 substrate is also considered in the filter simulation using ADS. Each switch has a separate dc-bias line for independent control. A dc-coupling – filter is implemented in the bias path of each RF MEMS switch for added

ENTESARI et al.: 25–75-MHz RF MEMS TUNABLE FILTER

Fig. 7. Layout of the two-pole tunable filter on a 62-mil FR4 substrate (100 mm

2403

2 60 mm). TABLE III FACTOR OF A SINGLE SIMULATED AND MEASURED SHUNT RESONATOR FOR EIGHT DIFFERENT STATES

Q

Fig. 8. Fabricated 25–75-MHz tunable filter.

isolation between the RF and dc signals ( k and nF, kHz). The filter is excited using input and output 50- microstrip lines (Fig. 8). First, a separate weakly coupled tunable resonator was built and , and the resonator was measured versus using different tuning states (Table III). The simulated and measured quality factor of a single weakly coupled resonator shows that is obtained at state 3, where three the maximum resonator Radant MEMS switches are actuated and the total loading ca—see Tables I and II). In this case, pacitor is 124 pF ( the switched capacitor is approximately , where pF and , and coupled with an inductor of 115, results in a resonator of 65 using model. The final resonant frequency at state 3 is a simple 38.5 MHz with a simulated of 71 using ADS and taking into account all the low-loss interconnects (which results in added inductance), and agrees very well with the measurements. The minimum is obtained at state 0 where the capacitive loading

pF , and also at state 7 where none of the is high RF switches are actuated and the parasitic loss in the silicon switch substrates (four of them corresponding to four Radant MEMS switches) significantly contribute to lower the resonator . The resonator is ultimately limited by the planar inductor with a of 115, and the switch series resistance (0.7 ) when the switch is actuated, or by the parasitic loss in the silicon substrate when the switch is not actuated. Therefore, one can expect a of 60–100, even with very high- inductors using this technology. Fig. 9 presents the measured and simulated filter responses for states 1 and 7 with the simple and enhanced switch models. It is seen that the enhanced switch model accurately predicts the passband and rejection-band response of the tunable filter. The measured and simulated insertion and return losses of the tunable filter are presented in Fig. 10(a) and (b), respectively. The measured insertion loss is 3–5 dB at 25–75 MHz over all the tuning states, and the relative bandwidth is approximately fixed (4% 1%) for the entire tuning range. The return loss is always better than 13 dB over the tuning range and is nearly perfectly matched to simulations using the enhanced switch model. As expected, state 3 results in the lowest insertion loss (3.1 dB). V. NONLINEAR CHARACTERIZATION The third-order intermodulation components were measured using a setup similar to the one shown in [1]. A

2404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

with all the switches in the down-state position (state 0). The filter third-order intermodulation level was always below the noise level of the HP4395A spectrum analyzer as long as the RF mixer of the spectrum analyzer was not saturated by the input signal (maximum power of 20 dBm was used). Therefore, of the tunable filter is 68 we can safely ensure that the dBm. This is an incredible number for tunable filters, especially when compared with Schottky varactor-diode tunable filters of 15–25 dBm) [13] and p-i-n diode switched filters ( ( of 25–35 dBm).2 It is well known that capacitive RF MEMS tunable filters (analog or switched capacitors) do result in very ( 50 dBm) [3], and the metal-contact switched high capacitor filter in this study show similar results [14]. Fig. 9. Comparison between the measured and simulated filter responses with the simple and enhanced switch models for states 1 and 7.

VI. CONCLUSION This paper has demonstrated a wideband tunable filter (3 : 1) on an FR4 substrate and covers the 25–75-MHz frequency range. A lumped topology with four unit-cell capacitor banks and surface-mount RF MEMS series switches is used to implement the filter with 16 different responses over the band. A 3-bit design (eight states) could also be developed with much fewer components and still cover the 25–75-MHz range, albeit with slightly wider bandwidths. An enhanced switch model is developed to accurately predict the filter response. A detailed study on the resonator shows that this technology is suitable for filters requiring an unloaded of 60–100 (depending on the inductor used), which is ideal for two– or three-pole designs with 3%–5% bandwidths. For example, a 4% three-pole tunable results in an insertion loss of 5.8 dB, which filter with is still acceptable for many receiver applications. The measurements confirm the fact that RF MEMS tunable filters result in very low intermodulation levels and are suitable for high-interference environments. ACKNOWLEDGMENT The authors would like to thank the Radant MEMS technical team for providing the RF MEMS switches and for mounting them on the FR4 substrate. Author G. M. Rebeiz would like to thank J. Maciel, Radant MEMS Inc., Stow, MA, for his continued support.

Fig. 10. Simulated and measured: (a) insertion loss and (b) return loss of the tunable 25–75-MHz filter. Simulations are done with the enhanced switch model. Only eight out of 16 states are shown.

two-tone signal was applied to the tunable filter within the filter passband (depending on the state) with a separation frequency of 100 kHz (or 1 MHz), and the third-order products measured on a spectrum analyzer. Due to the limited dynamic range of the spectrum analyzer and the extremely linear behavior of the RF dBm for 1-MHz offset, measured MEMS switches ( independently by Radant MEMS, Rockwell Collins, Cedar Rapids, IA, and The University of Michigan at Ann Arbor), it of the tunable filter, even was impossible to measure the

REFERENCES [1] K. Entesari and G. M. Rebeiz, “A 12–18-GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [2] K. Entesari and G. M. Rebeiz, “A differential 4 bit 6.5–10 GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1103–1110, Mar. 2005. [3] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003. [4] L. A. Borwick et al., “Variable MEMS capacitors implemented into RF filter systems,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 315–319, Jan. 2003. [5] R. M. Young, “Low-loss bandpass RF filter using MEMS capacitance switches to achieve a one-octave tuning range and independently variable bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1781–1784. 2M/A-COM

Inc., Lowell, MA. [Online]. Available: www.macom.com

ENTESARI et al.: 25–75-MHz RF MEMS TUNABLE FILTER

[6] R. D. Streeter et al., “VHF High-power tunable RF bandpass filter using microelectromechanical (MEM) microrelays,” Int. J. RF Microw. Comput.-Aided Eng., vol. 11, pp. 261–275, Aug. 2001. [7] C. A. Hall et al., “A 25 Watt RF MEM-tuned VHF bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 503–506. [8] J. Lampen et al., “A wafer-capped, high-lifetime ohmic MEMS RF switch,” Int. J. RF Microw. Comput.-Aided Eng., vol. 14, pp. 338–344, Jul. 2004. [9] S. Majumder et al., “A packaged, high-lifetime ohmic MEMS RF switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1935–1938. [10] Advanced Design System (ADS) 2004A. Agilent Technol., Palo Alto, CA, 2004. [11] S. B. Cohn, “Direct-coupled-resonator filters,” Proc. IRE, vol. 45, no. 2, pp. 187–196, Feb. 1957. [12] K. Wada et al., “3-pole bandpass filters using short-ended half-wavelength resonators and their applications to a duplexer,” in Proc. IEEE Wireless Commun. Technol. Top. Conf., Oct. 2003, pp. 160–163. [13] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [14] J. Maciel and J. McKillop, “Panel on RF MEMS commercial potentials,” presented at the IEEE MTT-S Int. Microw. Symp., Honolulu, HI, Jun. 2007.

Kamran Entesari (S’03–M’06) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1995, the M.S. degree in electrical engineering from Tehran Polytechnic University, Tehran, Iran, in 1999, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 2005. In 2006, he joined the Department of Electrical and Computer Engineering, Texas A&M University, College Station, where he is currently an Assistant Professor. His research interests include design of RF/microwave/millimeter-wave integrated circuits and systems, MEMS for microwave/millimeter-wave applications, related front-end analog electronic circuits and antennas, microwave filters and passive components, and active and passive sensors.

2405

Khaled Obeidat (S’01) received the B.S. degree in electrical engineering from the Jordan University of Science and Technology, Irbid, Jordan, in 1999, the M.S. degree from the University of South Florida, St. Petersburg, in 2003, and is currently working toward the Ph.D. degree at The Ohio State University, Columbus. From 1999 to 2001, he was with OWSS. From 2003 to 2004, with EMAG Technologies. His main research interest are RF circuits, tunable filters using MEMS, ultra-wideband (UWB) antennas, and electrically small antennas.

Andrew R. Brown (S’96–M’00) received the Bachelors, Masters, and Doctorate degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1995, 1996, and 1999, respectively. He is currently President of A. R. Brown Design, Northville, MI, a small company that focuses on consulting and contract design of analog, microwave, and millimeter-wave components.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena, in 1988. He is currently a Professor of electrical engineering with the University of California at San Diego, La Jolla. He has contributed to the study of planar millimeter-wave and terahertz antennas and imaging arrays from 1988 to 1998, and to the development of RF MEMS since 1996. His group recently developed the fastest millimeter-wave SiGe switch to-date (70 ps), and 6–18- and 30–50-GHz eight-element phased-array receivers and transmitters on a single chip, making them the most complex RF integrated circuits (RFICs) ever built at this frequency range. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). Prof. Rebeiz is a National Science Foundation (NSF) Presidential Young Investigator. He was the recipient of a URSI Koga Gold Medal Recipient. He was a 2003 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Young Engineer. He was the recipient of the 1998 Eta Kappa Nu Professor of the Year Award and the 1998 Amoco Teaching Award presented to the best undergraduate teacher at The University of Michigan at Ann Arbor.

2406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

A Dual-Band Coupled-Line Balun Filter Lap Kun Yeung, Member, IEEE, and Ke-Li Wu, Senior Member, IEEE

Abstract—In this paper, a new type of device called dual-band coupled-line bandpass balun filter is presented. Based on the traditional coupled-line filter theory and Marchand balun configuration, a new device with both filter-type, as well as balun-type characteristics is proposed. The new device utilizes 4-type transmission-line stepped-impedance resonators to achieve a dual-band operation. Besides providing a simple design procedure for the device, its working mechanism is also revealed mathematically. A prototype balun filter operating at 2.4 and 5.8 GHz has been realized using traditional printed circuit board technology to validate the proposed concept and theory, showing promising application potentials for future multiband RF wireless transceiver modules. Experimental measurements show good agreement with analytical and computer simulations. Index Terms—Balun, coupled line, dual-band filter, low-temperature co-fired ceramic (LTCC), stepped-impedance resonator (SIR).

I. INTRODUCTION

T

Fig. 1. (a) Architecture of a traditional dual-band RF front-end. (b) New architecture of a dual-band RF front-end using the proposed balun filter.

Manuscript received April 20, 2007; revised August 16, 2007. This work was supported by the Research Grants Council of the Hong Kong Special Administrative Region under Grant 2150499. L. K. Yeung is with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90032 USA (e-mail: [email protected]). K.-L. Wu is with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907402

A balanced signal consists of two signal components with the same magnitude, but 180 out-of-phase. Many analog circuits, such as mixers, amplifiers, and multipliers, require a balanced input or output to achieve noise or high-order harmonics reduction. A dual-band balun [9] using a tapered-line structure has been recently proposed. It is essentially a conventional Marchand balun with its uniform coupled-line sections replaced by tapered counterparts. For single-band applications, passive devices that combine both filter- and balun-type functionalities have been proposed [10]–[12] in order to miniaturize RF front-end system modules such as those for Bluetooth applications [13]. However, no such device exists for dual-band applications. In this paper, a novel dual-band balun filter is presented. It exploits three types of traditional RF components, i.e., the coupled-line filter, the Marchand balun, and the SIR, to accomplish the required functionalities. Having had the proposed dual-band balun filter, the architecture of a traditional dual-band RF front-end, as shown in Fig. 1(a), in which one set of balun and bandpass filter is required for each frequency channel, can be greatly simplified to a new system architecture, as shown in Fig. 1(b). Such simplification will help to reduce the size and cost of a dual-band wireless system. To provide a practical design guideline for the proposed new device, detailed design formulas based on the coupled transmission line theory are given in this paper. An experimental verification is also presented to validate the proposed concept.

HE ever-increasing demand for advanced wireless communication applications necessitates RF transceivers operating at multiple separated frequency bands. For example, high-speed wireless local area networks (WLANs), offering up to 54-Mbit/s wireless access service, operate at both 2.4and 5-GHz bands. To accommodate such dual-band RF signal reception and transmission, devices such as dual-band antennas, dual-band baluns, and dual-band filters are currently gaining wide attention. Among various functional passives, the filter is considered as one of the most important components and, therefore, a great deal of effort has been focused on this particular area [1]–[6], especially on the filter configuration that utilize stepped-impedance resonators (SIRs) to achieve the dual-band feature. For example, two recent publications [7], [8] have demonstrated the use of parallel-coupled microstrip lines to construct dual-band bandpass filters with fully controllable bandwidths and return losses for both operating frequency bands. While much attention has been paid to filters, not much research has been done on the balun even though it is also a key RF front-end functional passive. A balun is a device for converting a balanced signal to an unbalanced one, or vice versa.

0018-9480/$25.00 © 2007 IEEE

YEUNG AND WU: DUAL-BAND COUPLED-LINE BALUN FILTER

2407

Fig. 3. (a) Modified odd-mode circuit with second-order bandpass filtering characteristics. (b) =4-type SIR.

With this modification, a new dual-band balun configuration is constructed, and its schematic is shown in Fig. 2(c). Only the low-impedance portion of each SIR is coupled in this example. B. Dual-Band Balun Filter

Fig. 2. (a) Conventional Marchand balun. (b) Its even- and odd-mode circuits. (c) Dual-band balun configuration.

II. THEORY A. Basic Dual-Band Configuration A conventional Marchand balun, shown in Fig. 2(a), com-long coupled-line section. It has a fairly prises two pairs of large bandwidth and good amplitude balance, as well as 180 phase difference. According to the analysis given in [15], to satisfy the ideal balun-type characteristics of , the following condition is required: (1) and are the input reflection coefficients of the where is the transeven- and odd-mode circuits, respectively, and mission coefficient of the even-mode circuit. Now, looking at Fig. 2(b), the even-mode circuit of a Marchand balun presents for all frequencies a perfect transmission stop [16] and, thus, has the ideal balun-type characteristics . On the other hand, when considering the return loss , it is sufficient to model just the odd-mode circuit, shown in Fig. 2(b). This odd-mode circuit, or the overall balun, can be made to operate at two selectable frequency bands by replacing -type SIRs. the coupled resonator pair with a pair of coupled

Since the odd-mode circuit of this proposed dual-band balun resembles a pair of coupled SIRs, th-order bandpass filtering characteristics can be introduced by simply adding extra resonators together with suitable input and output couplings. Without loss of generality, a second-order filtering is considered in this study [see Fig. 3(a)]. Moreover, for simplicity, the three coupling sections are assumed to have the same electrical length . The method for designing this filter is similar to the one described in [14], except that -type SIRs are used here. -type SIR, which resonates at The first step is to design a desired frequencies. It can be seen from Fig. 3(b) that there are three adjustable parameters for a SIR, i.e., , , and . Relationships between them and the first two resonant frequencies are given by [17] (2) (3) is the impedance ratio. Therefore, given where two desired operating frequencies, i.e., and , the impedance ratio and the electrical length of a SIR can be obtained. Additionally, its susceptance slope parameter can be calculated by (4) This parameter is required when designing filters using traditional filter design theory. After obtaining a desired SIR, the odd-mode filter can be designed in a way similar to that for conventional coupled-resonator filters with some modifications. As seen in Fig. 3(a), there are two different types of coupled-line sections: the section

2408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

with two open-circuit ports and the section with two short-cirmatrix of the former is available and is cuit ports. The given as [14]

(5a) where and are the even- and odd-mode impedances of a matrix can coupled line, respectively. For the latter, its be calculated as

Fig. 4. Admittance inverter equivalents for: (a) coupled section with two opencircuit ports, (b) coupled section with two short-circuit ports, and (c) alternative representation for the section with two short-circuit ports.

then by equating each corresponding matrix element of (5b) and (7), we have (5b) where and are the even- and odd-mode admittances, respectively. To facilitate the filter design using traditional methods, each type of coupled-line section should be associated with an equivalent admittance inverter. The inverter equivalents for the section with open-circuit ports and the one with short-circuit ports are shown in Fig. 4(a) and (b), respectively. The only difference between these two equivalents is the 180 phase shift (minus sign) between their inverters. This extra minus sign is included because the odd-mode filter SIRs, in other words, is equal to 45 . consists of only However, traditional admittance inverter filters require a resonator between any pair of adjacent inverters. Therefore, an extra 90 phase shift is required on either side of the middle inverter to make the overall transmission line length between long [see Fig. 4(c)]. The mathemattwo adjacent inverters ical relationship between a coupled-line section and its inverter equivalent can be obtained by equating their corresponding matrices. For the open-circuit case, it has been done in [14] and the results are listed as follows: (6a) (6b)

(8a)

(8b)

(8c) The above simultaneous equations are not independent of each other, and any two equations among these three are valid for solution. Solving the first two equations gives (9a) (9b) With the help of (6) and (9), the design can now proceed as usual. Herein, a set of prototype element values ’s are chosen from standard filter design tables [18]. The admittance inverter parameters, given a relative bandwidth , can be expressed as

where is a chosen reference characteristics admittance. For the short-circuit case, it can be obtained in the following way. matrix of the inverter shown in Fig. 4(b) Given that the is

(10)

(7)

are the resonator susceptance slope paramwhere eters. Notice that in (10) we have assumed . Based on

YEUNG AND WU: DUAL-BAND COUPLED-LINE BALUN FILTER

2409

Fig. 6. Simulated responses of a dual-band balun-filter based on ideal transmission line model.

Fig. 5. Proposed dual-band coupled-line balun filter (the shaded section is a section of the partially coupled SIR coupled lines).

the inverter parameters, the design data for all coupled-line sections in Fig. 3(a) can be calculated and a SIR bandpass filter is thus obtained. However, for a dual-band balun-filter, extra modifications are required. Firstly, the schematic should be modified to the one shown in Fig. 5. It essentially consists of two SIR bandpass filters shown in Fig. 3(a). and each of them is a pair of coupled SIRs. Notice that the filter at the lower half has a terminating line in place of a coupled section and, therefore, this structure is not truly symmetric. However, the main body of the structure can be viewed as a dual-band balun with input should be divided by and output couplings. Secondly, because there are two filter sections in parallel. This allows the input port matches to a 100- balanced load rather than a 50load, as in Fig. 3(a). Thirdly, the terminating line should be set to the chosen reference impedance and electrical length of . This is because when converting the structure to an inverter equivalent, there should be no impedance discontinuity between this terminating line and the transmission line section of the inverter equivalent. Finally, it can be shown that when the frequency ratio is less than 3, the low impedance sections of the SIR resonators need to be the coupled pair. III. PHYSICAL IMPLEMENTATION The proposed balun-filter consists of five pairs of coupled-line section and a single open-circuit terminated transmission line. For those coupled-line sections, they are best realized by striplines using multilayer substrate technologies. For microstrip-type realization, however, special attention is required due to its nature of unequal even- and odd-mode phase velocities. This undesired property degrades the filter’s second passband responses significantly. As an example, according to the method described above, a set of even- and odd-mode impedances has been calculated for a 300-MHz bandwidth balun filter operating at 2.4- and 5-GHz frequency bands. These im, , , pedances are , , and . In addition, the characteristic impedance of the terminating line

is and all line sections have an electrical length of 52.68 . Now translating those to microstrip-type realizations on a 0.8-mm-thick FR4 printed-circuit board (PCB), the corresponding dimensions for each pair of coupled-line counted from the left end to the right end of Fig. 5 are as follows. mil, width • First coupled-line pair: length mil, separation mil. mil, width • Second coupled-line pair: length mil, separation mil. mil, width • Third coupled-line pair: length mil, separation mil. mil, width mil. • Terminating line: length Fig. 6 shows the schematic-level simulations of this balunfilter using an ideal transmission line. However, the microstrip model will show a significant degradation at the 5-GHz passband due to the unequal even- and odd-mode phase velocities. Several techniques exist to overcome such problem including the use of a wiggly coupled-line section or insertion of a compensating capacitor at the middle of a coupled-line section. In our prototype, a three-conductor coupled-line section is used to alleviate this problem. Fig. 7 shows the physical layout of our prototype filter. It is clear that there are five three-conductor coupled-line sections and an open-circuit terminated microstrip line. The tooth-like conductor printings at the center two coupled-line sections introduce extra odd-mode coupling capacitances. Moreover, for each of these sections, a conducting wire is used to connect its two outside microstrips to form a three-conductor coupled-line configuration. A photograph of the physical realization of the prototype on FR4 substrate is shown in Fig. 8. IV. EXPERIMENTAL RESULTS The measured and full-wave simulated performances of the prototype balun-filter designed at 2.45 and 5.55 GHz are shown in Fig. 9. The wider bandwidth at the higher passband, as compared to that of the schematic circuit shown in Fig. 6, is caused by the dispersion of the coupling elements. The downward shift of the upper passband frequency of the electromagnetic (EM) simulated and measured results, as compared to the desired one in the schematic simulation, is due to the parasitic effects of the resonators. Nevertheless, this result is convincing enough

2410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 7. Microstrip-type implementation of the proposed balun filter. (Ground is not shown. Thickness of the substrate is 31.5 mil.)

Fig. 10. Performance of the proposed balun-filter at: (a) 2.4- and (b) 5-GHz band.

Fig. 8. Experimental prototype.

and 9 , respectively. Obviously, the performance at the 5-GHz band has been degraded by the previously mentioned unequal even- and odd-mode velocities of the microstrip coupled-line section. This predicament can be removed if the circuit is realized by stripline structures using such low-temperature co-fired ceramic (LTCC) multilayer technologies. V. CONCLUSION

Fig. 9. Comparison between EM simulated and measured results.

to prove the concept. It is easy to adjust the higher passband to 5.8 GHz by tuning the physical layout. Fig. 10 shows the amplitude balance and phase difference of the balun filter at each operating band. From this figure, the 2.4-GHz band has a better performance with an amplitude balance of 0.3-dB maximum and a maximum of 2 phase difference. For the 5-GHz band, the corresponding figures are 0.9 dB

The new concept of a dual-band balun filter has been presented. The concept exploits three types of traditional RF components including a coupled-line filter, Marchand balun, and SIRs to accomplish both dual-band filtering and balun-type operations. Besides presenting the fundamental theory and working mechanism, an experimental prototype has been realized to validate the proposed concept. Measured results show that the device exhibits a good amplitude balance, as well as phase difference within the two designed operating frequency bands. Whereas this balun-filter is best implemented in a stripline type format using multilayer substrate technology, it can also be implemented using traditional PCB technology in a microstrip-type format. When implemented in a PCB format, special attention should be paid to the inequality between the even- and odd-mode velocities of a microstrip coupled-line section. This inequality behavior degrades the device’s performance. The method employed in this study to overcome this problem is the use of a three-conductor coupled-line section. In general, this balun filter serves as a good candidate for multiband wireless applications.

YEUNG AND WU: DUAL-BAND COUPLED-LINE BALUN FILTER

ACKNOWLEDGMENT The authors are very grateful to Y. M. Fung, The Chinese University of Hong Kong (CUHK), Shatin, Hong Kong, for providing support in preparing the experimental prototype. REFERENCES [1] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatom, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, vol. 2, pp. 789–792. [2] C. Quendo, E. Rius, and C. Person, “An original topology of dual-band filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 2, pp. 1093–1096. [3] L. C. Tsai and C. W. Hsue, “Dual-band bandpass filters using equallength coupled-serial-shunted lines and Z -transform technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1111–1117, Apr. 2004. [4] S. F. Chang, Y. H. Jeng, and J. L. Chen, “Dual-band step-impedance bandpass filter for multimode wireless LANs,” Electron. Lett., vol. 40, no. 1, pp. 38–39, Jan. 2004. [5] H. M. Lee, C. R. Chen, C. C. Tsai, and C. M. Tsai, “Dual-band coupling and feed structure for microstrip filter design,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 3, pp. 1971–1974. [6] J. T. Kuo and H. S. Cheng, “Design of quasi-elliptic function filters with a dual-passband response,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 472–474, Oct. 2004. [7] S. Sun and L. Zhu, “Coupling dispersion of parallel-coupled microstrip lines for dual-band filters with controllable fractional pass bandwidths,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, vol. 3, pp. 2195–2198. [8] S. Sun and L. Zhu, “Compact dual-band microstrip bandpass filter without external feeds,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 644–646, Oct. 2005. [9] Y. Guo, Z. Y. Zhang, L. C. Ong, and M. Y. W. Chia, “A novel LTCC miniaturized dualband balun,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 143–145, Mar. 2006. [10] M. C. Park, B. H. Lee, and D. S. Park, “A laminated balance filter using LTCC technology,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, 2005, pp. 2974–2977. [11] L. K. Yeung and K.-L. Wu, “An integrated RF balanced-filter with enhanced rejection characteristics,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, vol. 2, pp. 713–716. [12] L. K. Yeung and K.-L. Wu, “An LTCC balanced-to-unbalanced extracted-pole bandpass filter with complex load,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1512–1518, Apr. 2006. [13] L. K. Yeung, J. Wang, Y. Huang, S.-C. Lee, and K.-L. Wu, “Development of an integrated LTCC Bluetooth module,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, 2005, pp. 3379–3382. [14] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980.

2411

[15] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [16] D. M. Pozar, Microwave Engineering. New York: Addison-Wesley, 1993. [17] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [18] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964. Lap Kun Yeung (S’00–M’02) received the B.Eng. degree in electrical and information engineering from the University of Sydney, Sydney, Australia, in 1998, the M.Eng. degree in electronic engineering from the Chinese University of Hong Kong, Shatin, Hong Kong, in 2002, and is currently working toward the Ph.D. degree at the University of California at Los Angeles (UCLA). During 1999, he was with the Commonwealth Scientific and Industrial Research Organization (CSIRO), Sydney, Australia, where he was a research engineer involved in the numerical modeling of different antenna structures. From 2003 to 2006, he was with the Chinese University of Hong Kong, where he was involved in various LTCC multichip-module (MCM) designs and the development of numerical algorithms for analyzing multilayer embedded RF modules.

Ke-Li Wu (M’90–SM’96) received the B.S. and M.Eng. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, Hamilton, ON, Canada, as a Research Engineer and a Research Group Manager. In March 1993, he joined the Corporate Research and Development Division, ComDev International, where he was a Principal Member of Technical Staff in charge of developing advanced EM design software for passive microwave subsystems for communication satellites. Since October 1999, he has been with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, where he is currently a Professor. He has authored or coauthored numerous publications in the areas of EM modeling and microwave and antenna engineering. His current research interests include numerical and analytical methods in electromagnetics, passive microwave circuits, filters, antennas for communication systems, LTCC-based MCMs for wireless communications, and RF identification (RFID) technologies.

2412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters Ching-Wen Tang, Member, IEEE, and Ming-Guang Chen

Abstract—This paper develops a novel ultra-wideband bandpass filter by cascading a broadband bandpass filter with another broadband bandstop filter. Properly selected impedances of transmission lines achieve broadband bandpass and bandstop filters and make independent designs possible. Detailed design and synthesis procedures are provided; moreover, agreement between measured and theoretically predicted results demonstrates feasibility of the proposed filter. Due to its simple structure, the ultra-wideband bandpass filter newly introduced in this paper is suitable for integration in the single-chipped circuit or implementation on printed circuit boards.

Fig. 1. Architecture of the broadband bandpass filter.

Index Terms—Bandpass filter, bandstop filter, microstrip filter, ultra-wideband.

I. INTRODUCTION

I

N RECENT years, there are increasing demands for broader bandwidth in wireless communication systems; moreover, much research has focused on ultra-wideband filters. The frequency spectrum adopted for the ultra-wideband system in this paper, i.e., 3.1–10.6 GHz, follows the one authorized by the Federal Communications Commission (FCC) for short-range and high-speed wireless communication [1]. Various methods to make ultra-wideband filters are reviewed as following. In addition to parallel-coupled lines and stepped-impedance resonators, the ground-plane aperture is employed to improve the coupling coefficient [2], while the capacitive loading is used to enhance the rejection in the higher stopband [3]. A multiple-mode resonator and the quarter-wavelength parallel-coupled lines at the input and output ports are adopted in [4] and [5] to achieve the goals shown in [2] and [3]. The dual-mode ring resonator cascaded with orthogonal feed lines is used in [6], double-sided parallel-strip lines are presented in [7], and three-line microstrip structures are utilized in [8]. References [9] and [10] make use of transmission zeros on both sides of the passband skirts to realize ultra-wideband filters. The hybrid microstrip and coplanar waveguide structure are proposed to make ultra-wideband filters in [11]–[13]; however, there are complicated design procedures with the double-sided structure. In [14] and [15], short-circuited stubs and a low-pass filter are utilized

Manuscript received May 24, 2007; revised August 9, 2007. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 96-2628-E-194-002-MY2. C.-W. Tang is with the Department of Communications Engineering and Department of Electrical Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi 621, Taiwan, R.O.C. (e-mail: [email protected]). M.-G. Chen is with the Department of Electrical Engineering, National Chung Cheng University, Chiayi 621, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.908671

Fig. 2. Responses of the short stub with a quarter-wavelength.

for better performance in an ultra-wideband filter. For flatter group delay in a wideband bandpass filter, short-circuited stubs are employed in [16]–[18]. Nevertheless, circuit sizes are not satisfying because of multiple short-circuit stubs employed; detailed procedures for filter development are lacking; proposed ultra-wideband filters cannot be practically implemented in an ultra-wideband system. Due to the trend toward broader bandwidth and better selectivity, filters with a wide stopband in a linear wideband system are promising. In order to achieve this goal, this paper proposes a compact ultra-wideband filter by connecting a broadband bandstop filter with a broadband bandpass filter where two short-circuit stubs are employed. With the broadband bandstop filter presented in this paper instead of the bandstop filter developed by Hsieh and Wang [19], there is a steeper slope on the lower side of the stopband, and performances of the broadband bandpass filter and the broadband bandstop filter would

0018-9480/$25.00 © 2007 IEEE

TANG AND CHEN: MICROSTRIP ULTRA-WIDEBAND BANDPASS FILTER

2413

Fig. 4. Relationship between the normalized impedance z and bandwidth with return loss of 20 dB in the proposed broadband bandpass filter.

II. DESIGN OF BROADBAND BANDPASS FILTER

Fig. 3. Return loss of proposed broadband bandpass filter is influenced by: (a) change z and (b) change z .

not be influenced. Moreover, with properly selected transmission-line impedances, broadband bandpass and bandstop filters can be easily achieved. In particular, these two broadband filters can be developed independently because the bandstop filter will not interfere with performance of the bandpass filter. Following are detailed procedures for filter development. Sections II and III present design procedures for the broadband bandpass filter and the broadband bandstop filter, respectively. Consequently, Section IV introduces the integration of the newly developed ultra-wideband bandpass filter. Finally, this paper is concluded in Section V.

Fig. 1 shows the structure of the proposed broadband bandpass filter, which is composed of one half-wavelength transmission line shunted with two quarter-wavelength short stubs at the input and output port. Fig. 2 shows the responses of the short stub with a quarter-wavelength. Due to the limitation of the etching on the printed circuit board, the linewidths of the quarter-wavelength short stubs cannot be selected freely; therefore, the impedances of the quarter-wavelength short stubs are set as smaller than 140 . Moreover, Fig. 2 indicates that the is, where is equal to higher the normalized impedance and is the system impedance at input and output ports, the broader the passband is. Therefore, two shunted quarterwavelength short stubs can be utilized for the proposed broadband bandpass filter. , three Fig. 3 shows that in terms of the return loss reflection zeros will appear at , , and , which are normalized frequencies. The zero in the middle generated by two quarter-wavelength short stubs is located at 0.5, the normalized and can be obtained with the frequency . Moreover, impedances of the half-wavelength transmission line and two is smaller than quarter-wavelength short stubs. When , and can be derived from

(1)

(2)

2414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 5. EM simulated results and theoretical prediction of the proposed broadband bandpass filter. (a) Frequency responses. (b) Group delay.

Fig. 7. Insertion loss of the broadband bandstop filter is influenced by: (a) change z and (b) change z .

Fig. 6. Architecture of the broadband bandstop filter.

Fig. 3(a) indicates that when is 1, the larger the is, the will be and the higher broader the bandwidth of the attenuation level will be. On the contrary, Fig. 3(b) reveals is 1, the larger the is, the narrower the bandthat when will be. Fig. 4, which is transformed from Fig. 3 width of , points out that the larger the impedance with the ratio ratio is, the broader the bandwidth of return loss is. Therefore, can be determined the bandwidth and attenuation level of by selecting the impedance ratio, the impedance of the quarterwavelength short stub divided by the impedance of the halfwavelength transmission line; moreover, larger impedance ratio

where represents to or greater than appear at 0.5.

. Furthermore, when is equal , the only reflection zero will

TANG AND CHEN: MICROSTRIP ULTRA-WIDEBAND BANDPASS FILTER

2415

Fig. 8. Relationship between normalized impedance z (or z ) and bandwidth with return loss of 10 dB in the broadband bandstop filter.

leads to a broader bandwidth of . Consequently, following is approxiis an example where the normalized impedance mately equal to 1, while the bandwidth of return loss is 20 dB. The central frequency and fractional bandwidth of the broadband bandpass filter are set as 6.7 GHz and 110%, respectively. Values of corresponding components in Fig. 1 can be then and . Consequently, obtained as sizes of the circuit fabricated on Rogers RO4003 with dielectric constant, loss tangent, and substrate thickness of 3.38, 0.0027, and 0.508 mm, respectively, can be determined. Moreover, the simulator IE3D is used for electromagnetic (EM) simulation. Fig. 5(a) compares EM simulated and theoretically predicted responses. It is shown that within 2–11 GHz, there is very flat group delay, which is less than 0.26 ns for EM simulation and 0.14 ns for theoretical prediction. III. DESIGN OF BROADBAND BANDSTOP FILTER Fig. 6 shows the structure of a broadband bandstop filter where one transmission line with a half-wavelength electric length parallels with another transmission line with one-wavelength electric length. Fig. 7 presents three transmission zeros appearing at , , and , which are normalized frequencies. The transmission zero in the middle is located at 0.5, the normalized frequency . Moreover, the only transmission zero appears at 0.5 with . On the other hand, with , and can be derived from the impedances of two transmission lines as

Fig. 9. Proposed broadband bandstop filter. (a) Architecture, (b) simulated responses, and (c) relationship between normalized impedance z with equal z and z and bandwidth of insertion loss at 20 dB.

(3) (4)

where and represent and , respectively, is the system impedance at input and output ports. and

2416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 10. EM simulated results and theoretical prediction of the proposed broadband bandstop filter.

Fig. 11. Newly proposed ultra-wideband filter.

The bandwidth and attenuation level of can determined by selecting and normalized impedances. It is shown that with smaller normalized impedance or larger normalized impedance , the bandwidth of will be broader, while the attenuation level will decrease. Fig. 8, which is transformed from Fig. 7, shows the relationship be(or ) and bandwidth with tween normalized impedance return loss of 10 dB in the broadband bandstop filter. In Fig. 7, it is shown that the wider the stopband bandwidth is, the less the insertion loss of broadband bandstop filter there is. As a result, insufficient rejection in the stopband might be observed. Therefore, two quarter-wavelength open stops are separately employed to ports 1 and 2, as shown in Fig. 9(a). Fig. 9(b) compares results of the structure shown in Fig. 6 and the newly developed broadband bandstop filter, which achieves higher insertion loss without changing the transmission zero frequenand . Nevertheless, Fig. 9(c) indicates that when the cies bandwidth of insertion loss is 20 dB and with equal normaland , larger normalized impedance , ized impedances

Fig. 12. Measured results and theoretical prediction of the new proposed ultrawideband bandpass filter. (a) Photograph and frequency responses. (b) Group delay.

which represents , results in narrower stopband bandwidth slightly; on the other hand, the decrease of stopband bandwidth becomes substantially insignificant with a greater-than-1 . 20.6 GHz is adopted to the broadband bandstop filter. Its central frequency and fractional bandwidth of insertion loss at 20 dB are set as 20.6 GHz and 66%, respectively. Values of corresponding components in Fig. 9(a) can be then obtained as , , and . Consequently, sizes of the circuit fabricated on Rogers RO4003 with dielectric

TANG AND CHEN: MICROSTRIP ULTRA-WIDEBAND BANDPASS FILTER

constant, loss tangent, and substrate thickness of 3.38, 0.0027, and 0.508 mm, respectively, can be determined. Fig. 10 shows agreement between EM simulated and theoretically predicted responses even with the broader stopband bandwidth and lower insertion loss found in EM simulation. Since the transmission line with one-wavelength electric length used for EM simulation is thinner than that employed for theoretical prediction, the impedance of the former is higher than the latter. According to with fixed leads to broader Fig. 7(a), higher impedance stopband bandwidth and lower insertion loss, and this explains the gap between EM simulation and theoretical predication. IV. SYNTHESIS OF ULTRA-WIDEBAND BANDPASS FILTER By cascading the bandpass filter and bandstop filter introduced above, a new ultra-wideband filter has been developed to generate a wide passband and a broad stopband within the higher passband skirt simultaneously in this section. Fig. 11 presents the newly proposed ultra-wideband filter. mm, Dimensions of this filter can be expressed as mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm. and are particularly used to connect and and the filter next to the one where they belong. Moreover, , the widths of and , respectively, need to be slightly fine tuned for optimal performance. Fig. 12 shows a photograph of the new filter and compares responses of measurement and theoretical prediction. When the insertion loss of the proposed ultra-wideband bandpass filter is set as less than 1 dB, the passband is within 2.96–10.43 and 3.06–10 GHz for theoretical prediction and measurement, respectively. Moreover, for both predicted and measured results, the passband is within 3.42–10 GHz, while the return loss is greater than 13 dB. Furthermore, with greater-than-22.3-dB insertion loss, the theoretically predicted stopband is within 12.85–28.5 GHz; with greater-than-23.8-dB insertion loss, the measured stopband is within 12.6–26.9 GHz. In addition to a wide passband and broad stopband, within 2–11 GHz the newly developed ultra-wideband bandpass filter generates very flat group delay, which is less than 0.33 and 0.35 ns in terms of measurement and theoretical prediction, respectively. V. CONCLUSION An ultra-wideband bandpass filter is developed in this paper by cascading a broadband bandpass filter and a broadband bandstop filter. By properly selecting impedances of their transmission lines, bandwidths of both broadband bandpass and bandstop filter can be independently designed. Moreover, agreement between theoretical and measured results validates the proposed structure. Due to its simple structure and attractive performance, the newly proposed filter is appropriate for implementation in ultra-wideband systems. In addition, if a more compact size is required, the broadband stopband filter could be internally embedded within the section between two short-circuited stubs of the broadband bandpass filter.

2417

REFERENCES [1] G. R. Aiello and G. D. Rogerson, “Ultra-wideband wireless systems,” IEEE Micro, vol. 4, no. 2, pp. 36–47, Jun. 2003. [2] W. Menzel, L. Zhu, and K. Wu, “On the design of novel compact broadband planar filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 364–370, Feb. 2003. [3] L. Zhu, H. Bu, and K. Wu, “Aperture compensation technique for innovative design of ultra-broadband microstrip bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 315–318. [4] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [5] R. Li and L. Zhu, “Compact UWB bandpass filter using stub-loaded multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 40–42, Jan. 2007. [6] L. H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp-rejection, and wideband microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [7] S. G. Kim and K. Chang, “Ultrawide-band transitions and new microwave components using double-sided parallel-strip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2148–2152, Sep. 2004. [8] J. T. Kuo and E. Shih, “Wide bandpass filter design with three-line microstrip structures,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 1593–1596. [9] H. Ishida and K. Araki, “Design and analysis of UWB bandpass filter with ring filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1307–1310. [10] K. M. Shum, W. T. Luk, C. H. Chan, and Q. Xue, “A UWB bandpass filter with two transmission zeros using a single stub with CMRC,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 43–45, Jan. 2007. [11] K. Li, D. Kurita, and T. Matsui, “An ultrawideband bandpass filter using broadside-coupled microstrip-coplanar waveguide structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 675–678. [12] H. Wang, L. Zhu, and W. Menzel, “Ultra-wideband bandpass filter with hybrid microstrip/CPW structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 844–846, Dec. 2005. [13] N. Thomson and J. S. Hong, “Compact ultra-wideband microstrip/coplanar waveguide bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 184–186, Mar. 2007. [14] C. L. Hsu, F. C. Hsu, and J. T. Kuo, “Microstrip bandpass filters for ultra-wideband (UWB) wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 679–682. [15] J. Garcia-Garcia, J. Bonache, and F. Martin, “Application of electromagnetic bandgaps to the design of ultra-wide bandpass filters with good out-of-band performance,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4136–4140, Dec. 2006. [16] H. J. Carlin and W. Kohler, “Direct synthesis of bandpass transmission line structures,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 5, pp. 283–297, May 1965. [17] M. C. Horton and R. J. Wenzel, “General theory and design of optimum quarter-wave TEM filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 5, pp. 316–327, May 1965. [18] R. Levy, “A new class of distributed prototype filters with applications to mixed lumped/distributed component design,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1064–1071, Dec. 1970. [19] M. Hsieh and S. Wang, “Compact and wideband microstrip bandstop filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 472–474, Jul. 2005. Ching-Wen Tang (S’02–M’03) received the B.S. degree in electronic engineering from Chung Yuan Christian University, Chungli, Taiwan, R.O.C., in 1991, and the M.S. and Ph.D. degrees in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1996 and 2002, respectively. In 1997, he joined the RF Communication Systems Technology Department, Computer and Communication Laboratories, Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, R.O.C., as an RF Engineer, where he developed low-temperature co-fired ceramic (LTCC) multilayer-circuit (MLC) RF components. In 2001, he joined Phycomp Taiwan Ltd., Kaohsiung, Taiwan, R.O.C., as a Project Manager, where he continues to develop LTCC components and modules. Since February 2003, he has been with the Department of Communications Engineering and Department of Electrical Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi, Taiwan, R.O.C., where he is currently an Associate Professor. His research interests include microwave and millimeter-wave planartype and multilayered circuit design and the analysis and design of thin-film components.

2418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Ming-Guang Chen was born in Pingtung, Taiwan, R.O.C., in 1983. He received the B.S.E.E. degree from the National Chin-Yi Institute of Technology, Taichung, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in electrical engineering at National Chung Cheng University, Chiayi, Taiwan, R.O.C. His research interests include the design and analysis of RF and microwave circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2419

A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio Leung Chiu, Student Member, IEEE, and Quan Xue, Senior Member, IEEE

Abstract—In this paper, a new power divider concept, which provides high flexibility of transmission line characteristic impedance and port impedance, is proposed. This power divider is implemented on a parallel-strip line, which is a balanced transmission line. By implementing the advantages and uniqueness of the parallel-strip line, the divider outperforms the conventional divider in terms of isolation bandwidths. A swap structure of the two lines of the parallel-strip line is employed in this design, which is critical for the isolation enhancements. A lumped-circuit model of the parallel-strip swap including all parasitic effects has been analyzed. An equal power divider with center frequency of 2 GHz was designed to demonstrate the idea. The experimental results show that the equal power divider has 96.5% 10-dB impedance bandwidth with more than 25-dB isolation and less than 0.7-dB insertion loss. In order to generalize the concept with an arbitrary power ratio, we also realize unequal power dividers with the same isolation characteristics. The impedance bandwidth of the proposed power divider will increase with the dividing ratio, which is opposite to the conventional Wilkinson power divider. Unequal dividers with dividing ratios of 1 : 2 and 1 : 12 are designed and measured. Additionally, a frequency independent 180 power divider has been realized with less than 2 phase errors. Index Terms—Arbitrary power-dividing ratio, parallel-strip line, ring structure, unequal power divider.

I. INTRODUCTION

T

HE WIKINSON power divider is one of the conventional and fundamental components in microwave engineering and exists in many microwave circuits. Both distributed and lumped Wilkinson power dividers have been applied in microwave integrated circuits and monolithic microwave integrated circuits [1]. Recently, extensive studies have been made to enhance the performances of the Wilkinson power divider, including size reductions by capacitive loading [2], folded circuitry [3] and resonating structure [4], [5], multiband operation [6], [7], unequal power dividing/combining [8], and active device [9] and waveguide implementations [10]. The power dividers discussed in this paper are focused on the isolation enhancement. The proposed divider is realized in the parallel-strip transmission line. Some parallel-strip circuits were reported with performance enhancement [11], [12]. The Manuscript received March 8, 2007; revised June 6, 2007. This work was supported by the Research Grants Council of the Hong Kong SAR, China, under Grant CityU121905. The authors are with the Wireless Communications Research Centre, Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908669

Fig. 1. Balanced circuit at frequency f and unwanted feedback at f .

parallel-strip line provides more design flexibility than a microstrip line, especially in realization of a high-impedance line and transitions. Many balanced circuits such as push–pull amplifiers, balanced mixers, frequency multipliers, and antenna arrays employ the Wilkinson power divider because of its simple design with high port-to-port isolation. Isolation is one of the important issues in the design of the power divider and directional coupler. High isolation implies the minimization of unwanted coupling between active devices, as well as the elimination of unexpected distortions and oscillations. It is because it may provide a positive feedback path for other frequencies, e.g., in Fig. 1, as unmay be set up outside of the operawanted oscillation at tion frequency . Therefore, a wideband isolation operation is always preferred to suppress the coupling in other frequency bands. The parallel-strip line belongs to a family of balanced transmission line. The conventional printed circuit board (PCB) fabrication technique is able to easily realize parallel-strip lines. It is a simple structure of a dielectric substrate sandwiched between two strip conductors. The signals flowing on the upper and lower strip conductors are always equal in magnitude and 180 out-of-phase. The quasi-TEM mode electric and magnetic fields distributions are closed to the microstrip line. In this paper, a parallel-strip swap is employed to enhance isolation performance of the power divider. The swap is a passive microwave component. It forms a compact realization of 180 phase shift by interchanging the connection of two conductors in the balanced transmission line. Various swaps were proposed for performance enhancement in a 180 hybrid coupler [13]–[15].

0018-9480/$25.00 © 2007 IEEE

2420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 3. Simplified schematic diagram with port 1 excitation only.

Fig. 2. Schematic diagram of proposed power divider with four arms, a swap, and two shunt resistors.

A new equal power divider, which is realized on a parallelstrip line with a ring-like structure, was first demonstrated in [16]. The four arms and two shunt resistors in the divider provide a high degree of freedom for choosing the circuit parameters. In this paper, the proposed concept is generalized to be arbitrary power dividing without an increase in design complexity. It shows a frequency-independent isolation characteristic, arbitrary power-dividing ratio without an external matching network, avoidance of a very thin strip line for achieving high characteristic impedance, and ease of realizing wideband 180 dividing. While the conventional Wilkinson power divider exhibits limited isolation bandwidth, unequal Wilkinson power dividing relies on an external quarter-wave transformer for realizing unequal power dividing for the same port impedances. High characteristics impedance transmission lines are required for the unequal power divider. The unequal divider has been used with strict restrictions in design and fabrication because it requires a transmission line with very high impedance [8]. On the other hand, the very thin transmission line limits the power handling of the devices. To overcome this limitation in realizing characteristic impedance, the upper and lower strip lines of the parallel-strip line are offset so that it will be easier to highly increase the characteristic impedance. Three power dividers with power-dividing ratios of 1 : 1, 1 : 2, and 1 : 12 were designed, fabricated, and tested. II. THEORETICAL ANALYSIS The structure of the proposed divider is illustrated in Fig. 2. In [13], the equal power divider has been analyzed using evenand odd-mode analysis because of symmetry of the divider. For the same reason, the circuit parameters, such as port impedance and line impedances, should be the same as their corresponding , , and . In this paper, parameters we try to generalize the analysis to an unequal power divider with an arbitrary dividing ratio. It consists of an 180 swap, four quarter-wave-long arms , , , and ) and two (with characteristic impedances shunt resistors with resistance . These five parameters determine the input impedances, isolation, and dividing ratio of the

Fig. 4. Simplified schematic diagram with port 2 excitation only.

divider. In order to determine the arm characteristic impedances and resistor values, several parameters should be known, inand power ratio . cluding port impedances , , and Firstly, the impedance matching is considered. To achieve maximum power transfer, all the ports should be matched. The and and port input impedance at port 1 is determined by impedances and . As illustrated in Fig. 3, it is assumed that a signal is injected to port 1 and will only pass through ports 2 and 3. There is no net current flowing from ports 2 to 3 due to port isolation between ports in the shaded region. Arms B and and , respectively, the D with characteristic impedances two shunt resistors, and the swap can thus be replaced by an open circuit in analysis. The two arms are connected in shunt; the input impedance at port 1 can be expressed as (1) The signal injected to port 2 can be divided into two parts, one flowing to port 1 and the other being absorbed by shunt resistors as shown in Fig. 4. Obviously, there is no net current flowing from arm to arm and port 3 in the shaded region, which can be replaced by an open circuit in analysis. The input impedance at port 2 can be given as (2) Similarly, the input impedance at port 3 can be expressed as (3)

CHIU AND XUE: PARALLEL-STRIP RING POWER DIVIDER

2421

For the unequal power dividing and assuming the power ratio of ports 2 and 3 to be , the power ratio can be determined by the ratio of input impedance of the arms and , as shown in Fig. 3, as follows:

(4)

and are determined and By solving (1), (2), and (4), are expressed in (5) and (6), respectively. Solving (4) and (1), (5) Fig. 5. Geometries of parallel-strip swap and parallel-strip line with equal physical length.

Solving (4) and (2), (6) and and shunt resistor Hence, the ratio of the square of can be determined by solving (2), (3), (5), and (6). Solving (5) and (2),

(10b)

The swap is the interchange between the two signal lines in the balance transmission line so that the signal is said to be “reversed,” therefore, it provides 180 phase shift without the existence of a delay line. It can be easily realized in some of the nonmicrostrip transmission lines such as a coplanar waveguide, coplanar strip line, and parallel-strip line. Fig. 5 shows the geometry of the parallel-strip swap. The upper and lower strip lines are connected by two vertical metical vias. The sections of the swap and parallel-strip line are simulated using Ansoft’s High Frequency Structure Simulator (HFSS). Within the entire simulation band, less than 0.5-dB extra insertion loss is introduced and 180 phase shift is provided with less than 2 phase error, as shown in Fig. 6. The swap introduces discontinuity for the divider and always degrades the circuit performance. It is necessary to develop proper analysis models. The structure of parallel-strip swap with two shunt resistors used in the proposed divider is shown in Fig. 7. Two resistors are soldered across the two gaps at the upper and lower strip lines. These resistors are used to absorb the signal. They are necessary to provide proper impedance matching and port-to-port isolation, similar to the resistor in the Wilkinson power divider. Extra insertion loss and phase delay are introduced by the vertical via, which can be analyzed by a lumped-circuit model. The lump-circuit model of the swap with two shunt resistors is illustrated in Fig. 8. The parasitic capacitance is used to model the edge couplings between strips with different layers. is used to model the total efThe parasitic capacitance fect due to edge couplings between strips with the same layers and coupling between the vias. The parasitic inductance and resistance are introduced by vertical conductor in via-holes and soldering. The parasitic components can be extracted from full-wave simulations so that the lumped model of the swap was done. The -parameter of the lumped equivalent model of the core in Fig. 8 is given by

(10c)

(11)

(7) Solving (6) and (3), (8) There are four conditions, but five unknown parameters , , , , and . Therefore, the solutions are singular, which implies there is no unique solution. The infinite number of solutions provide a high degree of freedom when the divider is designed. For example, the divider can not only be designed for any port impedance without external matching circuits, but also provides unequal power dividing with equal port impedance. Isolation is a very important design issue. The symmetrical structure and the swap provide the possibility of frequency-independent isolation characteristics. Signals flowing through paths – and – should be equal in magnitude, but 180 out-ofphase. In order to provide frequency-independent isolation, the phase difference between paths – and – should be frequency independent at 180 out-of-phase and with equal amplitude, which is provided by the swap, and the characteristic impedance should be the same and

III. PARALLEL SWAP AND DISCONTINUITY

(9)

Equation (9) represents the fifth condition for designing a divider with frequency-independent isolation and arbitrary power ratio. After combining the previous conditions, the parameters , , , , and become unique. The design formulas can be summarized as (10a)

2422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 6. Simulated frequency responses of insertion loss and phase difference of a section of parallel-strip swap and line with same physical length.

Fig. 7. 3-D view of parallel-strip swap with two shunt resistors.

Fig. 9. Simulated S -parameters of the parallel-strip line swap using lumped model and full-wave EM simulation. (a) Magnitude response. (b) Phase response.

Fig. 8. Lump equivalent model of parallel-strip line swap.

where and Hence, the -parameter converted from core is determined as follows:

-parameters of the

(12)

are 0.2 mm and the radius of the metallic via is 0.55 mm. Deembedding of the parameters has been performed by utilizing the microwave circuit simulator, Agilent Technologies’s Advanced Design System (ADS). Both EM and circuit simulations of the parallel-strip swaps with 70.71- terminations are shown in Fig. 9. Good agreement of both the magnitudes and phases responses are achieved within the frequency band of nH, interest. The values of parasitic elements are pF, pF, and . The model circuit is analyzed and, hence, the scattering matrix representing the parallel-strip swap with shunt resistor is, therefore, obtained, and the entire circuit can thus be easily modeled in the circuit simulation.

(13) IV. RESULTS OF SIMULATION AND EXPERIMENT The structure shown in Fig. 7 is simulated by the full-wave electromagnetic (EM) simulator HFSS, determining the optimum design of the vias on the substrate dielectric constant of 2.65 and thickness of 1.5 mm where all the gapwidths

A. Equal Power Divider The power dividers are fabricated in a conventional printed circuit technique and the dividers designed for demonstration

CHIU AND XUE: PARALLEL-STRIP RING POWER DIVIDER

2423

Fig. 10. Implementation of proposed equal power divider on PCB. (a) Upper layer. (b) Bottom layer.

are built on a substrate with a dielectric constant of 2.65 and a thickness of 1.5 mm, as shown in Fig. 10. The derivation in Section II is based on an ideal transmission line model. This analysis provides initial design parameters. Discontinuities or parasitic elements such as T-junctions and steps will be introduced. EM optimization is required to determine all circuit parameters with the best performance. All the port impedances are designed at 50 , i.e., The design parameters of an equal power divider are and . By removing portion of the ground of a microstrip line, the parabolic tapered transition between the parallel-strip line and microstrip line [11] was employed for connecting the coaxial connector for measurement purposes with less than 0.1-dB insertion loss within the entire tested frequency band. However, an approximate 0.5-dB extra insertion loss will be introduced if a subminiature A (SMA) connector is directly connected to the SMA connector. Fig. 11 shows both simulated and measured results of the equal power divider. The EM simulation tool is Ansoft’s HFSS. The measured insertion loss from ports 1 to 2 and 3 are less than 3.7 dB within the operation frequency band, as shown in Fig. 11(a). Some mismatches come from an inaccurate prediction of the vertical structure from the EM simulator and soldering. The mismatches in return losses shown in Fig. 11(b) are due to unexpected errors from soldering between the divider and SMAconnectors.Thering-likestructureimpliessimilarinputand output impedance characteristics, as shown in Fig. 10. The total usable impedance bandwidth is wider than that of the conventional Wilkinson power divider. Due to the imbalances of the two paths, e.g., electrical delay and insertion loss in the swap, the isolation has a finite value. Fortunately, the isolation can still provide great improvement over the conventional divider. The impedance bandwidths of return loss lower than 10 dB of the proposed divider is measured at 96.5%, as observed in Fig. 11(b). In Fig. 11(c), the proposed divider demonstrates more than 25 dB in the entire frequency band in the measurement, while a conventional Wilkinson power divider shows approximately 33% isolation bandwidth of more than 20-dB isolation. Good agreement between experimental and simulated results can be observed. B. Unequal Power Dividers Apart from the equal power divider, two unequal power dividers with ratios of 1 : 2 and 1 : 12 are realized. The impedance

Fig. 11. Simulated and measured results of proposed equal power divider. (a) Insertion losses. (b) Return losses. (c) Isolation.

bandwidth is usually reduced with the dividing ratio in the conventional Wilkinson power divider; however, the bandwidth of the proposed divider is increased with a power ratio of . The relation is shown in Fig. 12. Figs. 13 and 14 show the frequency responses of -parameters and the dividing ratio of the 1 : 2 , power divider. The design parameters are , and . Measured results agree with EM simulation. Within the 125% operation bandwidth with

2424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 15. Cross section and 3-D view of offset parallel-strip line.

Fig. 12. Comparison of the relationships between impedance bandwidth and dividing ratio k of conventional and proposed dividers.

Fig. 16. Relationship of characteristics impedance and normalized offset distance with different normalized strip width, where z denotes characteristics impedance, w denotes width of the strip line, d denotes offset distance, and h denotes substrate thickness. Fig. 13. Simulated and measured S -parameters of 1 : 2 proposed divider.

Fig. 14. Simulated and measured dividing ratio of 1 : 2 proposed divider.

lower than 10-dB return loss, more than 26-dB port-to-port isolation is achieved and the average divider ratio is approximately 2.07. A high dividing ratio implies the existence of some high characteristic impedance transmission lines. The implementation of high characteristic impedance remains challenge because of the

technique of extremely thin microstrip line fabrication. The realization of the unequal power divider may be limited by fabrication of the thin strip line and low power-handling capacity of the divider. In order to easily realize a high-impedance transmission line, a microstrip defected ground structure was proposed for the 1 : 4 unequal divider [8]. In [17], the characteristics impedance parallel-strip line was increased by offsetting the upper and lower strip lines in the finite ground microstrip line for stopband enhancement. Similarly, the characteristics impedance of a parallel-strip line can be increased by offsetting the strip lines, as shown in Fig. 15. Fig. 16 shows the relationship between characteristics impedances and normalized circuit parameters on the same substrate. It is obvious that the characteristics impedance increases with offset distance without use of very narrow strip lines. A high characteristic impedance parallel-strip line can be realized by offsetting the upper and lower strip lines and it does not need a very narrow line. In the 1 : 12 power divider, two arms with high characteristic impedance are realized by offsetting the parallel-strip line. Figs. 17 and 18 show its -parameters and the dividing ratio varied with frequency. The design parameters are , , and . Good

CHIU AND XUE: PARALLEL-STRIP RING POWER DIVIDER

2425

Fig. 19. Implementation of proposed 180 (a) Upper layer. (b) Bottom layer.

equal power divider on PCB.

Fig. 17. Simulated and measured S -parameters of 1 : 12 proposed divider.

Fig. 20. Phase response of 180 equal power divider.

Fig. 18. Simulated and measured dividing ratio of 1 : 12 proposed divider.

agreement of both simulated and measured results are obtained. Within the 150% operation bandwidth with lower than 10-dB return loss, more than 24-dB port-to-port isolation is achieved and the average divider ratio is approximately 12.68. C. Frequency-Independent 180 Power Divider Conventionally, the symmetric power divider is used for in-phase power dividing/combining. A power divider with wideband 180 out-of-phase operation is needed for many balanced circuit such as a push–pull amplifier and balanced mixer. The 180 hybrid or the power divider with a 180 delay line is used for such purpose. A 180 divider can be easily realized by adding an extra section of delay line. However, a delay line limits the bandwidth of phase balances. The conventional 180 hybrid coupler or Wilkinson power divider with a delay line may not fulfill actual application demands and may degrade system performance. With a similar approach to [12], the frequency-independent 180 differential phase between ports 2 and 3 is realized by tapering the lower line in port 2 and the upper line in port 3, the parallel-strip line-to-mcrostrip line

transition, which is used for measurement, is formed as shown in Fig. 19. All circuit parameters are the same as the equal power divider in Section IV. The magnitudes of simulated and measured -parameters are close to that of the equal power divider, as shown in Fig. 11. A frequency-independent 180 phase difference is observed, as shown in Fig. 20. A small phase error within 2 is introduced due to the thickness of the substrate of the PCB, while it can be minimized by using a thinner substrate with a lower dielectric constant. Similarly, the 180 unequal power divider with an arbitrary dividing ratio can be realized via the same technique. V. CONCLUSION A novel power divider with better isolation than the conventional Wilkinson power divider has been presented. Design formulas for the proposed divider have been proven analytically. The ring-like structure provides design flexibility such as unequal power dividing without extra impedance matching networks. The equal and unequal power dividers were designed and tested with out-performed isolation characteristics. Additionally, a 180 equal power divider was realized by making use of the balanced structure of the parallel-strip line. Similarly, a 180 unequal power divider can be designed. The proposed design leads to realization of a new geometrical configuration for a high-performance power-divider concept.

2426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

ACKNOWLEDGMENT The authors would like to acknowledge the suggestions of Dr. C. Wenquan, Nanjing University of Science and Technology, Nanjing, China, and Agilent Technologies, Hong Kong, for the use of their ADS software.

REFERENCES [1] L. H. Lu, P. Bhattacharya, L. P. B. Katehi, and G. E. Ponchak, -band lumped Wilkinson power dividers with a “ -band and micromachined technology,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 287–290. [2] K. Hettak, G. A. Morin, and M. G. Stubbs, “Compact MMIC CPW and asymmetric CPS branch-line couplers and Wilkinson dividers using shunt and series stub loading,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1624–1635, May 2005. [3] L. Chiu, T. Y. Yum, Q. Xue, and C. H. Chan, “The folded hybrid ring and its applications in balance devices,” in IEEE Eur. Microw. Conf., 2005, pp. 1–4. [4] K. M. Shum, Q. Xue, and C. H. Chan, “Curved PBG cell and its applications,” in Asia–Pacific Microw. Conf., 2001, pp. 767–770. [5] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider using dual-band rejection by asymmetric DGS,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2139–2144, Jun. 2005. [6] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [7] M. Chongcheawchamnan, S. Patisang, M. Krairiksh, and I. D. Robertson, “Tri-band Wilkinson power divider using a three-section transmission-line transformer,” IEEE Microw. Wireless Compon. Lett., to be published. [8] J. S. Lim, S. W. Lee, C. S. Kim, J. S. Park, D. Ahn, and S. W. Nam, “A 4 : 1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [9] L. H. Lu, Y. T. Liao, and C. R. Wu, “A miniaturized Wilkinson power divider with CMOS active inductors,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 775–777, Nov. 2005. [10] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2267–2273, Jul. 2006. [11] S. G. Kim and K. Chang, “Ultrawide-band transitions and new microwave components using double-sided parallel-strip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2148–2152, Sep. 2004. [12] L. Chiu, T. Y. Yum, Q. Xue, and C. H. Chan, “A wideband compact parallel-strip 180 Wilkinson power divider for push-pull circuitries,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 49–51, Jan. 2006.

X

K

[13] C. W. Kao and C. H. Chen, “Novel uniplanar 180 hybrid-ring couplers with spiral-type phase inverters,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 412–414, Oct. 2000. [14] B. R. Heimer, L. Fan, and K. Chang, “Uniplanar hybrid couplers using asymmetrical coplanar striplines,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2234–2240, Dec. 1997. [15] T. Q. Wang and K. Wu, “Size-reduction and band-broadening design technique of uniplanar hybrid ring coupler using phase inverter for M(H)MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 198–206, Feb. 1999. [16] L. Chiu and Q. Xue, “A new parallel-strip power divider with enhanced isolation performance,” in Proc. Asia–Pacific Microw. Conf., Dec. 2006, pp. 411–416. [17] S. Sun and L. Zhu, “Stopband-enhanced and size-miniaturized lowpass filters using high-impedance property of offset finite-ground microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2844–2850, Sep. 2005. Leung Chiu (S’05) received the B.Eng. degree in electronic engineering and M.Eng. degree in electronic engineering with business management from the City University of Hong Kong, Kowloon, Hong Kong, in 2004, and is currently working toward the Ph.D. degree at the City University of Hong Kong. His research interests include microwave circuits and antenna arrays.

Quan Xue (M’02–SM’04) was born in Xichang, Sichuan Province, China. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined the UESTC, as a Lecturer. He became an Associate Professor in 1995 and a Professor in 1997. He was recognized as distinguished academic staff for his contribution in the development of millimeter-wave components and subsystems. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, Kowloon, Hong Kong, where he is currently an Associate Professor and Director of the Applied Electromagnetics Laboratory. Since May 2004, he has been the Principal Technological Specialist of the State Integrated Circuit Design Base, Chengdu, Sichuan Province, China. He has authored or coauthored over 90 internationally referred papers. His current research interests include antennas, smart antenna arrays, active integrated antennas, power amplifier linearization, microwave filters, millimeter-wave components and subsystems, and microwave monolithic integrated circuits (MMICs), and RF integrated circuits (RFICs). Dr. Xue was co-supervisor of two IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Contest Paper winners [third place (2003) and first place (2004)].

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2427

Slow-Wave Line Coupler With Interdigital Capacitor Loading Lin Li, Feng Xu, Member, IEEE, Ke Wu, Fellow, IEEE, Sébastien Delprat, JaeHo Ho, and Mohamed Chaker

Abstract—In this study, a full-wave-based circuit model of an interdigital capacitor in the form of a coplanar waveguide (CPW) configuration is studied by using numerical thru-reflect-line calibration techniques, which can easily be combined with commercial electromagnetic simulation software. Subsequently, a slow-wave line coupler is proposed and realized for size miniaturization by loading different interdigital capacitors onto a coupled CPW line, which has equal phase velocities for both odd and even modes. An experimental prototype is fabricated, and measured results show a good agreement with simulated ones, thereby demonstrating an excellent performance. Index Terms—Coupled line, coupler, equivalent-circuit model, interdigital capacitor, planar discontinuity, slow wave.

the phase velocity of the even mode is twice that of the odd mode. In the conventional coupled-line coupler embedded in a homogeneous dielectric substrate, the phase velocities for both the odd and even modes are equal to each other. It has been known that unequal even/odd-mode phase velocities may cause a poor directivity. Several techniques can be used to equalize or compensate for the inequality in the modal velocities of the coupled line [11]. In this study, we propose a slow-wave line coupler, which features the same phase velocity for both odd and even modes over a broad frequency range. The coupler is realized by loading different interdigital capacitors to the unloaded coupled coplanar waveguide (CPW) line in order to balance the odd- and even-mode phase velocities.

I. INTRODUCTION

I

T HAS been well known that a periodic reactive element loaded slow-wave line [1] usually has slower phase velocity than its unloaded transmission line counterpart. By using the slow-wave effects, the size of circuit can greatly be reduced, and effective bandwidth can also be improved. In addition, potential radiation loss and crosstalk effects can be decreased along the circuit structure due to a better field confinement within the structure. The interdigital capacitor [2], [3] has widely been used as a quasi-lumped capacitor in microwave integrated circuits and its full-wave equivalent-circuit models have been studied [4]–[6]. Since it is very convenient to load interdigital capacitors to planar transmission lines, the interdigital capacitor has often been used to realize slow-wave lines [6]–[8] and slow-wave coupled lines [9] in which the loaded interdigital capacitor effectively increases the capacitance per unit length of the transmission line. Liu and Weikle [10] have recently proposed a kind of slowwave line quadrature coupler. In this case, the slow-wave coupled line was realized by loading the interdigital capacitor between the coupled microstrip lines. However, the designed coupler was showing a relatively narrow bandwidth and the characteristics of such slow-wave couplers are different from the conventional coupled-line couplers. The reason is that

Manuscript received April 24, 2007; revised July 25, 2007. This work was supported by the National Science and Engineering Research Council of Canada. L. Li, F. Xu, and K. Wu are with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]; ke.wu @polymtl.ca). S. Delprat, J. Ho, and M. Chaker are with the Institut National de la Recherche Scientifique, Montréal, QC, Canada H5A 1K6 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.908677

II. FULL-WAVE MODEL OF INTERDIGITAL CAPACITOR In order to appropriately design an interdigital capacitor loaded slow-wave coupled line, it is necessary to develop an efficient and accurate CAD model of an interdigital capacitor in connection with its physical layout and parameter dependency. It has been shown through practical examples that the numerical thru-reflect-line (TRL) calibration technique [12] combined with commercial planar electromagnetic simulation software on the basis of a methods of moments (MoM) algorithm is an efficient and accurate method to extract the full-wave circuit model of a microwave planar discontinuity or element. Therefore, this method is chosen in this study to extract the full-wave model of the interdigital capacitor. Fig. 1(a) shows the physical structure of one interdigital capacitor example arranged for the TRL-based numerical deembedding scheme in which the interdigital capacitor is placed in a CPW without a metallic ground plane at the backside of the substrate. The equivalent network model for numerical calibration is shown in Fig. 1(b) in which the error boxes comprise both the feeding lines and port discontinuities at reference planes and . The TRL numerical calibration technique makes use of three simple standards, namely, thru, reflect, and line connections, to evaluate and calibrate out the error boxes. The reference impedance in the TRL calibration procedure should be equal to the characteristic impedance of the CPW line. At first, the network parameters at the two external ports can easily be obtained by using numerical simulations with one of commercial MoM-based electromagnetic simulators. Next, the network parameters of the error boxes can be obtained upon the simulation of three calibration standards connections, as described above. As a result, the network parameters of the device-under-test (DUT) interdigital capacitor can be derived in an analytical way by removing the error boxes. The full-wave circuit model of the

0018-9480/$25.00 © 2007 IEEE

2428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 2. Values of the elements of extracted equivalent full-wave circuit model of interdigital capacitor with different length l . Fig. 1. (a) Physical layout of interdigital capacitor in CPW arranged for numerical TRL calibration. (b) Equivalent network of interdigital capacitor. (c) Equivalent full-wave circuit model of interdigital capacitor.

interdigital capacitor can simply be presented by two shunt caand pacitors , one series capacitor , and conductances , which are, respectively, related to the radiation and metal losses, as shown in Fig. 1(c). As the interdigital capacitor is symmetrical in topology, the equivalent-circuit model is also symmetrical. Several examples of a interdigital capacitor are studied by using the numerical TRL calibration technique. The substrate and is used with the characteristic parameters of m. The size of the CPW feeding line is m and m. In our investigation, the IE3D package of Zeland Software Inc., Fremont, CA, was used although the other commercial packages can also be deployed. The extracted full-wave equivalent-circuit model of the interdigital capacitor , m, m) ( in the frequency range from 2 to 10 GHz is shown in Fig. 2. It and remain constant in the frequency can be seen that range when the length of the interdigital capacitor is less than 400 m. If the interdigital capacitor’s length is larger than increases as frequency increases. This 600 m, the value of is because the distributed inductance of the interdigital capacitor cannot be ignorable anymore. In this case, a frequency-inde-

Fig. 3. Values of the elements of extracted equivalent full-wave circuit model of interdigital capacitor with: (a) different finger width W and (b) different gapwidth S .

pendent circuit model of the interdigital capacitor can be made by just adding a series inductor to the series capacitor, as indicated in Fig. 1(c). To simplify the design of the slow-wave line coupler, the series inductor is neglected in the circuit model of the interdigital capacitor under the condition that the size of the interdigital capacitor is much smaller than its wavelength. and increase almost linIn Fig. 2, it is also observed that early as length increases. The extracted circuit model of the m, m, the total width interdigital capacitor ( of the interdigital capacitor is 230 m, GHz) with difand different gap are shown in Fig. 3. ferent finger width of the interdigital It can be seen that the series capacitance or increases. capacitor reduces as

LI et al.: SLOW-WAVE LINE COUPLER WITH INTERDIGITAL CAPACITOR LOADING

2429

Fig. 6. Lumped-element circuit models of the unloaded coupled line for even and odd modes.

in Fig. 6. The relation between the circuit elements in Figs. 5 and 6 are

Fig. 4. Extracted capacitances per unit width number of fingers N .

C

and

C

with a different

(1) The coupled line can be treated as two decoupled single transmission lines at the odd or even modes. Thus, the characteristic impedances and phase velocities of the transmission line at the odd/even mode are

Fig. 5. (a) Conventional CPW symmetrical coupled line. (b) Equivalent lumped-element circuit model of a small section of the coupled line.

(2) Theoretically, if the interdigital capacitor is loaded onto the transmission line, the values of the capacitance per unit width and of the loaded interdigital capacitor should be calculated from the interdigital capacitor with a infinite number of fingers. For the simplicity of modeling, the value of and can approximately be calculated from an interdigital capacitor with a finite number of fingers. For the interdigital capacitor with m in the previous example, the other physical sizes are considered intact and only the finger number is changed. In this case, the extracted capacitances of the interdigital capacitors with a different finger number are shown in Fig. 4 GHz . It is observed that the capacitances per unit width and of the interdigital capacitor change very little when is relatively large. Therefore, the interdigital capacitor with a large number of fingers can approximately be considered as an interdigital capacitor with infinite width. III. SLOW-WAVE COUPLED CPW LINE WITH INTERDIGITAL CAPACITOR LOADING A conventional CPW symmetrical coupled line is sketched in Fig. 5(a). The equivalent lumped-element circuit model of a small section of the coupled line can be modeled as a shunt capacitance per unit length and a series inductance per unit length , a mutual capacitance per unit length , and a mutual inductance per unit length , as shown in Fig. 5(b). The coupled transmission line can be analyzed in two modes, namely, the even and odd modes. The lumped-element circuit models of the coupled line for even and odd mode are sketched

The voltage coupling factor is [13]

(3)

The phase velocity of the transmission line is dependent on both inductance and capacitance . Therefore, one way to realize a slow-wave line is to load some capacitance to the unloaded transmission line without affecting the inductance. In [10], an interdigital capacitor is loaded between the coupled microstrip line to realize a slow-wave line coupler. However, in that case, the loaded capacitance mainly increased the odd-mode capacitance of the coupled line. The even-mode capacitance increased much less than its odd-mode counterpart. Therefore, the odd-mode phase velocity of the designed slow-wave coupled line in [10] is twice higher than the even-mode phase velocity, thereby causing the characteristics of the designed coupler different from those of conventional quarter-wavelength coupler. To solve this problem, different interdigital capacitors can be loaded to the unloaded coupled line in order to balance the evenand odd-mode phase velocities. The structure of our proposed slow-wave coupled CPW line and its equivalent lumped-element circuit model derived from Figs. 5 and 1(c) are described in Fig. 7. Note that the coupling between different interdigital capacitors is neglected for simplicity.

2430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 8. Lumped-element circuit models of the loaded CPW coupled line for the even and odd modes.

From (3) and (5), we can see that if the following relation is preserved: (6)

Fig. 7. (a) Physical layout of the proposed slow-wave coupled CPW line loaded with different interdigital capacitors. (b) Equivalent lumped-element circuit model of the loaded coupled line in (a).

In Fig. 7, one interdigital capacitor (called ) is loaded between the CPW line and ground plane to increase the even-mode capacitance of the coupled line (it also increases the odd-mode capacitance). Another interdigital capacitor (called ) is loaded between the two CPW lines to increase the odd-mode capacitance. The equivalent lumped-element models of the loaded coupled line for the even/odd modes are given in Fig. 8. It is observed that the inductances per unit length of the loaded coupled line remain unchanged and the capacitances per unit length of the loaded coupled line are

(4) As in (2), the loaded coupled line has the following propagation characteristics:

(5)

the coupling factor and the ratio between the even- and oddmode phase velocity would be the same as that of the unloaded coupled line. It means that the loaded slow-wave coupled line can have similar characteristics of the unloaded coupled line. Thus, different interdigital capacitors’ loaded slow-wave coupled lines can have the same even/odd phase velocities as in the case of the unloaded coupled line. Furthermore, by loading different interdigital capacitors, it is possible to balance the unequal even/odd-mode phase velocities of the unloaded coupled line (the coupling factor will also change). IV. SLOW-WAVE LINE COUPLER To support and validate the above statements, an interdigital capacitor loaded quarter-wavelength slow-wave line coupler is , m). designed on an alumina substrate ( The width of the CPW line m, the gap between the m, and the gap between line and the ground plane m. At first, the propagation constant of two lines the unloaded CPW line is calculated from Linecalc of Agilent Technologies, Palo Alto, CA. Subsequently, the parameters of the equivalent lumped-element circuit model of the unloaded coupled line are obtained from (2). Two different interdigital capacitors that can satisfy (6) are then loaded onto this CPW are seline. The dimensions of the interdigital capacitor m, m, and m. The lected as are m, dimensions of the interdigital capacitor m, m, and m. The propagation constants of the loaded transmission line are calculated from (3)–(5). Characteristic results at 6 GHz for both the unloaded coupled line and loaded couple line are given in Table I. It is shown that the loaded CPW line has the identical even/odd phase velocities. The coupling factor of the loaded couple line is also the same as that of the unloaded coupled line. Due to the loaded capacitance, the phase velocity of the loaded coupled line is approximately 1.7 times slower than that of the unloaded counterpart.

LI et al.: SLOW-WAVE LINE COUPLER WITH INTERDIGITAL CAPACITOR LOADING

2431

TABLE I PARAMETERS OF THE EQUIVALENT LUMPED-ELEMENT CIRCUIT MODEL AND THE PROPAGATE CONSTANTS OF BOTH THE UNLOADED COUPLED LINE AND LOADED COUPLE LINE

Fig. 9. Fabricated slow-wave line coupler.

A slow-wave line coupler with a center frequency of 6 GHz is fabricated according to the calculated results, as shown in Fig. 9. The coupling section length of the coupler is one quarter-wavelength, which is 3.3 mm. Four sections of guide line and taper are added to the four ports of the coupler to facilitate the measurement of the coupler in the standard 50system. For the fabrication of the slow-wave line coupler, we have developed a single layer liftoff process. A conventional positive resist was used in order to obtain a 4- m thickness, thus allowing a metallic film pattern of up to 1.2- m thickness. The coupler devices are made of evaporated copper, 1.1 m, with a 50-nm Ti adhesive layer. A 50-nm Au layer is coated on the copper layer. An error of 1 m is measured for gap distance and strip width. Both length and width of the entire circuit board are 20 mm. The coupler is measured on a 3680-K test fixture of Anritsu, Atsugi-shi, Kanagawa, Japan, by an HP8510C network ana-

Fig. 10. Measured S -parameters of the fabricated slow-wave line coupler compared with the full-wave simulated results.

lyzer. Measured -parameters of the slow-wave line coupler compared with the full-wave simulated results obtained from IE3D of Zeland Software Inc. are plotted in Fig. 10. It indicates that the measured results agree well with the simulated ones. It is found that the measured insertion loss is higher than the simulated ones because the metal loss was not considered in the calculations. Due to discontinuities between the test fixture and the CPW lines on the coupler circuit board, reflection and isolation are slightly higher than the simulated results. The overall experimental performance of the coupler has verified the proposed concept of such a slow-wave line coupler.

2432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

V. CONCLUSION We have proposed and demonstrated an interdigital capacitor loaded slow-wave line coupler. The full-wave equivalent-circuit models of interdigital capacitors have been extracted with the aid of the numerical TRL calibration technique. The models of the slow-wave coupled line have been developed on the basis of the extracted models of the interdigital capacitor. The slowwave line coupler is realized by loading different interdigital capacitors onto the coupled transmission line to balance the phase velocities for both the odd and even modes. Thus, the interdigital capacitor loaded slow-wave coupled line preserves similar properties as found in the unloaded coupled line. One selected prototype of the interdigital capacitor loaded quarter-wavelength CPW couplers has been fabricated and the measured results have well validated the proposed structure. ACKNOWLEDGMENT The technical assistance of R. Brassard, S. Dubé, and J. Gauthier, all with the Poly-Grames Research Center, Montréal, QC, Canada, is gratefully acknowledged by the authors. The support of the National Science Engineering Research Council (NSERC) of Canada is also gratefully acknowledged. REFERENCES [1] K. Wu, “Slow wave structures,” in Encyclopedia of Electrical and Electronics Engineering, J. G. Webster, Ed. New York: Wiley, 1999, vol. 19, pp. 366–381. [2] G. D. Alley, “Interdigital capacitors and their application to lumped element microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1028–1033, Dec. 1970. [3] D. F. Williams and S. E. Schwarz, “Design and performance of coplanar waveguide bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 7, pp. 558–566, Jul. 1983. [4] G. Coen, D. de Zutter, and N. Fache, “Automatic derivation of equivalent circuits for general microstrip interconnection discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1010–1016, Jul. 1996. [5] L. Zhu and K. Wu, “Accurate circuit model of interdigital capacitor and its application to design of new quasi-lumped miniaturized filters with suppression of harmonic resonance,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 347–356, Mar. 2000. [6] L. Li, F. Xu, K. Wu, S. Delprat, and M. Chaker, “Slow-wave line filter design using full-wave circuit model of interdigital capacitor,” in 34th Eur. Microw. Conf., Oct. 4–6, 2005, vol. 1, pp. 409–412. [7] J. Zhou, D. Hung, M. J. Lancaster, H. T. Su, and X. Xiong, “A novel superconducting CPW slow-wave bandpass filter,” Microw. Opt. Technol. Lett., vol. 34, no. 4, pp. 255–259, Aug. 2002. [8] A. Görür, C. Karpuz, and M. Alkan, “Characteristics of periodically loaded CPW structures,” IEEE Microw. Guided Wave Lett., vol. 8, no. 8, pp. 278–280, 1998. [9] J.-N. Hwang and J.-T. Kuo, “FDTD analysis of periodically nonuniform coupled microstrip lines,” in IEEE AP-S Int. Symp., Jun. 22–27, 2003, vol. 1, pp. 741–744. [10] Z. Liu and R. M. Weikle, “A compact quadrature coupler based on coupled artificial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 889–891, Dec. 2005. [11] S. L. March, “Phase velocity compensation in parallel-coupled microstrip,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 410–412. [12] L. Li, K. Wu, and L. Zhu, “Numerical TRL calibration technique for parameter extraction of planar integrated discontinuities in a deterministic MoM algorithm,” IEEE Microw. Guided Wave Lett., vol. 12, no. 12, pp. 485–487, Dec. 2002. [13] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 383–398.

Lin Li received the B.S. degree in electrical engineering and M.S. degree in microwave engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1994 and 1997, respectively, and the Ph.D. degree in microwave engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2005. He is currently a Post-Doctoral Researcher with the Poly-Grames Research Center, École Polytechnique de Montréal. His current research interests include advanced CAD and modeling techniques and microwave and millimeter-wave circuits and components.

Feng Xu (M’05) was born in Jiangsu, China. He received the B.S. degree in radio engineering from Southeast University, Nanjing, China, in 1985, the M.S. degree in microwave and millimeter-wave theory and technology from the Nanjing Research Institute of Electronics and Technology, Nanjing, China, in 1998, and the Ph.D. degree in radio engineering from Southeast University, Nanjing, China, in 2002. From 1985 to 1996, he was with the Nanjing Research Institute of Electronics and Technology, where he conducted research in the areas of antenna and RF circuits design. Since 2002, he has been with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada, initially as a Post-Doctoral Researcher and currently as a Research Associate. His current research interests include numerical methods for electromagnetic field problem and advanced microwave and millimeter-wave circuits and components.

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He also holds a Cheung Kong endowed chair professorship (visiting) with Southeast University, a Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with the Nanjing University of Science and Technology and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center, École Polytechnique de Montréal. He has served on the Editorial/Review Boards of numerous technical journals, transactions, and letters, including being an editor or guest editor. He has authored or coauthored over 540 referred papers, and also several books/book chapters. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held key positions in and has served on various panels and international committees including chair of technical program committees, international Steering committees, and international conferences/symposia. He is currently the chair of the joint IEEE Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS) Chapter, Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award and the 2004 Fessenden Medal of IEEE Canada.

LI et al.: SLOW-WAVE LINE COUPLER WITH INTERDIGITAL CAPACITOR LOADING

Sébastien Delprat received the Bachelor degree from the Université Paul Sabatier, Toulouse, France, in 1996, the M.S. degree in physics from the Institut National de la Recherche Scientique Énergie, Matériaux et Télécommunications Research Center (INRS–EMT), Québec, QC, Canada, in 1999, and the Ph.D. degree in physics from INRS–EMT in collaboration with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada, in 2005. He is currently a Research Associate with the Micro and Nanofabrication Laboratory (LMN), INRS–EMT. His research interests include high-density plasma etching of materials, pulsed laser deposition of ferroelectric thin films, microwave characterization of dielectric thin films, conception of frequency-agile devices, and e-beam lithography. Dr. Delprat was the recipient of the Fonds pour la Formation de Chercheurs et l’Aide à la Recherche (FCAR) Doctoral Fellowship and two Best Paper Awards presented at the 1999 and 2004 Micronet (Centre of Excellence in Microelectronics) Annual Workshops.

2433

JaeHo Oh received the B.Sc. degree in physics from the University of Suwon, Suwon, Korea, in 2000, the M.Sc. degree in physics from McGill University, Québec, QC, Canada, in 2005, and is currently working toward the Ph.D. degree in telecommunication at the Institut National de la Recherche Scientique Énergie, Matériaux et Télécommunications Research Center (INRS–EMT), Québec, QC, Canada, in collaboration with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada.

Mohamed Chaker received the Ph.D. degree in plasma physics from the Université de Montréal, Montréal, QC, Canada, in 1986. Since 1989, he has been a Professor with Institut National de la Recherche Scientique (INRS), Montréal, QC, Canada. He is Holder of a Tier I Canada’s Research Chair on applied micromanufacturing and nanomanufacturing plasma technologies for the development of photonic and RF components. He is an experimentalist, internationally recognized for his expertise in plasma science and its application to microfabrication and nanofabrication techniques, including X-ray and extreme ultraviolet (EUV) lithography, high-density plasma etching, and pulsed-laser deposition of advanced materials.

2434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

A Symmetrical Four-Port Microstrip Coupler for Crossover Application Yuan Chen and Swee-Ping Yeo

Abstract—A symmetrical four-port microstrip coupler has been proposed for crossover application. Models have also been developed to provide insight into the performance of such a coupler, which is based on the double-ring design. Laboratory tests have confirmed that the measured isolation and return loss of the prototype coupler exceed 20 dB over a bandwidth of 20% (from 0.92 to 1.12 GHz). Index Terms—Microstrip components.

I. INTRODUCTION ITH THE ever-increasing complexity of microwave integrated circuits, layout and routing may pose even more problems for designers. Hence, there is a need to search for novel four-port couplers, which yield the following scattering matrix, so as to allow a pair of intersecting lines to cross each other while maintaining maximum isolation between the two signal paths, as portrayed schematically in Fig. 1:

W

Fig. 1. Schematic diagram of symmetrical four-port coupler for crossover application.

(1)

Designers have resorted to nonplanar forms of crossover structures such as those reported in [1]–[4]. However, the use of air bridges and multilayered configurations may not always be convenient for certain integrated circuits and we shall, therefore, revert to consider the following planar structures instead. • In general, the scattering matrix of the cross junction formed by two intersecting lines [5], [6] does not meet the ideal-case specifications listed in (1). • For de Ronde’s design [7], the original intention is to surround the cross junction by a uniform ring, but modifications have been found to be necessary when implementing such a coupler in microstrip form. • The annular-ring structure reported in [8] offers only a narrow bandwidth, which is determined by the resonance mode. of the • The symmetrical four-port disc has been tested in [9], but the measured results do not indicate that such a coupler is suitable for crossover application. Manuscript received April 11, 2007; revised July 25, 2007. This work was supported by the Academic Research Fund under Grant R-263-000-175-112. The authors are with the Electrical and Computer Engineering Department, National University of Singapore, Kent Ridge, Singapore 117576 (e-mail: y.chen@ nus.edu.sg; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.908675

Fig. 2. Proposed design for symmetrical four-port microstrip coupler (with optimized dimensions listed in Table II for crossover application).

• It has also been suggested that two hybrid couplers may be cascaded [10] to yield a composite four-port coupler with crossover properties. Fig. 2 depicts our proposed design, which also adopts the symmetrical four-port ring framework. Instead of inserting the cross junction at the center of the ring enclosure, as suggested by de Ronde [7], we have chosen to add a second ring, as portrayed in Fig. 2, where the four ring-to-ring links have an anfrom the alignment of the external gular displacement of arms protruding from the outer ring. For matching purposes, we have placed tapers at the external arms so as to provide transition to 50- lines. In Section III, we have developed an easy-to-use model that is able to predict with reasonable numerical accuracies the scattering coefficients of the resultant coupler based on the composite double-ring-with-tapers structure. In addition, the first-order analysis we conducted in Section II offers some useful insight into the behavior of such a coupler in its generic form.

0018-9480/$25.00 © 2007 IEEE

CHEN AND YEO: SYMMETRICAL FOUR-PORT MICROSTRIP COUPLER FOR CROSSOVER APPLICATION

2435

TABLE I NOTATION FOR SYMBOLS USED IN (12)–(17) WITH ACCOMPANYING SUBSCRIPTS EXPLAINED IN TABLE II

TABLE II DIMENSIONS FOR MICROSTRIP CROSSOVER DEPICTED IN FIG. 2 WHERE  AND Z ARE WAVELENGTH AND CHARACTERISTIC IMPEDANCE, RESPECTIVELY, AT CENTER FREQUENCY

Fig. 3. Partitioning into simpler sub-structures for analysis of proposed coupler (Fig. 2) in eigenmode excitation. (a) Sub-structure A comprising inner ring and ring-to-ring links. (b) Sub-structure B comprising both rings (but without tapers).

formulation utilized by Kim et al. [12], who performed a similar line of analysis on the symmetrical five-port coupler family):

II. FIRST-ORDER ANALYSIS In practice, hardware imperfections exist and the ideal-case scattering matrix in (1) should instead be rewritten in the following general manner, which takes symmetry and reciprocity into account:

(2)

Depicted in generic form in Fig. 1, the symmetrical four-port structure supports three eigenmodes. By adapting the formulation presented in [11] for other symmetrical structures, we have found that the scattering coefficients , , and defined in (2) are related via the following equations to the three eigenvalues , is the order of the corresponding eigenmode: where

(6) (7) (8) and should in the ideal case be 0 and where dewhere pends on the location of the reference planes, which need not be specified for this first-order analysis. For a well-designed protoand to be sufficiently small, and the type, we may assume substitution of (6)–(8) into (3)–(5) will then yield the following relationships after we omit all third-order and higher order terms: (9) (10)

(3)

(11)

(4)

In principle, the settings of and may be adjusted separately and we infer from (9) and (10) that the resultant values of and ought to be independent of each other. If we choose in (10), only will reduce to 0 and we thus obtain maximum isolation between any pair of nonaligned ports; however, may not be close to its ideal-case value of 0. Conversely, in (9) will cause only to reduce to 0 and choosing

(5) If the proposed coupler is assumed to be free from losses, all three eigenvalues will have unit magnitudes and we may then represent them in the following manner (which resembles the

2436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 4. Equivalent circuits of: (a) sub-structure A and (b) sub-structure B depicted in Fig. 3 for eigenmode analysis of proposed coupler with the input voltages/ currents at the four ports having a common phase difference of m=2, where m = 0; 1; 2 is order of eigenmode. The equivalent circuits have to be wrapped around with terminals X –X and Y –Y connected to each other in (a) and terminals U –U and V –V connected to each other in (b). Each tee-junction is represented by its transformer with shunt susceptance [16]. Each shaded box in (b) denotes the eigenadmittance (Y^ ) .

there will then be no residual mismatch looking into any port when the remaining three ports are terminated in matched loads. will approach its ideal-case Finally, we note from (11) that and are nearly 0. In general, value of unity only when we will thus need to have at least two independently adjustable variables at our disposal for the design process. III. EIGENMODE MODEL To compute the three eigenvalues , we shall capitalize on the fourfold rotational symmetry of our proposed structure to develop an eigenmode model with the input waves at the four ports of the coupler having a common phase difference of , where is the order of the eigenmode. Transmission-line analysis has already been successfully employed to model symmetrical ring structures (e.g., in [13]–[15]); in particular, the experience gained earlier in [15] is of immediate benefit to the current analysis. We have found it more convenient to partition the composite structure depicted in Fig. 2 into the two sub-structures sketched in Fig. 3 and then model each of them in turn. Listed in Table I are the symbols we adopted for the various electrical parameters appearing in (12)–(17) with the subscripts referring to the different coupler elements, as explained in Table II. A. Sub-Structure A Sub-structure A comprises the inner ring and four ring-to-ring links, as depicted in Fig. 3(a). We also sketch in Fig. 4(a) its equivalent circuit where each tee-junction is represented by a

transformer and shunt susceptance [16]; although portrayed in linear format, this equivalent circuit is actually wrapped around with the terminals – at the left end connected to the terminals – at the right end. Transmission-line analysis then yields the following expressions for the eigenadmit, which is the admittance looking into any arm tance of sub-structure A when operating in eigenmode of order or :

(12) where

(13)

B. Sub-Structure B Depicted in Fig. 3(b) is sub-structure B, which comprises the two rings, as well as the four external arms protruding from the outer ring to the tapers. The equivalent circuit sketched in Fig. 4(b) has to be wrapped around with the terminals – and – in electrical contact. The shunt admittances denoted by shaded boxes in the equivalent circuit are the eigenadmittance we previously derived during our analysis of sub-structure A. The eigenadmittance looking into any port of this

CHEN AND YEO: SYMMETRICAL FOUR-PORT MICROSTRIP COUPLER FOR CROSSOVER APPLICATION

2437

double-ring coupler in eigenmode excitation will then be given by the following transmission-line expressions:

(14) where

(15) C. Composite Structure We next have to incorporate the tapers into the model. Each of the tapers may be approximated as a cascade of fractional steps with the electrical angle of the th length along the taper , where , and we can then account taken as for the effect of the tapers by applying the following formula on an iterative basis: for (16) term for initiating the iterations is , where the which we already derived in (14). The term corresponding to iterative step in (16) will then be the eigenadthe final looking into any port of the composite structure we mittance proposed in Fig. 2. After applying (12)–(16) three times to obtain all of the eigenadmittances , , and , we then utilize (17) to compute (for ), where their corresponding eigenvalues is the characteristic admittance of the lines connecting the coupler to the external circuitry set at 50 as follows:

Fig. 5. Magnitudes of scattering coefficients for microstrip coupler depicted in Fig. 2 (with dimensions listed in Table II).

To improve the bandwidth of a coupler that meets the specifications listed in (18)–(20), we have resorted to a combination of search algorithms to minimize the following error function:

(21) (17) IV. PROTOTYPE COUPLER The closed-form expressions in (12)–(17), together with the conversion formulas in (3)–(5), form the basis of the software package we developed to predict the scattering coefficients of the symmetrical four-port microstrip coupler proposed in Fig. 2. For crossover application, the following design targets have been adopted for the return loss, offline isolation loss, and inline insertion loss of our proposed coupler: dB dB dB

dB

(18) (19) (20)

The first-order analysis conducted in Section II indicates that (20) is not independent of (18) and (19) and, thus, we have to empirically adjust the optimization weights , , and attached to the respective design targets in order to accelerate convergence during the iterative search process. Listed in Table II are the coupler dimensions returned by our optimization software. The numerical results we accumulated during the investigation lead us to recommend the following tolerance limits for the fabrication of our prototype coupler: 0.1 mm for the widths of the outer ring and the four ring-to-ring links, and 0.2 mm for the other dimensions. The plots we obtained for the scattering coefficients of our prototype coupler (fabricated in-house on a Taconic CER-10 substrate) are presented in Figs. 5 and 6, which generally show satisfactory agreement between the numerical results generated by our model and the measured data taken by the HP8510C

2438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 6. Phase of transmission coefficient for microstrip coupler depicted in Fig. 2 (with dimensions listed in Table II).

network analyzer. It is evident from our measured performance data that the double-ring coupler we proposed in Fig. 2 is suitable for crossover application over a 20% bandwidth (from 0.92 to 1.12 GHz). In contrast, the measured results reported in [9] for the disc coupler do not even meet the design targets listed in (18)–(20). Crossover behavior has been observed in [8] for the annular-ring coupler, but the measured bandwidth is less than 3%. The composite four-port coupler formed in [10] by cascading two hybrid couplers has been able to meet only the isolation and returnloss specifications over a bandwidth of 8%. As for de Ronde’s ring-with-cross design, there is a need to introduce distortions because the ring circumference has to be shortened for his microstrip prototype operating from 5.5 to 7 GHz with a bandwidth of 24%; hence, the ring takes the shape of a square instead and the four arms of the cross junction have to be bent into curved arcs so as to squeeze into the square enclosure [7]. V. CONCLUSION Instead of nonplanar crossover structures such as air bridges, we have proposed a symmetrical four-port microstrip coupler, which utilizes the double-ring structure and taper transitions. The prototype we fabricated meets the design specifications listed in (18)–(20) over a bandwidth of 20% bandwidth (from 0.92 to 1.12 GHz). The closed-form expressions we derived in Section III have facilitated our development of a simple-to-use model that is able to predict the scattering-coefficient values of our proposed coupler with reasonable numerical accuracies. We have also found from experience that our eigenmode model may, where required, be readily adapted to accommodate design changes, which must be in keeping with the fourfold rotational symmetry of the coupler structure. REFERENCES [1] K. Nyka and M. Mrozowski, “Quasi-static finite-element analysis of a skewed microstrip crossover,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 12–14, Jan. 2002.

[2] F. J. Carpentier, F. Huret, P. Pribetich, and P. Kennis, “Comparison between quasi-static space-domain analysis and full-wave spectral-domain analysis for high-frequency inter-connecting cross-over in multilayered dielectric media,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 142, no. 3, pp. 275–281, Jun. 1995. [3] T. Horng, “A rigorous study of microstrip crossovers and their possible improvements,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1802–1806, Sep. 1994. [4] T. Becks and I. Wolff, “Analysis of 3-D metallization structures by a full-wave spectral domain technique,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2219–2227, Dec. 1992. [5] S. C. Wu, H. Y. Yang, N. G. Alexopoulos, and I. Wolff, “A rigorous dispersive characterization of microstrip cross and T junctions,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1837–1844, Dec. 1990. [6] F. Gardiol, Microstrip Circuits. New York: Wiley, 1994, sec. 6.5.4. [7] F. C. de Ronde, “Octave-wide matched symmetrical reciprocal fourand five-ports,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 521–523. [8] F. Tefiku and E. Yamashita, “Improved analysis method for multiport microstrip annular-ring power-dividers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 376–382, Mar. 1994. [9] K. C. Gupta and M. D. Abouzahra, “Analysis and design of four-port and five-port microstrip disc circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1422–1428, Dec. 1985. [10] J. S. Wight, W. J. Chudobiak, and V. Makios, “A microstrip and stripline crossover structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 5, p. 270, May 1976. [11] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits. New York: McGraw-Hill, 1948, sec. 12.25–12.26. [12] D. I. Kim, K. Araki, and Y. Naito, “Properties of the symmetrical fiveport circuit and its broadband design,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 1, pp. 51–57, Jan. 1984. [13] K. Chang and L. H. Hsieh, Microwave Ring Circuits and Related Structures. New York: Wiley, 2004, sec. 2.6. [14] P. Gardner and D. K. Paul, “Transmission line analysis of symmetrical ring resonators,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 143, no. 2, pp. 184–188, Apr. 1996. [15] S. P. Yeo and C. L. Lau, “First-order model of symmetrical six-port microstrip ring coupler,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 9, pp. 1666–1669, Sep. 1991. [16] D. H. Schrader, Microstrip Circuit Analysis. Upper Saddle River, NJ: Prentice-Hall, 1995, sec. 2.11.

Yuan Chen was born in Jiangsu, China, in 1981. He received the B.Eng. degree in electrical engineering from Shanghai Jiao Tong University, Shanghai, China, in 2003, and is currently working toward the Ph.D. degree in electrical engineering at the National University of Singapore, Kent Ridge, Singapore. His main research interests include the design and analysis of multiport couplers, power dividers, and other passive components.

Swee-Ping Yeo received the M.A. degree from the University of Cambridge, Cambridge, U.K., in 1981, and the Ph.D. degree from the University of London, London, U.K., in 1985. Following three years with the Singapore Ministry of Defense, he joined the National University of Singapore, Kent Ridge, Singapore, where he is currently a Professor and Head of the Electrical and Computer Engineering Department. His research interests include electromagnetic modeling, passive components, and six-port reflectometers. Dr. Yeo have been the recipient of three Institution of Electrical Engineers (IEE), U.K., Best Paper Awards, i.e., a two-time recipient of the 1985 Electronics Letters Premium and the 1988 Ambrose Fleming Premium. He was also the recipient of the National University of Singapore 1998 Outstanding University Researcher Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2439

Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits Stephen Horst, Student Member, IEEE, Ramanan Bairavasubramanian, Student Member, IEEE, Manos M. Tentzeris, Senior Member, IEEE, and John Papapolymerou, Senior Member, IEEE

Abstract—A modification of the Wilkinson power divider is presented that eases planar implementation while maintaining performance. By adding transmission lines between the resistor and the quarter-wave transformers of the traditional design, a range of valid solutions exists that meet the conditions of being reciprocal, isolated between the output ports, and matched at all ports. The proposed design is particularly useful at millimeter-wave frequencies where reduced physical dimensions make a circuit configuration suitable for low-cost package-level implementation difficult using traditional methods. Two frequency bands are demonstrated. At -band, the circuit gives 0.3-dB excess insertion loss, -band, the circuit 19-dB isolation, and 50% bandwidth. At the gives 0.75-dB excess insertion loss, 24-dB isolation, and 39% bandwidth.

Fig. 1. Traditional Wilkinson design. From [1].

Index Terms—Balun, hybrid, millimeter-wave circuits, power divider, Wilkinson power divider.

I. INTRODUCTION

T

HE NEED to divide an input signal into several identical output copies is common among many communication systems. Often there is a necessity to isolate the output ports of such a divider so that mismatches and reflected signals on one output port do not propagate to other paths in the system. An elegant solution to this problem is the Wilkinson power divider [1], [2]. Developed in the early 1960s, this hybrid power divider utilizes resistors between output ports in order to provide isolation over a given frequency band. Despite its clever use of symmetry, the traditional Wilkinson divider suffers from several drawbacks when implemented in a planar form such as the printed wiring board techniques ubiquitous to present day circuit technology. The original Wilkinson power divider was designed for use in shielded coaxial systems at frequencies low enough not to warrant consideration of additional parasitic effects caused by geometric inconsistencies in the physical layout of the circuit. In RF and millimeter-wave systems, these effects can be devastating to the performance of the circuit. This paper presents a modified Wilkinson power divider circuit that achieves similar performance, while better suited to the layout considerations of a planar environment. Manuscript received May 27, 2007; revised August 14, 2007. S. Horst, M. M. Tentzeris, and J. Papapolymerou are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). R. Bairavasubramanian was with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA. He is now with Skyworks Solutions Inc., Cedar Rapids, IA 52411 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908672

Shown schematically in Fig. 1, the traditional Wilkinson power divider can suffer from parasitic effects at high frequencies that can significantly inhibit performance in an integrated planar design. These parasitics typically derive from undesired coupling between the two quarter-wave arms, or distributed effects introduced by the physical requirements of stretching the resistor between the two arms. Integrated resistors introduce far fewer parasitic effects than resistors contained in a separate package, but its dimensions still cause it to take on the characteristics of a transmission line at high frequencies. Typical solutions to these problems have involved wrapping the quarter-wave arms into rounded shapes that converge briefly for resistor placement using the smallest resistor footprint possible [3]–[5]. Due to the necessary bends, it is often difficult to incorporate these designs at the package level for millimeter-wave frequencies because of the small length-to-width ratio of the quarter-wave transformers. On the other hand, on-chip implementation of the transformers is large compared with the available active components, leading to more space-effective topologies implemented with active devices replacing the transmission lines [6]. Another solution uses additional half-wave transformers to connect a small resistor between widely separated arms, which is compatible with the design procedure presented here, although this method greatly increases the size of the circuit [7]. This paper demonstrates a method to achieve an optimal solution using a simple and easily realizable structure that requires little optimization and a small physical area. Since there are no complex bends, the design also scales well throughout most RF and millimeter-wave frequencies. II. CIRCUIT ANALYSIS The design presented in this research, shown in Fig. 2, extends the original Wilkinson design by adding additional

0018-9480/$25.00 © 2007 IEEE

2440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

and electrical length of the “stub” segments, denoted as , respectively, and the value of the resistor .

and

A. Even-Mode Analysis

Fig. 2. Proposed modification of the Wilkinson design.

In the even mode, the symmetric ports are excited with currents of equal magnitude and phase. Since the currents are in the same direction, a virtual open is created along the line of symmetry, which eliminates the resistor from the circuit. The circuit then becomes a simple two-port matching network. Looking into port 2, we can solve for the impedance of each path, deand in Fig. 3 noted as (1) (2) The parallel combination of these impedances should equal the port impedance in order to be matched. Adding the admittances and gives (3) which can be expanded and separated into two complex equalities. The real part of the equation yields

Fig. 3. Symmetric reduction of the circuit. All impedances have been normalized to the system impedance.

(4) which simplifies to

transmission line elements between the quarter-wave transformer arms of the divider. This addition creates the framework for an object with geometric dimensions in the space between the quarter-wave transformers and the ideal resistor, which can, in turn, be used to increase the spacing between the arms; reducing the coupling between them. These added transmission lines can be implemented either as standalone elements, or absorbed into the integrated thin film resistor, or a combination of the two. By absorbing the physical area of the resistor into the transmission lines, the circuit can decrease the sensitivity to distributed effects seen in integrated resistors since the resistor becomes a natural extension of the added line. As will be demonstrated, the proposed design maintains the same matched, reciprocal, and isolated response seen in the Wilkinson power divider. Like the traditional Wilkinson divider, the key to this design lies in its symmetry. By dividing the circuit in half along its line of symmetry and using odd- and even-mode analysis, the complexity of the analysis can be greatly reduced. The reduced circuit is illustrated in Fig. 3. The line of symmetry bisects the resistor , which can be represented as two resistors in series . Likewise, the impedance of the input port with a value of can be divided in parallel, doubling the effective impedance of the port. All impedances, including the resistor , have been normalized to the system impedance throughout this derivation, and are hereafter denoted by lowercase letters to reflect this fact. The circuit then contains five unknowns, which are the characteristic impedance and electrical length of the “arm” segments, and , respectively, the characteristic impedance denoted as

(5) The imaginary part of the equation becomes (6) which can also simplify, giving (7) Since this is a passive matching network, the circuit will be reciprocal, and analysis from port 1 will yield the same result. B. Odd-Mode Analysis In the odd mode, the symmetric ports are once again excited with currents of equal magnitude, but this time with opposite phases, creating a virtual short along the line of symmetry. Since the circuit is symmetric through port 1, the short will appear across the port, eliminating it from the circuit. The short will also appear at the base of the bisected resistor , leaving it to absorb all the incident power in order to maintain the matched condition. Using the same method described for the even-mode analysis, we can obtain the matching condition

(8)

HORST et al.: MODIFIED WILKINSON POWER DIVIDERS FOR MILLIMETER-WAVE INTEGRATED CIRCUITS

2441

This equation can also be expanded and given as a set of complex equalities, leaving a real equality that simplifies to (9) and an imaginary equality that simplifies to (10) If we apply (10) to (9), it can easily be shown that (11) which is a complementary equation to (7). Therefore, if we were and to substitute (7) and (11) into (5), an equation relating can be obtained and simplified to show that (12) Using this knowledge, (5) simplifies to (13) which implies that and must be orthogonal. We are now left with four normalized equations to describe a circuit with five unknowns (14) (15) (16) (17) This under-determined system of equations will give a range of solutions that meet the requirements of being matched, reciprocal, and isolated between the output ports. The range of several variables in this system are bounded by physical conditions imposed by and (16). In order to be a physically realizable circuit, must be real and must be positive. Enforcing these conditions in the normalized circuit, it can easily be shown that , which implies . It can also be , where is any integer. If shown that to go to 0, we see that the system reduces to the we allow familiar Wilkinson design. III. CIRCUIT DESIGN Although the preceding theory is applicable to any frequency, the practical advantages for this design are best seen at millimeter-wave frequencies. At lower RF frequencies below around 10 GHz, fabrication tolerances and transmission line length-to-width ratios are generally forgiving enough to fold , to the arms of the standard Wilkinson divider, where provide a smaller size and greater bandwidth than other variants of the proposed design. However, as the wavelength decreases, the electrical length of the transmission lines shrink, while the

Fig. 4. Theoretical bandwidth based on ideal simulations using a 2 : 1 VSWR rubric. The trace represents the range of solutions given by the proposed design procedure across two periods, while the individual markers indicate compatible published variants from [2] and [7], and the variant demonstrated in this study. The length of  is represented across its valid range [n; n + (=4)) for n = 0 and n = 1.

width determining the characteristic impedance remains close to constant. This combination of changing dimensions makes the physical layout of these bending techniques impractical at millimeter-wave frequencies. It is here where the simple structure and slightly larger size of the proposed design are advantageous. Antsos [7] presents a similar structure that, like the traditional Wilkinson power divider, is a specific case of the broader theory presented here. However, as this circuit is merely a multiple of the traditional Wilkinson divider ( in this case), the circuit is unnecessarily large. As shown in the equations derived in Section II, adding a small length of transmission line between the resistor and the quarter-wave transformer reduces the necessary characteristic impedance of the quarter-wave transformers themselves. Their length increases at the same time, leading to a range of solutions near the middle of the valid range that maximize the length-to-width ratio of these elements. As with all transmission lines, the initial dimensions and the rates at which these changes occur are highly dependent on the substrate used. The various factors that must be considered during the design process to achieve the best solution for a given situation are explored here. Selecting the proper variant of this design methodology involves a tradeoff analysis between performance, size, and a variety of design rules dependent on the technology used to implement the design. One aspect to consider when adding additional lengths of transmission line to a circuit is the bandwidth. The proposed design procedure is capable of producing a variety of wide circuit bandwidths, as shown in Fig. 4. Maximum bandwidth is achieved by keeping line lengths to a minimum, as in the original Wilkinson design. As additional length is added to the lines based on the theory presented here, bandwidth detrace shown in Fig. 4. Due to the creases according to the periodic nature of electrical lengths in transmission lines, multiples of the valid range will also produce valid solutions. The , is plotted in Fig. 4. Note that first multiple, denoted by the bandwidth response in this region remains relatively flat up to an unwrapped electrical length of approximately 35 before

2442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

falling off. However, the bandwidth of almost any of these configurations exceeds that of many other common system components, even when reduced to levels below this plateau. Therefore, the design variant fabricated and measured in this study was chosen to emphasize the ability of the added stubs to enable compact and robust millimeter-wave power dividers using low-cost technology rather than achieving the widest bandwidth possible. The configuration chosen for this purpose is denoted by the circle marker in Fig. 4 for reasons that will be clarified shortly. Recall that the primary motivation behind this design involves the reduction of both coupling between the parallel arms and unwanted distributed effects appearing across the integrated resistor. The effects of coupling between two microstrip lines are well understood [8]. However, the specific amount of coupling is highly dependent on the properties and dimensions of the substrate being used, which makes it difficult to quantify how much will be present in a general scenario. Generally speaking, proximity is the primary cause of undesired coupling. Therefore, if the coupling between the impedance transformers is determined to be too strong, adding additional length to the stub ( ) effectively separates the paths of concern and reduces the problem. As previously mentioned, this is most useful at high frequencies where introducing bends into the lines is impractical. A problem that typically has a greater impact on the performance of the circuit involves the parasitics of the resistor. Resistors, whether they are integrated or packaged, occupy physical space that is not accounted for in the traditional Wilkinson model. When an RF designer implements a design that must contend with one of these components, such as a traditional Wilkinson power divider, the result is typically that hand calculations produce unusable baseline results and an electromagnetic (EM) field solver must be used to obtain a useful solution. By using the proposed design, the parasitics of the resistor can be absorbed into the extra stub length between the resistor and impedance transformers, paving the way for accurate results using simple hand calculations. When integrated onto the substrate, a resistor is little more than a transmission line with a given loss per unit length. In addition, many integrated resistor materials have a very low sheet resistance value, meaning the resistor must be relatively long in order to achieve the desired value. This only increases these associated transmission line parasitics. Since even at millimeter-wave frequencies the resistor length is still small with respect to the wavelength, the resistor can be modeled as a series combination of transmission lines and a resistor, as shown in Fig. 5. These parasitic lines can then be easily absorbed into the stub extensions of the proposed design. The layout of the proposed divider can be seen in Fig. 6. By keeping the structure simple without unnecessary bends, the layout can be scaled very small to accommodate high-frequency designs where the required transmission line lengths are not much larger than the necessary widths. The simple design also means far fewer parasitic modeling effects need to be considered. As previously described, the resistor dimensions can be absorbed into using the model in Fig. 5. This leaves only three T-junctions present in the layout that are as yet unaccounted for in the design. These junctions are each denoted by an “X” in

Fig. 5. Equivalent high-frequency model of an integrated resistor used in the proposed design.

Fig. 6. General layout of the proposed power divider. The resistor dimensions and T-junctions can be absorbed into the transmission line lengths for accurate results using hand calculations.

W

Fig. 7. Variations on power divider design at -band. (A) Traditional design using a large resistor. (B) Traditional design using a small resistor. Note that although the overall size of the resistor has changed, the length-to-width ratio and, therefore, the resistance used in (A) and (B) are the same. (C) Designed using the equations presented here, using the solution where the resistor dimensions coincide with the necessary stub dimensions.

Fig. 6. A rough approximation that works well for hand calculations involves absorbing half the length of each T-junction into the length of each line attached to the “T,” as shown by the lengths of and in Fig. 6. A comparison between traditional Wilkinson designs and the design procedure proposed here can be used to illustrate the effectiveness of this technique. Fig. 7 shows the layout of several power dividers at the -band in a standard 50- system. Fig. 7(A) is a traditional Wilkinson design measuring 466 568 m that uses a large resistor to reduce coupling between the quarter-wave transformers, but in turn incurs greater resistor parasitics. Fig. 7(B) is a similar design using a small resistor to minimize the parasitics of the resistor, but increases the coupling between the quarter-wave transformers. This circuit occupies an area of 556 253 m . Also shown in Fig. 7(C) is a layout from a comparable circuit designed using the proposed method that accounts for the physical dimensions of the resistor. The solution used was selected by simultaneously solving for the value

HORST et al.: MODIFIED WILKINSON POWER DIVIDERS FOR MILLIMETER-WAVE INTEGRATED CIRCUITS

Fig. 8. Simulation results comparing the traditional Wilkinson design with the proposed technique.

where the required resistor length-to-width ratio matched the characteristic impedance and phase needs of the transmission lines. This solution is highly dependent on the sheet resistance and substrate characteristics used in the circuit. For a sheet resquare, and a substrate with a thickness of sistance of 25 50 m and dielectric constant of 3, this solution occurred at . Computing the rest of the dea stub length of sign variables resulted in a circuit measuring 783 397 m , approximately 17% larger than the traditional design shown in Fig. 7(A). It is interesting to note that if an optimization routine were to be run minimizing the return loss and isolation on the traditional designs with the resistor, linewidth, and line length allowed to vary, the values of each parameter would approach the solution shown in Fig. 7(C). Simulation results of the insertion loss and isolation performance using Ansoft’s High Frequency Structure Simulator (HFSS) for each layout are shown in Fig. 8. These results demonstrate that resistor parasitics have a much larger effect on circuit performance compared to parasitic coupling in this situation. This is expected for a thin substrate with a low dielectric constant such as the one used here. Although the design in Fig. 7(B) provides adequate results, the small resistor size will increase the variation seen during fabrication. The design using the proposed technique offers superior isolation across most of the -band and similar insertion-loss performance at sizes that are more appropriate for fabrication. The bandwidth of the circuit in Fig. 7(C) is 85.9%, down slightly from the theoretical value predicted in Fig. 4. Likewise, the 134.8% bandwidth of the traditional circuit using the small resistor was down from its predicted value of nearly 160%. These reduced numbers are attributed to substrate and conductor losses that were not accounted for in the theoretical prediction. The traditional design using the large resistor never achieved a voltage standing-wave ratio (VSWR) greater than 2 : 1 on the input port and, therefore, no bandwidth estimate could be made. Considering these results, the proposed design is most useful for millimeter-wave applications on low-cost package-level

2443

technologies. In such situations, the circuit provides superior isolation and similar insertion-loss characteristics compared to a traditional Wilkinson power divider. More importantly, it can be used to relax feature size requirements of the resistor and quarter-wave transformer elements to the extent that the power divider will conform to the design rules of most package level fabrication processes; a feature that will be explored in Section IV. The equations presented here provide a range of solutions that can be tailored to fit any number of applications. One of these solutions selected for further study occurs when the normalized value of the resistor is 1. At this value, the normalized characteristic impedance of all the transmission lines used in the circuit are also 1. This configuration is ideal because there is no transition necessary to interface with the rest of the system, as all of the port lines will already be the same size as the system impedance lines feeding the circuit. Using the middle value in the possible range of is also beneficial because it eliminates the physical extremes seen at both ends of the spectrum. At the one end is the traditional Wilkinson design, which provides narrow transformer lines and high-resistance values with very little tolerance for transmission line stub lengths. The drawbacks of this design at high frequency have been discussed at length. At the other end of the spectrum, the transformer lines and resistor have values so low that the physical restrictions reverse, with transmission linewidths becoming extremely wide compared to its length, resulting in unrealistic geometries. The degree of these effects are dependent on the thickness and dielectric constant of the substrate. Maintaining a high length-to-width ratio in the added stubs will also allow for the use of lower sheet resistance materials since longer resistors can be used. Using these criteria to relax the physical dimensions of both the impedance transformer and resistor, while maintaining a reasonable geometry and minimizing parasitics to demonstrate the robust nature of the design, has led to the selection of the variant where normalto confirm the performance of this design proceized dure. IV. MEASUREMENT AND RESULTS Two different millimeter-wave frequency bands were tested to demonstrate this design using the variant of interest where , , and all have normalized values of 1. These values necessitate the electrical lengths and to be 125.26 and 35.26 , respectively, in order to satisfy (14)–(17). The first iteration was designed at 60 GHz in the -band and the second at 90 GHz near the center of the -band. The designs were fabricated on a liquid crystal polymer (LCP) substrate with a thickness of 50 m. LCP is a low-cost organic substrate that has been shown to give excellent performance at millimeter-wave frequencies [9]. The integrated resistors were achieved through the use of foils, where a 0.5-oz sheet of copper is sold with a pre-deposited NiCr thin film on one side. This foil can then be laminated to the substrate and formed into individual traces and resistors through standard photolithography and chemical etching [10]. The advantage of this process is that thin films can be used for low-cost large-area processing without having to resort to expensive vacuum deposition techniques. A major draw-

2444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 9. Fabricated power divider test structures. (A) V -band isolation structure with a termination connected to the input port. (B) W -band thru structure with a termination on one of the output ports.

back to using this method, however, is that with the thick 18- m copper layer, needed to provide stability to the foil before lamination, the minimum reliable feature size is around 75 m. This restriction demonstrates the advantage of using this variant of the design as opposed to the traditional Wilkinson design. A 50- m-thick substrate with a dielectric constant of 3 requires a 113- m-wide line for a 50- characteristic impedance, while a 70.7- line needs to be 57- m wide. While the low-cost technology implemented here is not capable of producing the narrow 70.7- lines required by the traditional Wilkinson design, it can produce the 50- lines needed by this variant. Foils with a 25- square sheet resistance were used for the integrated resistors. This relatively low value necessitates a resistor with a length of at least two squares in order to implement most useful variants of the design, which is more than adequate to make the distributed effects of the resistor apparent. The fabricated power dividers can be seen in Fig. 9. The -band design measures 1.13 0.82 mm, while the -band design measures 0.74 0.57 mm. Due to the additional line lengths involved, the circuit is slightly larger than the traditional Wilkinson power divider, but saves significant space compared to the design presented by Antsos in [7], which would be used for similar applications. Comparing the -band design presented here to a similar design based on the Antsos variant, an area reduction of over 80% is achieved. Since the power divider is a three-port device, and a two-port network analyzer needed to be used to take measurements, a solution for terminating the third unmeasured port needed to be found. The easiest method proved to be creating custom 50terminations using a field solver simulation to determine the impedance of an integrated resistor at the design frequency. These resistors were readily available since they were already required for the power divider. A simple matching network was then used to bring the impedance to 50 , the system impedance of the measurement system. This process produced terminations accurate enough to cover the design bands of the circuits. These structures can be seen attached to the fabricated dividers in Fig. 9. Measurements indicate the -band terminations have better than 2 : 1 VSWR across the entire 50–75-GHz band, while the -band terminations meet this specification from 78 to 103 GHz. Two different design iterations were performed at each frequency band. The first used only hand calculations to derive the

Fig. 10. V -band insertion-loss measurements. Optimization improves the loss by 0.2 dB over basic hand calculations.

Fig. 11. V -band isolation and input return-loss measurements. Optimization provides little improvement over hand calculations.

dimensions of the divider. The second then modeled and optimized the design in a commercial EM field solver. An optimiza, , and at tion scheme setting minimum targets for the design frequency was selected. The measured performance of these designs can be seen in Figs. 10–13. The insertion loss of the -band circuit is 0.3 dB below the ideal value of 3 dB at the design frequency when optimized, and the isolation is approximately 15 dB across the band. Optimization provided a 0.2-dB improvement in the insertion loss, but no improvement in isolation when compared to hand calculations. The -band circuit shows 0.75-dB excess insertion loss at the design frequency, also with an isolation around 15 dB across the band. Here, optimization gives no improvement over hand calculations with respect to insertion loss, but the isolation is improved by 5 dB in the center of the band and corrects a 15% frequency shift seen in the return-loss measurements. These results show that while optimization with an EM field solver may provide some improvement in the response of the circuit, for the most part, the effect of these complex calculations is minimal compared with simple hand calculations. Again, defining the bandwidth as the region where the circuit shows better than 2 : 1 VSWR on the input port, measured results at the -band show a 38.9% bandwidth, very close to the value predicted in Fig. 4. The bandwidth at the -band

HORST et al.: MODIFIED WILKINSON POWER DIVIDERS FOR MILLIMETER-WAVE INTEGRATED CIRCUITS

2445

performance at millimeter-wave frequencies, even when implemented using low-cost technology typically reserved for lower frequency circuits with less critical dimensions. The design has also been demonstrated to be very robust with simple hand calculations providing reliable dimensions for near-optimal circuit performance. Using the design procedure presented, millimeter-wave power dividers are no longer restricted to depend on vacuum deposition techniques, and can become accessible to a wider market. ACKNOWLEDGMENT

W

Fig. 12. -band insertion loss measurements. Optimization provides no improvement over hand calculations.

The authors would like to thank the Rogers Corporation, Rogers, CT, and Ticer Technologies LLC, Chandler, AZ, for supplying the raw materials used in this research. REFERENCES [1] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [2] E. J. Wilkinson, “An -way hybrid power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [3] K. J. Herrick and L. P. B. Katehi, “ -band micromachined circuit combining networks,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1647–1651, Jun. 2002. [4] S. Horst, S. Bhattacharya, M. M. Tentzeris, and J. Papapolymerou, -band Wilkinson power dividers on flexible “Monolithic low cost organic substrates,” in Electron. Compon. Technol. Conf., Jun. 2007, pp. 1851–1854. [5] S. Song, C. S. Yoo, D. Kim, S. Choi, J. C. Park, and K. S. Seo, “Development of millimeter-wave integrated passives on MCM-D technology with thin-film microstrip line,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 952–955. [6] L. H. Lu, Y. T. Liao, and C. R. Wu, “A miniaturized Wilkinson power divider with CMOS active inductors,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 775–77, Nov. 2005. [7] D. Antsos, “Modified Wilkinson power dividers for - and -bands,” Microw. J., vol. 38, no. 11, pp. 98–104, Nov. 1995. [8] R. Garg and I. J. Bahl, “Characteristics of coupled microstriplines,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 7, pp. 700–705, Jul. 1979. [9] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [10] S. Horst, S. Bhattacharya, S. Johnston, M. M. Tentzeris, and J. Papapolymerou, “Modeling and characterization of thin film broadband resistors on LCP for RF applications,” in 56th Electron. Compon. Technol. Conf., Jun. 2006, pp. 1751–55.

N

W

Ka

Ka

W

Fig. 13. -band isolation and input return-loss measurements. Optimization improves the isolation by 5 dB and corrects a frequency shift seen in the return loss.

was around 50%, which well exceeded the predicted value. Even at millimeter-wave frequencies, this design is very robust because it is much better adapted to a planar form factor than the original Wilkinson divider. The results compare well with -band [3], [5] previously published power dividers at the with the additional benefit of being well suited for low-cost technologies where thicker substrates and larger feature sizes make millimeter-wave designs difficult.

V. CONCLUSION An extension of the traditional Wilkinson power divider has been presented. The addition of transmission lines between the resistor and quarter-wave transformers has been shown to absorb the high-frequency parasitics typically unaccounted for in traditional designs, while maintaining the ideal performance characteristics of being matched, reciprocal, and isolated between the output ports. The prototype designs show excellent

K

Stephen Horst (S’00) received the B.S. degree (with distinction) in electrical and computer engineering from The Ohio State University, Columbus, in 2004, the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2006, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. His B.S. thesis concerned subharmonic superposition in radar IF receivers. His M.S. thesis concerned low-cost fabrication of millimeter-wave circuits using LCP substrates. From 2001 to 2003, he was an Intern with Cisco Systems, Akron, OH, where he was involved with RF circuit and system design in UNII-1 and UNII-2 unlicensed bands. He is currently involved in the study of frequency synthesis techniques on silicon germanium.

2446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Ramanan Bairavasubramanian (S’02) received the B.E. degree in electrical and electronics engineering from Anna University, Chennai, India, in 2001 and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2002 and 2007, respectively. His doctoral dissertation focused on the development of microwave and millimeter-wave antennas and passive components on multilayer LCP technology. He is currently a Design Engineer with Skyworks Solutions Inc., Cedar Rapids, IA. His current research interests include development of system-on-a-chip and system-on-a-package transceiver front-end architectures for mobile communication applications.

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 260 papers in refereed journals and conference proceedings, two books, and ten book chapters. He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications, microwave microelectromechanical systems (MEMS), system-on-package (SOP) integrated antennas and adaptive numerical electromagnetics (finite difference time domain (FDTD), multiresolution algorithms), and heads the ATHENA Research Group (15 researchers). He is the Georgia Institute of Technology National Science Foundation (NSF) Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the leader of the Novel Integration Techniques Subthrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany, where he introduced a course in the area of high-frequency packaging. He has given over 40 invited talks in the same area to various universities and companies in Europe, Asia, and the U.S. Dr. Tentzeris is a member of URSI Commission D, an associate member of EuMA, and a member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA, and he is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He has organized various sessions and workshops on RF/Wireless Packaging and Integration in IEEE ECTC, IMS, and AP-S Symposia, for all of which he is a member of the Technical Program Committee in the area of components and RF. He was the recipient of the 2003 National Aeronautics and Space Administration (NASA) Godfrey “Art” Anzic Collaborative Distinguished Publication Award for his activities in the area of finite-ground low-loss low-crosstalk coplanar waveguides (CPWs), the 2003 IBC International Educator of the Year Award, the 2003 IEEE CPMT Outstanding Young Engineer Award for his work on 3-D multilayer inte-

grated RF modules, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China) for his work on compact/SOP-integrated RF components for low-cost high-performance wireless front-ends, the 2002 Georgia Institute of Technology Electrical and Computer Engineering Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award for his work on the development of multiresoluation time-domain (MRTD) technique that allows for the system-level simulation of RF integrated modules, and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society for the development of design rules for low-crosstalk finite-ground embedded transmission lines. He was the recipient/corecipient of the 2007 IEEE AP-S Symposium Best Student Paper Award, the 2007 IEEE IMS Third Best Student Paper Award, the 2007 ISAP 2007 Second Best Poster Presentation Award, the 2006 IEEE MTT-S Outstanding Young Engineer Award, and the 2006 Asia–Pacific Microwave Conference Award.

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with the University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 140 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave and terahertz circuits and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates [liquid-crystal polymer (LCP), low-temperature co-fired ceramic (LTCC)] for system-on-a-chip (SOC)/system-on-package (SOP) RF front ends. Dr. Papapolymerou is the vice-chair for Commission D of the U.S. National Committee of URSI. He is an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan at Ann Arbor Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2447

Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning Martin Vossiek, Senior Member, IEEE, Alexander Urban, Stephan Max, and Peter Gulden, Member, IEEE

Abstract—In this paper, the novel inverse synthetic aperture secondary radar wireless positioning technique is introduced. The proposed concept allows for a precise spatial localization of a backscatter transponder even in dense multipath environments. A novel secondary radar signal evaluation concept compensates for the unknown modulation phase of the returned signal and thus leads to radar signals comparable to common primary radar. With use of this concept, inverse synthetic aperture radar algorithms can be applied to the signals of backscatter transponder systems. In simulations and first experiments, we used a broadband holographic reconstruction principle to realize the inverse synthetic aperture approach. The movement of the transponder along a short arbitrary aperture path is determined with assisting relative sensors (dead reckoning or inertia sensors). A set of signals measured along the aperture is adaptively focused to the transponder position. By this focusing technique, multipath reflections can be suppressed impressively and a precise indoor positioning becomes feasible. With our technique, completely new and powerful options for integrated navigation and sensor fusion in RF identification systems and wireless local positioning systems are now possible. Index Terms—Position measurement, radio navigation, secondary radar, synthetic aperture radar (SAR), transponders.

I. INTRODUCTION IRELESS local positioning attracts increasing interest for future wireless communication and RF identification (RFID) systems. It offers significant consumer convenience and serves as a base for many new context-based services. Providing context-dependent information and transparency increases the mobility, security, and efficiency of wireless devices for both indoor and outdoor operation [1], [2]. The major challenge for the indoor use of wireless local positioning systems is multipath propagation. Multipath reflections may cause severe disturbances in the measured position values. The usual way to reduce these disturbances is to increase the signal bandwidth, e.g., to use ultra-wideband (UWB) systems [3], [4]. However, it is known from communications systems that multipath distortions can effectively be reduced by applying directional antennas, digital beamforming or multiple input multiple output (MIMO) approaches. The basic idea

W

Manuscript received May 23, 2007; revised August 23, 2007. M. Vossiek and A. Urban are with the Institute of Electrical Information Technology, Clausthal University of Technology, D-38678 Clausthal-Zellerfeld, Germany (e-mail: [email protected]). S. Max is with the Institute of Electrical Information Technology, Clausthal University of Technology, D-38678 Clausthal-Zellerfeld, Germany, and also with Symeo GmbH, D-81739 Munich, Germany. P. Gulden is with Symeo GmbH, D-81739 Munich, Germany (e-mail: peter. [email protected]). Digital Object Identifier 10.1109/TMTT.2007.908668

has been applied for localization and navigation for decades by using mechanical scanning [5]. Nonetheless, mechanical scanning is not applicable in the usual commercial local positioning systems and, thus, electronic beamforming concepts provide the only practical solutions. [6] provides an example of the use of directional beacons for local positioning. In this paper, we introduce the novel inverse synthetic aperture secondary (ISAS) radar positioning technique for digital beamforming and localization of transponders. The required synthetic aperture is quite small and, therefore, it is sufficient to measure the relative movements during a fairly short time interval. The relative movements can be measured with simple dead reckoning or inertia sensors. The common error sources of these sensors are drift and integral errors; two error types with only a minor influence on the chosen approach. It will be shown that ISAS radar positioning is a very efficient way to combine the data of a wireless positioning system with the data from assisting sensors. Basically, the approach combines the measurement information of the raw data level based on wave propagation models. This is a major benefit compared to other approaches on scalar distance and velocity models. For example, Kalman-filter-based concepts like [7] only combine interpreted measuring data like scalar distance and velocity values. Therefore, they often disregard useful information such as phase information or target signals obscured by misleading echoes or noise. II. SECONDARY RADAR CONCEPT The approach requires coherent reply signals from all transponders. This is the case for the well-known backscatter transponder concept [8], [9]. However, the results also hold for the switched-injection locked oscillator transponders presented in [10]. The latter transponder type gives more or less the same coherent response to an interrogating signal as a usual backscatter transponder, but it provides an increased measuring range of up to 100 m. In the following, the approach is derived for linear FM modulation, but it can be extended to other modulation forms easily. The basic setup of a transponder system is depicted in Fig. 1. In principle, this setup equals well-known backscatter systems, e.g., as presented in [8] and [9]. The radar unit is a conventional continuous wave (CW) radar. In the backscatter transponder, the match/mismatch and, thus, the complex reflection coefficient of the antenna is modulated. Let us assume that the transis an angle-modulated band-limited mitted radar signal CW signal given as

0018-9480/$25.00 © 2007 IEEE

(1)

2448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 2. Typical measured echo profile of the secondary radar with backscatter transponder. The envelope of the signal is symmetric to the transponder modulation frequency. Fig. 1. Block diagram of the proposed secondary radar system with a modulated backscatter transponder.

The radar signal modulation is represented by the instanta. For simplicity, we assume that the neous phase term equals 1, and we choose the time origin in a way amplitude is 0. Additionally, we select an inthat the initial phase stantaneous frequency of the modulation that is small compared . to the radar radian center frequency , i.e., The signal travels to the backscatter transponder and is modin a way that ulated with a periodic rectangular signal is periodic with the periodic time the reflectivity function . is later referred to as the modulation frequency of the backscatter. The modulated signal travels back to the interrogating unit, is down-converted, and low-pass filtered. The resulting beat signal is then given by [11]

precise distance measurement, nor any synthetic aperture reconstruction algorithm can be applied on one of the spectral lines can alone. However, the backscatter modulation frequency be determined easily because it is located at the symmetry axis of the spectrum. One option to determine the exact symmetry point is to cross-correlate the right and left sides of the specis determined, the spectrum is split up in two trum. After contains the frequency range below , parts. One part the other part represents the spectrum above , i.e., (4) with the conjugate complex of If we multiply , we obtain a single-sided spectrum that is completely unaffected by the backscatter modulation frequency and phase, i.e.,

(2) denotes the time-of-flight to the th transponder, is the sweep rate, is the amplitude of the received signal, and is the modulation phase. The spectrum of the beat signal is the basis for the proposed algorithm and, hence, subsequently described in more detail. is only defined during the It has to be considered that . This is equivalent to the bandwidth limitime interval tation of the radar. To be mathematically correct, must be to denote the finite dumultiplied with a window function ration/bandwidth. The radar echo profile is determined by transforming (2) to the frequency domain. Now, we can neglect the negative frequency terms because of the real input signals. Seas the variable for the frequency, the Fourier translecting form of (2) is obtained as

(5) Since is an echo profile function (amplitude over distance), we substitute in a final step by the distance variable and extract the root of the squared amplitude values. With and

(6)

where is the radian sweep bandwidth and is the sweep duration, we get the distance dependent echo profile function for a single transponder located in distance as (7) If there are many transponders or if signals are transmitted via multiple reflections, the actual echo profile can be modeled by a superposition of several echo components as follows: (8)

(3) The obtained spectrum has a symmetric envelope and two spectral lines centered around the backscatter modulation fre. is the envelope of a single echo. As menquency tioned above, is determined by the radar bandwidth, as well as by the system transfer function and the window function used to taper the measured signal before Fourier transform. , as well as the It is worth noting that the precise value of actual phase are unknown to the radar unit. Thus, neither a

In Fig. 2, the typical two-sided echo profile is depicted. The multiple echoes are caused by multipath reflections. The singlesided profile can be calculated based on (5) and (8). III. BASIC IDEA OF ISAS RADAR POSITIONING The geometric condition of a typical ISAS radar measurement setup is depicted in Fig. 3. For simplicity, we only treat a 2-D problem, but extension to 3-D can easily be made. The movement of the transponder is starting at the origin of a virtual

VOSSIEK et al.: ISAS RADAR CONCEPT

2449

The basic idea behind the approach is to correlate an echo promeasured by radar unit with a hypothetical echo file . This echo profile is equivalent to the profile profile of a hypothetical radar located at that would mea. If the sure an echo profile resulting from a transponder at is reassumption that the radar unit is located in will equal the actual ally true, the echo hypothesis measured radar echo profile and, thus, the correlation cowill have a high amplitude. For a false assumption efficient of the position, the correlation will be low. To compare/correlate the two signals, we utilize an inverse filter approach. In the frequency domain, this correlation filter kernel can be written as (9) Fig. 3. Geometric measurement conditions. One or several radar units are mounted in a known position and the transponder that is to be localized is moving along a trajectory.

relative coordinate system. The transponder is moving along a trajectory defined by the movement of a mobile object. At sev(with ), eral arbitrary transponder positions (with ) the secondary radar units located at measure the respective distances, as described in Section II. The radar unit and transponder are moving relative to each other, resulting in a typical inverse synthetic aperture radar (SAR) situation. We demonstrated in Section II that our secondary radar concept leads to transponder signals that are equivalent to the signal of a point-scatterer received by a primary radar. Thus, it is possible to determine the relative position between the secondary radar unit and the backscatter transponder based on common SAR approaches, e.g., as described in [12]. It can be assumed that the positions of the radar units are known a priori in an absolute world coordinate system. Thus, the measuring task—i.e., to derive the position of the transponder—requires calculating the relative distance and orientation of the transponder coordinate system with respect to the absolute world coordinate system. Most importantly it is not required to know the transponder trajectory points a priori in world coordinates. It is sufficient to determine the relative movement of the transponder with respect to an arbitrary starting point. Thus, rather simple assisting inertia sensors can be applied to determine the trajectory. The possible size of the resulting synthetic aperture is limited by the integral error of the assisting relative sensors. Subsequently it will be shown that the proposed synthetic aperture positioning technique can dramatically improve the performance of wireless positioning systems. IV. RECONSTRUCTION ALGORITHM Based on the geometry depicted in Fig. 3, we can derive a spatial optimal filter to calculate a probability density function as follows. For simplicity, (i.e., an image function) we only treat a measurement situation with one radar unit, but an extension to more complex setups with several radar units can easily be made. The ISAS radar reconstruction concept we applied is comparable to the algorithm presented in [13]–[15].

where is the spatial frequency related to distance , is a complex valued correlation coefficient, and and are the Fourier transforms of and , respectively. The above mentioned correlation is done for all aperture positions. All correlation coefficients are of the sum then summed up, and the absolute value is derived as follows: (10) If we assume an additive white Gaussian noise channel model for the line-of-sight component of the transmitted signal, can be calculated based on the Fourier transform of (7). For simplicity, we assume a broadband ideal radar signal without distortions in the considered frequency range. Using , we obtain

(11) where is the distance between the assumed radar position and the transponder position , i.e., (12) The attenuation factor is usually a function of . In a . If we simple channel model, we can approximate include this channel model in our correlation filter, we obtain

(13) Clearly, the integral (13) equals an inverse Fourier transform. Hence, we can get a simple expression in the spatial domain for the correlation coefficient as follows: (14) The interpretation of this result is straightforward. For proofing an assumed radar position hypothesis with a given

2450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

transponder at position , just check in the measured echo , profile if there is a strong echo amplitude at distance and if the echo phase equals the expected phase. The final spatial probability density function is (15) The probability density function represents the probability that the position hypothesis really matches the true geometric condition, i.e., that . Hence, the highest value of is directly related to the most likely position of the radar unit in the relative transponder coordinate system. Echoes of radar units and noise not located in the assumed position components will be summed up incoherently. Incoherent summation will cause destructive interference and, thus, results in . Since the multipath conditions will a small value of differ from aperture point to aperture point and do not match the assumed channel model, multipath reflections will be summed up incoherently, and thereby weakened, as well. It can be shown that the point-spread function of (15) equals the beam pattern of a physical antenna with size and geometry of the synthetic aperture. Hence, (15) could also be interpreted in yields more another way. Determining the maximum or less the same result that you would obtain by mechanically moving a physical antenna (with size and geometry of the synthetic aperture) and pointing in the direction where the received signal amplitude is maximal.

Fig. 4. Result of a typical ISAS radar image and position reconstruction. The radar unit was located at = 6 m, = 8. The transponder was moved on a curved trajectory 15 cm in the -direction and 25 cm in the -direction. Based = 32 measured echo on (15), the image function was derived. In our case, profiles ( ) are superimposed. The image maximum (i.e., the darkest area in the image) represents the most likely spatial position of the secondary radar unit relative to the transponder trajectory/aperture.

x

x

y

N

e d

y

V. SIMULATION RESULTS To verify our approach, we simulated a typical indoor measurement situation. For comparison, both our new technique and a standard multilateration approach are used to determine the position. The simulated situation was as follows. The radar unit was lom and m in our world-coordinate system. cated at The transponder was moved on a curved trajectory 15 cm in the -direction and 25 cm in the -direction (see Fig. 4). Radar signals were simulated at arbitrary spaced movement steps of approximately 10-mm length. Thus, in total, we get 32 measurements (i.e., 32 radar echo profiles) along the trajectory. To illustrate the effect of multipath reflections and noise, typical disturbances of an indoor transmission channel were included. First, an additional ideally reflecting surface was assumed to be m; m). The strong multilocated at position ( path signals caused by this reflector were given the same amplitude as the signals of the direct transmission path. In addition, clutter noise with many small additional echoes of random distance and random amplitude was included. The signal-to-noise ratio of clutter and white noise were set to 6 and 15 dB, respectively. A characteristic simulated radar echo profile is presented in Fig. 5(a). The distortions lead to a standard deviation of the target echo position (i.e., the radial uncertainty of the position of the maximum of the target echo) of 27 cm. In Fig. 4, the simulation result for the ISAS radar image reconstruction is illustrated. It is evident that the reconstructed image matches the given geometric simulation precisely. For

Fig. 5. Radar echo profile: (a) without and (b) with reconstruction. The real distance between the radar and transponder was 6.30 m. With the ISAS radar reconstruction approach, the multipath distortions [visible in Fig. 5(a)] are reduced remarkably and the reconstructed transponder echo [see Fig. 5(b)] reveals the correct transponder distance. The uncertainty (standard deviation) of the distance measurement in (a) was 27 cm. After reconstruction (b) the statistical error was reduced to 0.9 cm.

visual observation clarity, the relative image coordinate system is shifted to the world coordinate system. The image distribution around the radar unit position gives a good idea of the point-spread function of the system for the given conditions. The 6-dB width of the point-spread function is related to the resolution of the reconstruction approach. It was and lateral resolushown in [13] that the radial resolution of this type of reconstruction algorithm are approxition mately given as

and

(16)

respectively. The radian radar sweep bandwidth is denoted as , is the phase velocity, is the radar signal wavelength, is the aperture size perpendicular to the direction of radiation, and

VOSSIEK et al.: ISAS RADAR CONCEPT

2451

TABLE I COMPARISON OF THE ISAS RADAR POSITIONING TECHNIQUE AND STANDARD MULTILATERATION-BASED LOCALIZATION

Fig. 6. Localization result with multilateration. 1000 measurement were simulated. Each localization result is illustrated by a cross in the image. Due to the poor geometric dilution of precision given by the 32 measuring points along the trajectory, the localization uncertainty is very high. By comparing Figs. 4 and 6, the great benefit of the ISAS radar image and position reconstruction is evident.

is the mean distance between the radar and the transponder. In most measurement situations, the actual positioning accuracy [i.e., the position accuracy of the maximum determined in ] is notably better than the resolution. In Fig. 5, a typical echo profile before and after ISAS radar reconstruction is illustrated. The echo profile in Fig. 5(b) is calculated along the dotted trajectory between the radar unit and the aperture end point (see Fig. 4). The results impressively show the benefit of our novel approach. The multipath reflections and clutter noise that distort the real transponder echo at 6.30-m distance in the raw data signal [see Fig. 5(a)] are suppressed efficiently in Fig. 5(b). With the use of the ISAS radar concept, the real transponder distance is revealed precisely. The standard deviation of the measured position of the maximum of the target echo is reduced from 27 cm for the raw radar echo profile [see, e.g., Fig. 5(a)] to 0.9 cm in the reconstructed echo profile [see, e.g., Fig. 5(b)]. The common multilateration approaches usually applied for wireless positioning extract only the information of the raw signal in Fig. 5(a). The distorted position of the echo maximum and, therefore, an erroneous scalar distance value, is used as basis for all subsequent evaluation steps. For comparison, Fig. 6 shows the localization result of a common multilateration approach for exactly the same measurement conditions as previously described for Fig. 4. The inertial sensors are assumed to be ideal so that the transponder positions are considered to be exact relative to the starting point of the movement. Due to the short baseline/trajectory, the geometric condition is quite inappropriate for multilateration and the dilution of precision is very poor. The positioning results are visible in Fig. 6. The used multilateration method was the heuristic nonlinear optimization method based on a sequential quadratic programming technique introduced in [16]. With this approach, it is possible to combine all 32 measurements and obtain the optimal position accuracy in a least squares sense.

To get a significant statistical database, 1000 simulation runs were made for both methods. In each simulation, the spatial position of the secondary radar unit relative to the transponder trajectory was determined and compared to the true position value. Table I shows the simulation results for both localization concepts. The results prove the excellent performance of our novel ISAS radar positioning technique for the given setup. The poor performance of the multilateration approach is due to the unfavorable conditioning with closely spaced measurement positions. In practice, multilateration is mostly used with spatially distributed measurement positions with a much better geometric dilution of precision. Nonetheless, the results emphasize the benefit of our proposed method especially in difficult measurement situations. VI. POSSIBLE SOURCES OF ERROR In order to achieve good lateral resolution in addition to the radial resolution with a single radar unit, it is necessary to have a movement component perpendicular to the measurement direction. Nevertheless, to suppress multipath reflections with the ISAS radar positioning concept, any movement large enough to have a diversity effect is sufficient. The accuracy of our approach naturally depends on the accuracy of the assisting relative sensors. They determine the accuracy of the assumed aperture positions. Statistical errors caused by the relative sensors have only a little impact as long as the aperture positions are known with an uncertainty small compared to the wavelength of the radar signal. If this condition is given, (15) will still superimpose the radar echoes almost coherently and the sum (15) is nearly not affected. The fairly short trajectory reduces the accuracy requirements for the inertia sensor. For a 5.8-GHz system with a wavelength of approximately 5 cm, the above-mentioned requirement can usually be met with low-cost sensors. More critical than statistical position errors are drift errors of assisting relative sensors. If the measured and real aperture positions drift apart from measurement to measurement, the overall positioning accuracy will be reduced. This drift problem is, of course, not specific for the ISAS radar positioning technique. Drift is a general challenge for every hybrid navigation system. For an efficient application of our ISAS radar positioning technique at 5.8 GHz, we need apertures with a length of approximately 10 cm. Providing typical speeds of movements of people and industrial transport vehicles of approximately 0.1–10 m/s, we get measuring times below 1 s. For such short measuring time and short apertures, common inertial platforms and odometer can determine relative movements

2452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 7. Measurement setup. (a) Scale model truck with transponder antenna (Radiall/Larsen 5.8 GHz, 6 dBi, omni-directional antenna). (b) Geometric arrangement of radar unit and transponder and truck.

truck (scale 1 : 14) in the -direction, an inverse synthetic aperture of approximately 0.1-m length was created. The relative vehicle movement was determined with a Kalman filter that evaluates odometric and steering angle data of the model truck. The assisting relative sensor platform output the aperture positions with an uncertainty around 1–3 mm. The movement vector and time stamp of the measurement are transferred with a wireless interface to the radar unit. The measurement was done in a typical multipath environment, in our case, a small office room with many reflecting items. In Fig. 8, the result of our measurement after image reconstruction is illustrated. It is obvious that the measurement results nicely comply with the simulations demonstrated in Fig. 4. The artifacts on the right-hand side of the image are caused by multipath reflections that interfere with the transponder line-of-sight echo. However, the artifacts are spatially separated and barely affect the localization result. It is visible that the relative position and angle between the transponder and radar is measured precisely. In our measurements, we achieved a 2-D localization accuracy better than 10 cm. This value is quite remarkable for the wireless positioning with only a single radar unit and a measurement bandwidth of only 150 MHz. If more radar units and post-processing algorithms would be involved in the evaluation, the results could be further improved. VIII. CONCLUSION

Fig. 8. Measurement result of an implementation of the ISAS radar positioning technique for vehicle localization. The white cross is illustrating the maximum of the image function. This maximum represents the most likely spatial position of the secondary radar unit relative to the transponder trajectory/aperture.

with an uncertainty in the millimeter range. This accuracy is completely sufficient for our synthetic aperture technique. If more radar units are involved in the measurement and if the radar units are measuring from different directions, the positioning accuracy can be further improved. This setup is the normal situation in a deployed localization system in order to get a good dilution of precision. In addition, the usual techniques known from a global positioning system (GPS)-based integrated navigation system—e.g., strapdown navigation—can be used to deal with the drift of the assisting relative sensors [17], [18]. Nonetheless, it is shown in Section VII that excellent results can be obtained with a single radar unit and rather simple assisting sensors. VII. MEASUREMENT RESULTS To test our approach, we built a 5.8-GHz secondary radar and backscatter transponder, as depicted in Fig. 1. The frequency modulated continuous wave (FMCW) radar sweep bandwidth and duration were 150 MHz and 10 ms, respectively. Our experimental measurement setup is depicted in Fig. 7. The radar m unit was mounted at the world-coordinate position and m. Based on the movement of a scale model

In this paper, the theoretical background and first experimental results of the novel ISAS radar wireless positioning technique have been presented. It was shown that this technique provides a novel efficient way to combine data from a wireless positioning system with data from assisting sensors. Based on this technique, multipath distortions can be reduced remarkably. Due to the robustness against multipath reflection, a wide range of novel indoor wireless local positioning applications becomes feasible. Localization of RFID backscatter transponders, vehicle and people indoor navigation, and security systems are just a few examples of possible areas that could benefit from an employment of the ISAS radar wireless positioning technique. Our future developments will focus on the challenges to implement the proposed technique on handheld mobile devices like handheld indoor navigation and information systems and RFID readers. The adaptive compensation for offset and drift of accelerometers and gyros, as well as the reduction of the computational costs of the reconstruction algorithm, will be central tasks with respect to the above-mentioned application areas. REFERENCES [1] M. Vossiek et al., “Wireless local positioning,” IEEE Micro, vol. 4, no. 4, pp. 77–86, Dec. 2003. [2] J. Hightower and G. Borriello, “Location systems for ubiquitous computing,” IEEE Trans. Comput., vol. 34, no. 8, pp. 57–66, Aug. 2001. [3] S. Gezici et al., “Localization via ultra-wideband radios: A look at positioning aspects for future sensor networks,” IEEE Signal Process. Mag., vol. 22, no. 4, pp. 70–84, Jul. 2005. [4] C. Zhang, M. J. Kuhn, B. C. Merkl, M. R. Mahfouz, and A. E. Fathy, “Development of an UWB indoor 3-D positioning radar with millimeter accuracy,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 106–109. [5] M. I. Skolnik, Introduction to Radar Systems, 3 ed. New York: McGraw-Hill, 2002.

VOSSIEK et al.: ISAS RADAR CONCEPT

[6] W. C. Chung, D. S. Ha, and D. Ni, “Performance evaluation of an ultra wideband radio location system with directional beacon,” in IEEE Radio Wireless Conf., Sep. 2004, pp. 59–62. [7] M. S. Grewal, L. R. Weill, and A. P. Andrews, Global Positioning Systems, Inertial Navigation, and Integration. New York: Wiley, 2001. [8] A. Koelle, S. Depp, and R. Freyman, “Short-range radio-telemetry for electronic identification using modulated backscatter,” Proc. IEEE, vol. 63, no. 8, pp. 1260–1260, Aug. 1975. [9] R. J. King, Microwave Homodyne Systems. London, U.K.: Peregrinus, 1978. [10] M. Vossiek and P. Gulden, “Switched injection locked oscillator: A novel versatile concept for wireless transponder and localization systems,” IEEE Trans. Microw. Theory Tech., submitted for publication. [11] M. Vossiek, R. Roskosch, and P. Heide, “Precise 3-D object position tracking using FMCW radar,” in Proc. 29th Eur. Microw. Conf., Oct. 1999, vol. 1, pp. 234–237. [12] S. Mehrdad, Synthetic Aperture Radar Signal Processing, 1 ed. New York: Wiley, 1999. [13] H. Ermert and R. Karg, “Multifrequency acoustical holography,” IEEE Trans. Sonics Ultrason., vol. SU-26, no. 4, pp. 279–285, Jul. 1979. [14] M. Vossiek, V. Mágori, and H. Ermert, “An ultrasonic multielement sensor system for position invariant object identification,” in IEEE Int. Ultrason. Symp., Nov. 1–4, 1994, vol. 2, pp. 1293–1297. [15] M. Younis, C. Fischer, and W. Wiesbeck, “Digital beamforming in SAR systems,” IEEE Trans. Geosci. Remote Sens., vol. 41, no. 7, pp. 1735–1739, Jul. 2003. [16] J. C. F. Michel, M. Christmann, M. Fiegert, P. Gulden, and M. Vossiek, “Multisensor based indoor vehicle localization system for production and logistic,” in Proc. IEEE Int. Multisensor Fusion and Integr. for Intell. Syst. Conf., Heidelberg, Germany, 2006, pp. 553–558. [17] R. M. Roberts and R. M. Roges, Applied Mathematics in Integrated Navigation Systems, 2 ed. Washington, DC: AIAA, 2003. [18] M. S. Grewal, L. R. Weill, and A. P. Andrews, Global Positioning Systems, Inertial Navigation, and Integration. New York: Wiley, 2007. Martin Vossiek (M’96–SM’05) was born in Dortmund, Germany, in 1964. He received the Dipl.-Ing. degree and Ph.D. degree in electrical engineering from Ruhr-University Bochum, Bochum, Germany, in 1991 and 1996, respectively. In 1996, he joined Siemens, Munich, Germany. From 2000 to 2003, he headed the Microwave Systems Group, Siemens Corporate Technology, where he was responsible for product developments in the field of commercial microwave sensor and communication systems. In 2003, he joined the Institute of Electrical Information Technology (IEI), Clausthal University, Clausthal-Zellerfeld, Germany, where he is currently a Professor. He has authored or coauthored approximately 50 referred papers. He is a member of the Editorial Board of FREQUENZ. He holds appoximately 70 patents. His research concerns the areas of sensors, microwave techniques, and communications. Mr. Vossiek is a member of the IEEE Technical Committee MTT-16 and member of the German IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter Executive Board. He is also a member of the two technical committees 7.3 “Microwave Technology” and 7.4 “Localization” of the Association for Electrical, Electronic and Information Technologies (VDE/ITG). He has been a member of Technical Program Committees for numerous international conferences. He has served on the Review Boards of technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ULTRASONICS, FERROELECTRICS,

2453

AND FREQUENCY CONTROL, and the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PART II: ANALOG AND DIGITAL SIGNAL PROCESSING. He was the re-

cipient of several international awards including the European Microwave Conference (EuMC) European Microwave Award (1996), the EEEFCOM Innovation Prize (2004), and The European Microwave Association (EuMA) Radar Prize (2004).

Alexander Urban was born in Ulm, Germany, in 1978. He received the Dipl.-Ing. degree in process engineering from the Clausthal University of Technology, Clausthal-Zellerfeld, Germany, in 2007, and is currently working toward the Ph.D. degree at the Clausthal University of Technology. In 2006, he joined the Institute of Electrical Information Technology (IEI), Clausthal University of Technology, where he is currently a Research Assistant. His main research areas are microwave and ultrasonic localization and imaging systems.

Stephan Max was born in Duisburg, Germany, in 1978. He received the Dipl.-Ing. degree in mechanical engineering/mechatronics (with distinction) from the Clausthal University of Technology, Clausthal-Zellerfeld, Germany, in 2004, and is currently working toward the Ph.D. degree (in the field of wireless local positioning) at the Clausthal University of Technology. Since 2005, he has been with Clausthal University of Technology, where he is involved in the field of wireless local positioning under a corporate research project of Symeo GmbH, Munich, Germany, and the Institute of Electrical Information Technology. His main research activities are integrated navigation, multirate systems, Kalman filtering, and observation and prediction systems for target tracking and localization.

Peter Gulden (M’07) was born in Siegen, Germany, in 1972. He received the Ph.D. and Dipl.-Ing. degrees from the University of Siegen, Siegen, Germany, in 2002 and 1998, respectively, and the Master’s degree in electrical engineering from Purdue University, West Lafayette, IN, in 1996. From 1995 to 1996, he was with Purdue University. In 2002, he joined the Corporate Technology Microwave Group, Siemens, as Research Engineer and Project Manager. In 2005, he cofounded Symeo GmbH (a Siemens spinoff, which focuses on local positioning technology), Munich, Germany, where he is currently Chief Technical Officer (CTO). He has authored or coauthored several papers. He holds 13 patents. His main research interest is system theory and signal processing for high-frequency and optical sensors. Dr. Gulden is a reviewer for the IEEE TRANSACTIONS ON MEASUREMENT TECHNOLOGY and the IEEE SENSOR JOURNAL. He was the recipient of a 1995–1996 Fulbright Scholarship. He was also the recipient of the 2002 EuMA Radar Prize.

2454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography Andreas Fhager, Member, IEEE, and Mikael Persson

Abstract—A study is presented where the use of dielectric a priori data in the reconstruction algorithm for microwave tomography is investigated. A new algorithm has been developed that includes the a priori dielectric data in the reconstruction process. This development is made as an extension to a conventional conjugate-gradient reconstruction algorithm. This paper further contains a numerical study of the new algorithm where the results indicate that by taking the a priori data into account, the accuracy and ability to resolve small objects can significantly be improved. This study was motivated by the development of an application for biomedical microwave imaging where it is investigated how knowledge of the tissue properties being imaged potentially can be used to improve the accuracy in the reconstruction. Index Terms—a priori data, dielectric object detection, finite-difference time-domain (FDTD) method, gradient method, inverse problem, microwave tomography.

I. INTRODUCTION ICROWAVE applications for imaging biological tissues had a breakthrough in 1979 when Jacobi et al. [1] developed a water-immersed antenna system that was able to successfully image the canine kidney [2]. The research activities that followed included experimental microwave imaging based mainly on linear reconstruction algorithms utilizing the Born and the Rytov approximations [3]–[7]. However it was shown that the best performance of the linear reconstruction algorithms was limited to small low contrast objects [8]–[10]. The following development has consequently mainly been focused on iterative nonlinear reconstruction algorithms resulting in more computationally intensive algorithms, but also in better image quality [11]–[25]. The inverse electromagnetic problem that has to be solved in the image reconstruction process is inherently ill posed and nonlinear. Therefore, an iterative reconstruction algorithm has to be used, which involves a cost function that is either maximized or minimized. However, the nonlinearity of the problem often causes the algorithm to get trapped in a local minimum leading to an incorrect reconstruction [26]. To overcome this problem it can be beneficial to use a frequency-hopping technique where low-frequency content of the electromagnetic pulse

M

Manuscript received May 23, 2006; revised November 28, 2007 and June 13, 2007. This work was supported in part by the Swedish Foundation for Strategic Research and by the Swedish Research Council and by the National Graduate School in Scientific Computing, Sweden. The authors are with the Department of Signal and Systems, Chalmers University of Technology, SE-412 96 Göteborg, Sweden (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.908670

is used to reduce the nonlinearities. This is then followed by using successively higher frequency content to improve the resolution [27]. Another possibility is to introduce a priori information about the object being imaged [16], and [28]–[34] describe methods suggesting how this can be done. The problem of getting trapped in a local minimum can often be helped with a clever initial guess or by starting the reconstruction from an ideal model of the targets under reconstruction. This is, for example, viable in biomedical imaging where the organs and tissues can be assumed known [28], or when testing an object for a defect when the unperturbed object otherwise is known [29]. A method for introducing a finite number of different dielectric materials based on Markov modeling and the Bayesian framework has been suggested [30]. Yet another technique that can be understood as a shape recognition method, the level set method, was suggested [31]. Later references on the same method include [32]–[34]. In ultrasonic imaging the use of a priori data in the image reconstruction process has been investigated by introducing a material classification technique in a conjugate gradient reconstruction algorithm [35], [36]. It was found that the convergence rate and the accuracy of the reconstruction was improved at the same time as the computational cost was reduced. To overcome the ill posedness, it is furthermore necessary to apply a regularization procedure that is introducing constraints on the reconstructed image. An effective regularization should make use of constraints corresponding to known physical properties of the object being imaged. The Tikonov method is an example of a method that is often used to impose a smoothness condition on the reconstruction [37]. Other methods are, for example, edge-preserving procedures [38] and methods based on singular value decomposition [39]. The regularization procedure can also be considered as a way to utilize a priori information about the target properties in the reconstruction since the regularization should favor known physical properties of the target. A slightly different regularization approach was taken with the purpose of limiting the reconstruction space. A twostep method was suggested consisting of an initial reconstruction method to give a rough estimate of the targets. This was taken as input to a second step where the reconstruction domain was refined and localized to small regions surrounding only the initially estimated objects [40]. This paper is based on our earlier work on microwave tomography where we made a comparative study between the chirped-pulse microwave computed tomography technique, i.e., a linear reconstruction method, and an iterative nonlinear algorithm [10]. Slightly different variations of the latter algorithm are also described by several other authors [41]–[44]. Using

0018-9480/$25.00 © 2007 IEEE

FHAGER AND PERSSON: USING a priori DATA TO IMPROVE RECONSTRUCTION OF SMALL OBJECTS IN MICROWAVE TOMOGRAPHY

this algorithm, results from our experimental imaging prototype were published in [45]–[47]. In [48], we investigated the relation between the size of the targets being imaged and the frequency content of the irradiated pulse and its impact on the accuracy of the reconstruction. A multistep process for simultaneous reconstruction of differently sized objects was also developed in the same paper. In this paper, a numerical study is presented investigating how a priori dielectric data can be used to improve the reconstruction of small objects. For this purpose, our previous nonlinear iterative algorithm has been modified to take a priori data into account. II. DERIVATION OF THE RECONSTRUCTION ALGORITHM Here, the derivation of the new tomographic reconstruction algorithm is presented.

2455

is the calculated field from the computawhere tional model in the current iteration of the inversion algorithm. is the measured data. However, in this study, simulations are used to also generate the measured data. Furtheris the number of transmitters and is the number of more, receivers. To minimize the functional, gradients are computed and used in a conjugate-gradient algorithm [49]. The gradients are derived by considering a small increment in the dielectric , , and the corresponding change in the funcprofile tional is calculated by means of a perturbation analysis. In the expressions for the gradients, the solution of the adjoint problem of Maxwell’s equations is utilized where the residual between the measured and simulated fields on the receivers are used as the driving source. The Fréchet derivative of the functional can thus be written (4)

A. Original Inversion Algorithm An iterative electromagnetic time-domain inversion algorithm is described here, modified to incorporate a priori knowledge of the shape and dielectrics of the objects being imaged. The a priori algorithm has been developed as an extension of this algorithm, which is similar to what is used in our microwave tomography experiment [45]–[47]. The foundation of this algorithm is based on solving the Maxwell’s and the adjoint Maxwell’s equations in order to compute gradients, which are used to update the dielectric profile via the conjugate-gradient method. For completeness, a brief outline of the original algorithm is given, followed by a more detailed description of the present extensions. The original version of the algorithm begins with an assumption that measurements of transient pulses have been conducted for several transmitter/receiver combinations surrounding the target region. The irradiated field is based on a sinusoidal carrier with a frequency and a Gaussian amplitude modulation (1) where defines the pulsewidth. When this pulse is sent from a single transmitter, labeled by , the electric field on the th receiver ( ) is correspondingly denoted (2) In the reconstruction procedure, an initial dielectric distribution is assumed. If no initial approximation of the target is known, a uniform background is used. This background could be air or a matching liquid, used to decrease the contrast and improve the coupling between the object being imaged and the background medium. It is further assumed that the material is nonmagnetic , which is true in general for biowith the permeability logical tissues. The objective of the reconstruction procedure is to minimize the cost functional , which is defined as

(3)

where the inner product is defined as a surface integral over the space under reconstruction (5) The gradients can be written

(6)

(7) is the numerically computed -field in the where is the solution to the reconstruction domain and adjoint problem. The gradients are thus defined for each grid cell constituting the reconstruction domain. A scaling parameter is necessary to compensate for the different scaling of the gradients originating from the different units of the two parameters. The scaling is defined as

The amplitude spectrum

(8) of the measured field is (9)

where is the measured field in the frequency domain. When the gradients calculated in (6) and (7) have been updated for the conjugate-gradient method, a line search in the negative direction of the gradient is performed in order to find the minimum of the functional. For this purpose, the method of successive quadratic interpolation is used [52]. Successively the images are thus refined and the process is iterated until the cost functional has converged. A regularization scheme also has to be included in the reconstruction algorithm to turn the ill-posed

2456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

inverse problem into a well-posed problem. For this study, we use the Tikonov regularizing scheme [37]. B. A priori Inversion Extension In order to account for the a priori information about the dielectric properties of the tissue, subject to imaging, the algorithm described in Section II-A has been modified and is described in the following. The goal with the modification was to find and locate small objects with given dielectric parameters inside a larger object immersed in a matching liquid. The dielectric parameters of the large object were assumed to be known from a reconstruction using the algorithm described above with a frequency content deduced to only resolve the large scale properties. See [48] for a more detailed discussion. The properties of the matching liquid can, on the other hand, be measured directly with a dielectric probe. Thus, the background and large-scale objects can be assumed known and taken as a starting point for these studies, reducing the problem to finding the small inclusions in this media. The starting point of the proposed extension of the algorithm were gradients computed using (6) and (7) and normalized according to (10)

Fig. 1. Dashed line shows a sketch of an object found from a determination of the optimal threshold. The solid line shows the object after converting it to a circular object.

point and the contour of the object was described by the ra, as denoted by the dashed line in Fig. 1. dial parameter number of permittivity objects. For the conThere were number of objects labeled with ductivity, there were also index . To simplify the implementation in this initial study of the algorithm, the objects were assumed to be circular. This was implemented such that the area together with the mass center of , were computed. each object found These objects were then replaced with circular objects having the same areas and center of mass points. See the object drawn with a solid line in Fig. 1. The new objects were denoted

(11) According to the previous discussion, it was assumed that the background dielectric properties of the reconstruction domain and . It was also assumed were that the object under reconstruction had the permittivity and the conductivity . The case when

(18) (19)

was first considered. A threshold level ( ) in the normalized gradient field had to be determined by means of a minimization of the objective functional with the threshold level as the search parameter. Since the gradients were normalized according to (10) and (11), the threshold level could be found in the range . Objects were identified in the positions where

To find the threshold level , the method of successive quadratic interpolation was used to determine the level minimizing the objective functional in the following way. Initially , three different threshold levels, e.g., were taken and the resulting objects were placed in the reconstruction domain. The corresponding objective functional values were computed and assumed to be points in a quadratic function. The minimum point of the quadratic function was calculated and used to replace the most distant of the three previous points. In this way, the threshold level was successively refined and computed in only a few iterations. In case the dielectric values of the object were lower than the background values,

(14) (15)

(20) (21)

(12) (13)

and the dielectric values were set in these positions. The remainder of the grid cells in the computational domain were simply assigned the background dielectric values and . A number of objects could thus be identified and denoted (16) (17) The objects found in the permittivity and in the conductivity domain were treated separately. Consequently, the number and location of the objects could differ between the two properties. For the permittivity, the object labeled had its mass center in

instead a threshold level , where used and the gradients were normalized as

could be

(22) (23) In this case, the dielectric values of the objects were assigned to the regions where (24) (25)

FHAGER AND PERSSON: USING a priori DATA TO IMPROVE RECONSTRUCTION OF SMALL OBJECTS IN MICROWAVE TOMOGRAPHY

cells for each considered object. These gradients, i.e., , were computed as

2457

and

(28) (29)

Fig. 2. Solid line in this figure shows a typical behavior of the gradient, as computed in (6), when the current iteration of the reconstructed object (the dashed line) is somewhat misplaced to the left of the true position. The example here shows a cross section of the gradient through the center of the object. The gradient in this case shows that the object should be moved to the right in this figure. With a corresponding misplacement of the conductivity object, the principal behavior of the gradient computed for the conductivity in (7) is the same.

The first time in the iteration process that an object was identified using the method with threshold levels, it was usually not found in the correct position and did not have the correct size. It was thus necessary to deduce a mechanism for updating the size and location of the objects. When an object has been identified and inserted into the computation domain, new gradients were therefore computed according to the same method as before, i.e., (6) and (7). From these gradients, additional gradients were derived and used to optimize the size and position of each object. The gradients for optimizing the radius of each object and in conductivity were computed in permittivity and , respectively, over the grid as a sum of cells in the computation domain corresponding to the objects and . The superscript on the gradients here denote the variable being optimized, i.e., the radii for the permittivity and conductivity objects, respectively. For each object and , the gradients were (26) (27)

A normalization of these gradients were also made with and being the number of grid cells within the corresponding object. In case a reconstructed object was misplaced such that some portion of the reconstructed object was placed within the area of the original object, the gradients computed from (6) and (7) in principal look as sketched in Fig. 2. This figure shows a cross section through the center of the reconstructed object and the corresponding gradient where the dashed line represents the reconstructed object and the solid line denote the gradient. A gradient like this indicated that the object should move to the right, but how much it should move was determined in an optimization procedure. As a measure of the required movement compared to other objects and as a gradient to use for the minimization of the cost functional, we have used the spatial gradient of and and calculated the average value over the grid

which gave an - and a -component to indicate the relative movement in the - and -direction, respectively. Here, the superscript on the gradients denote the variable being optimized, i.e., the positions for the permittivity and conductivity objects, respectively. As gradients for moving and for changing the size of each object have been computed, they could be used to express the optimized iteration of the reconstruction as (30) (31) In these expressions, was given as a solution to the line search optimization where was the search parameter such that the objective functional in (3) was minimized as follows:

(32) It was also necessary to include scaling parameters , , and to compensate for the different scaling of the gradients , , , and . An appropriate choice of the scaling parameters was crucial for the performance of the algorithm and the ability to simultaneously reconstruct all the parameters. In this study, these parameters have been determined empirically from a number of test cases. In general, these scaling parameters turned out to vary with different background tissues, the objects being imaged, and the spectral content of the electromagnetic pulse. It was sometimes very difficult to find the optimal scaling parameters and one should, therefore, consider a more advanced adaptive and dynamic scaling procedure, and alternatively divide the reconstruction in several independent steps where one parameter is optimized at the time. A similar conclusion was also drawn in [40]. With these two mechanisms in the algorithm, i.e., one to find new objects and one to adjust the size and position of an already found object, the entire reconstruction algorithm can be summarized in the following steps. Step 1) Compute gradients according to (6) and (7). Step 2) Determine the threshold level to find the objects. Step 3) Compute new gradients, again with (6) and (7).

2458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Step 4) Optimize the position and size of the objects using the new gradients in (26)–(29). Step 5) Iterate Steps 1)–4) until no more objects are found and the objects found do not move and their radii are not changed between the iterations. III. NUMERICAL SIMULATIONS A. Forward Modeling In this study, the finite-difference time-domain (FDTD) numerical method was used to numerically solve the Maxwell equations [50]. This was necessary both for simulating measurement data, which, in this paper, is used to replace real experimental data, and for the electromagnetic modeling in the inversion algorithm. The FDTD model used was a 2-D TM-mode model, utilizing generalized perfectly matched layers to terminate the computational domain [51]. This absorbing boundary condition can, unlike Berengers’ original version of the perfectly matched layers, handle lossy background material. Furthermore, in the modeling, the sources were implemented as hard point sources and, at the receiver locations, the field values were sampled in the grid cell corresponding to the receiver location.

Fig. 3. Test objects used to demonstrate the algorithm are shown. The circular targets have radii 4, 8, 12, and 16 mm, respectively, permittivity  = 3:0 and conductivity  = 0:1 S/m.

IV. RESULTS A. Four Objects in Vacuum

B. Inverse Modeling For the simulations shown here, measurement data have been generated by numerical FDTD simulations and images have been reconstructed with the algorithm described above. To avoid the inverse crime in the reconstruction process, the simulated scattering data were generated with a grid cell size 1 mm and were reconstructed on a 2-mm grid. The inverse crime is performed if the reconstruction is made on the same grid as is used to generate the synthetic scattering data, and can result in overly optimistic reconstructions. Furthermore, 17 antennas were placed in a circle with a radius of 100 mm and the reconstructions were made inside a concentric circle with a radius of 90 mm. The scattering data were calibrated according to (33) is the measured data at frequency , here simis a backulated with 1-mm resolution, and ground measurement with the scattering objects removed, also is a corresponding made with 1-mm resolution. background simulation made with the same cell size as used in the reconstruction procedure, i.e., 2 mm. Finally, is the calibrated data that were used in the reconstruction algorithm and the calibration was made for each frequency component. This is the same calibration procedure as used in our experimental setup [47], and for these simulations, it means that the scattering data were simulated with 1-mm resolution and added to the direct propagation between the antennas made with 2-mm resolution. The reason for performing this calibration procedure was to reduce the numerical differences as much as possible between data generated with different grids without committing the inverse crime in the reconstructions.

To demonstrate the performance of the proposed algorithm, a numerical test case was set up consisting of four circular targets with different diameters. Each object was assigned a rela, conductivity S/m, and rative permittivity dius 4, 8, 12, and 16 mm, respectively (see Fig. 3). Measurement data were generated by transmitting the electromagnetic pulse according to (1) into the imaging domain. The pulse had a center frequency of 2.5 GHz and a full-width half-maximum bandwidth of 2.5 GHz. Iteration number 5, 10, 30, and 50 of the dielectric reconstructions based on the gradients in (6) and (7) are shown in Fig. 4. For comparison, the same iterations of the reconstructions made with the extended algorithm, where the a priori dielectric values and the circular shape were taken into account, are shown in Fig. 5. The relative error as a function of iteration number is plotted in Fig. 6 and defined as (34) In this equation, the permittivity profile of the reconstruction , is the original profile, and the integration is domain represents the area being reconstructed. For the conductivity, it was analogously defined. It can be seen that when the reconstruction has converged, the relative error for both the permittivity and conductivity reconstructions made with the a priori algorithm are smaller compared to the reconstructions with the original algorithm. However, due to the binary nature of the objects detected with this algorithm, a small deviation in position or size could cause a disproportionate large increase in the relative error compared to a corresponding deviation for the smoother objects obtained in Fig. 4. Still this error measure should give some indication of the accuracy between the two different reconstructions.

FHAGER AND PERSSON: USING a priori DATA TO IMPROVE RECONSTRUCTION OF SMALL OBJECTS IN MICROWAVE TOMOGRAPHY

2459

Fig. 4. Iteration number 5, 10, 30, and 50 in the reconstruction process of the object in Fig. 3. The reconstruction was made using the original version of the algorithm based on the gradients in (6) and (7). (a)–(d) Reconstruction of the relative permittivity. (e)–(h) Reconstruction of the conductivity (in siemens per meter). (a) Five iterations. (b) Ten iterations. (c) 30 iterations. (d) 50 iterations. (e) Five iterations. (f) Ten iterations. (g) 30 iterations. (h) 50 iterations.

Fig. 5. Iteration number 5, 10, 30, and 50 in the reconstruction process of the object in Fig. 3 using the extended algorithm where the a priori known dielectric values and the circular shape were utilized. Fig. 4(a)–(d) shows the reconstruction of the relative permittivity and Fig. 4(e)–(h) shows the reconstruction of the conductivity (in siemens per meter). (a) Five iterations. (b) Ten iterations. (c) 30 iterations. (d) 50 iterations. (e) Five iterations. (f) Ten iterations. (g) 30 iterations. (h) 50 iterations.

In line with our previous experience, the reconstruction of the conductivity was not as accurate as the reconstruction of the permittivity. This also influenced the performance of the reconstruction in such a way that the permittivity was accurately reconstructed, but objects in the conductivity were sometimes severely misplaced or incorrectly sized. It was found that restricting the reconstruction to a situation where a conductivity object must have a corresponding permittivity object with the same size and position when searching for new objects improved the convergence of the reconstruction. In this work, the coupling between the objects has been made only when searching for new objects. In that case, only the permittivity gradient was used to find the objects that were

placed in the reconstruction domain both as conductivity and permittivity objects. When updating the size and position of the objects already found, the coupling between the permittivity and conductivity was released. This technique has been used for the reconstruction in Fig. 5 and also Section IV-B. B. Two Small Objects Inside a Large Lossy Object A test case in lossy background media was set up using a large object having two small inclusions. The large object was and S/m. assigned the dielectric values The radius of the large object was set to 50 mm. The small objects each had a radius of 4 mm and the dielectric values were

2460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 6. Relative errors for the reconstructions in Figs. 4 and 5 plotted as a function of iteration number. It can be seen that when the algorithms have converged, the reconstructions utilizing the a priori data have a lower relative error compared to the reconstructions using no a priori data.

Fig. 7. Model used for the simulations in lossy background media. The large object had the properties  = 15:0 and  = 0:4 S/m. Two small objects, both with a radius of 4 mm and dielectric parameters  = 55:0 and  = 1:0 S/m were included. It was further assumed that the imaging background was a matching liquid with  = 12:0 and  = 0:32 S/m.

and S/m. It was also assumed that everything was contained inside a matching liquid and S/m in which the antennas also were placed. This model is shown in Fig. 7. For comparison, a reconstruction was made with the original version of the algorithm assuming that the large structure was already reconstructed as explained in the beginning of Section II-B. Since this object was approximately one order of magnitude larger than the small ones, this could have been accomplished by utilizing a low-frequency content. In accordance with the frequency-hopping approach, a higher frequency content could be used in a second step to resolve the small objects [48]. The simulations showed that it was necessary to use a center frequency of approximately 2.0 GHz to properly

Fig. 8. Reconstruction of the two small objects in lossy background shown in Fig. 7. The reconstruction was made with the assumption that the large object was also a priori reconstructed. An attempt was made to reconstruct the small objects using an electromagnetic pulse with a center frequency of 900 MHz and a full-width half-maximum bandwidth of 900 MHz. The reconstructions of: (a) permittivity and (b) conductivity did not successfully resolve them. Furthermore, the reconstructed values did not reach the original values of the small objects.

resolve the two small objects. In Fig. 8, the reconstruction using a center frequency of 900 MHz with a full-width half-maximum bandwidth of the Gaussian pulse of 900 MHz is shown. An object can be seen in the position of the two small inclusions, but neither are they resolved, nor are their dielectric values correctly recovered. It should also be mentioned that the Tikonov regularization was not causing the smoothing of the reconstructed object, as a very weak regularization has been used in this case. The same reconstruction was performed with the modified algorithm starting with the large object already reconstructed in the same way as discussed above. The same frequency content as in the reconstruction with the original version of the algorithm was used. The reconstruction is shown in Fig. 9 and the two small objects are now resolved.

FHAGER AND PERSSON: USING a priori DATA TO IMPROVE RECONSTRUCTION OF SMALL OBJECTS IN MICROWAVE TOMOGRAPHY

2461

decreases toward the correct value. However, when simultaneously imaging objects with different sizes, but with identical dielectric properties, the tendency was those smaller objects appeared with lower dielectric values than the larger objects. With the frequency used for the reconstruction in Fig. 8, it was not possible to resolve the small objects. If using a priori information instead around the dielectric properties of the objects, it was possible to resolve them with the same frequency content. While the small objects could be resolved if using a higher center frequency and larger bandwidth without a priori data, this is an important feature when designing an experimental biomedical imaging device since it could reduce the operating bandwidth of the equipment. A future development of the method described in this paper should also include solving the problem with the scaling of the gradients and the problem of specifying an a priori dielectric range instead of a single value. The algorithm can also be straightforward extended to 3-D. ACKNOWLEDGMENT This research was carried out in part by the strategic research center CHARMANT, Chalmers University of Technology, Göteborg, Sweden. REFERENCES

Fig. 9. Reconstruction of the two small objects inside the large object, shown in Fig. 7, with the new algorithm taking the a priori dielectric data into account. The reconstruction was made with the assumption that the large object was a priori reconstructed. Using an electromagnetic pulse with a center frequency of 900 MHz and a full-width half-maximum bandwidth of 900 MHz, a reconstruction of the: (a) permittivity and (b) conductivity of the two small objects were now successfully resolved.

V. CONCLUSIONS In this paper, a method has been developed to take a priori dielectric data into account when reconstructing the small objects in a microwave tomography problem. The performance of the new method has been evaluated using numerical examples. The reconstructions with the algorithm have been compared to reconstructions of the same targets using a traditional gradient-based optimization reconstruction algorithm. The results indicate a significant improvement of the resolving ability when exploiting the a priori data in the reconstructions. With the original algorithm, a new object appears in the first few iterations as an object having a larger diameter and lower dielectric properties compared to the true dielectric values. In the iterations, the dielectric values of the object slowly and successively approaches the true values at the same time as the size

[1] J. H. Jacobi, L. E. Larsen, and C. T. Hast, “Water-immersed microwave antennas and their application to microwave interrogation of biological targets,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 70–78, Jan. 1979. [2] L. E. Larsen and J. H. Jacobi, “Microwave scattering parameter imagery of an isolated canine kidney,” Med. Phys., vol. 6, pp. 394–403, 1979. [3] J. C. Bolomey, A. Izadnegahdar, L. Jofre, C. Pichot, G. Peronnet, and M. Solaimani, “Microwave diffraction tomography for biomedical applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 11, pp. 1998–2000, Nov. 1982. [4] A. J. Devaney, “Reconstructive tomography with diffracting wave-fields,” Inv. Problems, vol. 2, no. 2, pp. 161–183, May 1986. [5] C. Pichot, L. Jofre, G. Peronnet, and J.-C. Bolomey, “Active microwave imaging of inhomogeneous bodies,” IEEE Trans. Antennas Propag., vol. AP-33, no. 4, pp. 416–425, Apr. 1985. [6] R. Aitmehdi, A. P. Anderson, S. Sali, and M. Ferrando, “The determination of dielectric loss tangent by microwave phase tomography,” Inv. Problems, vol. 4, no. 2, pp. 333–345, May 1988. [7] L. Jofre, M. S. Hawley, A. Broquetas, E. de los Reyes, M. Ferrando, and A. R. Elias-Fusté, “Medical imaging with a microwave tomographic scanner,” IEEE Trans. Biomed. Eng., vol. 37, no. 3, pp. 303–312, Mar. 1990. [8] M. Slaney, A. C. Kak, and L. E. Larsen, “Limitations of imaging with first order diffraction tomography,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 8, pp. 860–873, Aug. 1984. [9] J. C. Bolomey, C. Pichot, and G. Gaboriaud, “Planar microwave camera for biomedical applications: Critical and prospective analysis of reconstruction algorithms,” Radio Sci., vol. 26, no. 2, pp. 541–549, Mar. –Apr. 1991. [10] A. Fhager and M. Persson, “Comparison of two image reconstruction algorithms for microwave tomography,” Radio Sci., vol. 40, no. 3, Jun. 2005, Article RS3017. [11] A. Abubakar, P. M. van den Berg, and J. J. Mallorqui, “Imaging of biomedical data using a multiplicative regularized contrast source inversion method,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 7, pp. 1761–1771, Jul. 2002. [12] R. E. Kleinman and P. M. van den Berg, “A modified gradient method for two- dimensional problems in tomography,” J. Comput. Appl. Math., vol. 42, no. 1, pp. 17–35, Sep. 1992. [13] W. C. Chew and Y. M. Wang, “Reconstruction of two-dimensional permittivity distribution using the distorted born iterative method,” IEEE Trans. Med. Imag., vol. 9, no. 2, pp. 218–225, Jun. 1990. [14] Q. H. Liu, Z. Q. Zhang, T. T. Wang, J. A. Bryan, G. A. Yabbara, L. W. Nolte, and W. T. Jones, “Active microwave imaging I—2-D forward and inverse scattering methods,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 123–133, Jan. 2002.

2462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

[15] S. Caorsi, G. L. Gragnani, and M. Pastorino, “Reconstruction of dielectric permittivity distributions in arbitrary 2-D inhomogeneous biological bodies by a multiview microwave numerical method,” IEEE Trans. Med. Imag., vol. 12, no. 6, pp. 232–239, Jun. 1993. [16] N. Joachimowicz, C. Pichot, and J. P. Hugonin, “Inverse scattering: An iterative numerical method for electromagnetic imaging,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1742–1753, Dec. 1991. [17] P. Meaney, K. D. Paulsen, A. Hartov, and R. K. Crane, “An active microwave imaging system for reconstruction of 2-D electrical property distributions,” IEEE Trans. Biomed. Eng., vol. 42, no. 10, pp. 1017–1026, Oct. 1995. [18] A. E. Bulyshev, A. E. Souvorov, S. Y. Semenov, V. G. Posukh, and Y. E. Sizov, “Three-dimensional vector microwave tomography: Theory and computational experiments,” Inv. Problems, vol. 20, no. 4, pp. 1239–1259, Aug. 2004. [19] A. Abubakar and P. M. van den Berg, “Iterative forward and inverse algorithms based on domain integral equations for three-dimensional electric and magnetic objects,” J. Comput. Phys., vol. 195, no. 1, pp. 236–262, Mar. 2004. [20] Z. Q. Zhang and Q. H. Liu, “Three-dimensional nonlinear image reconstruction for microwave biomedical imaging,” IEEE Trans. Biomed. Eng., vol. 51, no. 3, pp. 544–548, Mar. 2004. [21] Q. Fang, P. M. Meaney, S. D. Geimer, A. V. Streltsov, and K. D. Paulsen, “Microwave image reconstruction from 3-D fields coupled to 2-D parameter estimation,” IEEE Trans. Med. Imag., vol. 23, no. 4, pp. 475–484, Apr. 2004. [22] E. Fear and M. A. Stuchly, “Microwave detection of breast cancer,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1854–1863, Nov. 2000. [23] X. Li, S. K. Davis, S. C. Hagness, D. V. der Wedge, and B. D. V. Veen, “Microwave imaging via space–time beamforming: Experimental investigation of tumor detection in multi-layer breast phantoms,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1856–1865, Aug. 2004. [24] P. Kosmas and C. Rappaport, “A FDTD-based time reversal for microwave breast cancer detection—Localization in three dimensions,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1921–1927, Apr. 2006. [25] S. Y. Semenov, A. E. Bulyshev, A. Abubakar, V. G. Posukh, Y. E. Sizov, A. E. Souvorov, P. M. van den Berg, and T. C. Williams, “Microwave-tomographic imaging of high dielectric-contrast objects using different image-reconstruction approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2284–2295, Jul. 2005. [26] T. Isernia, V. Pascazio, and R. Pierri, “On the local minima in a tomographic imaging technique,” IEEE Trans. Geosci. Remote Sens., vol. 39, no. 7, pp. 1596–1607, Jul. 2001. [27] W. C. Chew and J. H. Lin, “A frequency-hopping approach for microwave imaging of large inhomogeneous bodies,” IEEE Microw. Guided Wave Lett., vol. 5, no. 12, pp. 439–441, Dec. 1995. [28] S. Caorsi, G. L. Gragnani, M. Pastorino, and M. Sartore, “Electromagnetic imaging of infinite dielectric cylinders using a modified born approximation and including a priori information on the unknown cross sections,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 141, no. 6, pp. 445–450, Dec. 1994. [29] M. Benedetti, M. Donelli, G. Franceschini, M. Pastorino, and A. Massa, “Effective exploitation of the a priori information through a microwave imaging procedure based on the SMW for NDE/NDT applications,” IEEE Trans. Geosc. Remote Sens., vol. 43, no. 11, pp. 2584–2592, Nov. 2005. [30] O. Féron, B. Duchêne, and A. Mohammad-Djafari, “Microwave imaging of inhomogeneous objects made of a finite number of dielectric and conductive materials from experimental data,” Inv. Problems, vol. 21, no. 6, pp. S95–S115, Dec. 2005. [31] F. Santosa, “A level-set approach for inverse problems involving obstacles,” ESIAM: Control, Optim., Calculus of Variations, vol. 1, pp. 17–33, 1996. [32] O. Dorn, E. L. Miller, and C. M. Rappaport, “A shape reconstruction method for electromagnetic tomography using adjoint fields and level sets,” Inv. Problems, vol. 16, no. 5, pp. 1119–1156, Oct. 2000. [33] R. Ferrayé, J.-Y. Dauvignac, and C. Pichot, “An inverse scattering method based on contour deformations by means of a level set method using frequency hopping technique,” IEEE Trans. Antennas Propag., vol. 51, no. 5, pp. 1100–1113, May 2003. [34] A. Litman, “Reconstruction by level sets of n-ary scattering obstacles,” Inv. Problems, vol. 21, no. 6, pp. S131–S152, Dec. 2005. [35] C. W. Manry, Jr. and S. L. Broschat, “Inverse imaging of the breast with a material classification technique,” J. Acoust. Soc. Amer., vol. 103, no. 3, pp. 1538–1546, Mar. 1998.

[36] X. Zhang, S. L. Broschat, and P. J. Flynn, “A comparison of material classification techniques for ultrasound inverse imaging,” J. Acoust. Soc. Amer., vol. 111, no. 1, pp. 457–467, Jan. 2002. [37] A. N. Tikonov and V. Arsenine, Solutions of Ill-Posed Problems. New York: Winston, 1977. [38] P. Charbonnier, L. Blank-Féraud, G. Aubert, and M. Barlaud, “Deterministic edge-preserving regularization in computed imaging,” IEEE Trans. Image Process., vol. 6, no. 2, pp. 298–311, Feb. 1997. [39] F. X. Canning, “Singular-value decomposition of integral equations of EM and applications to the cavity resonance problem,” IEEE Trans. Antennas Propag., vol. 37, no. 9, pp. 1156–1163, Sep. 1989. [40] H. Jia, T. Takenaka, and T. Tanaka, “Time-domain inverse scattering method for cross-borehole radar imaging,” IEEE Trans. Geosci. Remote Sens., vol. 40, no. 7, pp. 1640–1647, Jul. 2002. [41] M. Gustafsson and S. He, “An optimization approach to two-dimensional time domain electromagnetic inverse problems,” Radio Sci., vol. 35, no. 2, pp. 525–536, Mar.–Apr. 2000. [42] T. Tanaka, T. Takenaka, and S. He, “An FDTD approach to the timedomain inverse scattering problem for an inhomogeneous cylindrical object,” Microw. Opt. Technol. Lett., vol. 20, no. 1, pp. 72–77, Jan. 1999. [43] T. Takenaka, H. Zhou, and T. Tanaka, “Inverse scattering for a threedimensional object in the time domain,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 20, no. 10, pp. 1867–1874, Oct. 2003. [44] I. T. Rekanos, “Time-domain inverse scattering using Lagrange multipliers: An iterative FDTD-based optimization technique,” J. Electromagn. Waves Applicat., vol. 17, no. 2, pp. 271–289, 2003. [45] A. Fhager, P. Hashemzadeh, L. Bååth, and M. Persson, “Microwave imaging for mammography using an iterative time-domain reconstruction algorithm; Initial experiments,” in Proc. 16th Int. Electromagn. Compat. Symp., Zürich, Switzerland, Feb. 2005, pp. 65–70. [46] P. Hashemzadeh, A. Fhager, L. Bååth, and M. Persson, “Experimental results of an optimization approach to two-dimensional time domain electromagnetic inversion problem with application to microwave breast tomography,” in Proc. IASTED Int. Biomed. Eng. Conf., Innsbruck, Austria, Feb. 2005, pp. 192–196. [47] P. Hashemzadeh, A. Fhager, and M. Persson, “Experimental investigation of an optimization approach to microwave tomography,” Electromagn. Biol. Med., vol. 25, no. 1, pp. 1–12, 2006. [48] A. Fhager and M. Persson, “Reconstruction quality and spectral content of an electromagnetic time-domain inversion algorithm,” IEEE Trans. Biomed. Eng., vol. 53, no. 8, pp. 1594–1604, Aug. 2006. [49] E. Polak, Computational Methods in Optimization: A Unified Approach. New York: Academic, 1971. [50] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Boston, MA: Artech House, 2000. [51] J. Fang and Z. Wu, “Generalized perfectly matched layer for the absorption of propagating and evanescent waves in lossless and lossy media,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2216–2222, Dec. 1996. [52] R. P. Brent, Algorithms for Minimization Without Derivatives. Englewood Cliffs, NJ: Prentice-Hall, 1973. Andreas Fhager (M’07) was born in Örebro, Sweden, in 1976. He received the M.Sc. degree in engineering physics, Licentiate of Technology degree, and the Ph.D. degree in microwave imaging from the Chalmers University of Technology, Göteborg, Sweden, in 2001, 2004, and 2006, respectively. He is currently an Assistant Professor with the Chalmers University of Technology. His research is focused on electromagnetic imaging methods for breast cancer detection and other biomedical applications of microwaves.

Mikael Persson received the M.Sc. and Ph.D. degrees from the Chalmers University of Technology, Göteborg, Sweden, in 1982 and 1987, respectively. He is currently a Professor of biomedical electromagnetics with the Chalmers University of Technology. He has authored or coauthored over 100 journal and conference papers in biomedical electromagnetics and fusion modeling. Dr. Persson is a member of the Swedish URSI. He has served on the European Fusion Physics Committee (2001–2002).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

2463

A Real-Time Exposure System for Electrophysiological Recording in Brain Slices Alessandra Paffi, Monica Pellegrino, Romeo Beccherelli, Francesca Apollonio, Member, IEEE, Micaela Liberti, Member, IEEE, Daniela Platano, Giorgio Aicardi, and Guglielmo D’Inzeo, Member, IEEE

Abstract—In order to study possible effects of microwave electromagnetic (EM) fields on neuronal activity, a real-time exposure system was designed and fabricated. The structure is suitable for electrophysiological recording in brain slices, a widely used in vitro methodology for investigations on neuronal function. The EM features of the structure were characterized both numerically and experimentally. Results indicate that the system is slightly radiating and that its field configuration produces minimum coupling with laboratory equipment. To determine the device efficiency, numerical and experimental dosimetry was conducted. The system exhibits high efficiency, with uniform distribution of the - and -fields and the specific absorption rate (SAR) in the biological sample. Index Terms—Bioelectric phenomena, biological effects of microwaves, brain slices, electrophysiological recordings, exposure system.

I. INTRODUCTION

R

ESEARCH studies on the interaction of electromagnetic (EM) fields with biological systems have recently received further momentum from the huge expansion of wireless applications and from the related questions about health consequences on user populations. Since the early development of mobile phones, the possibility of the exposure having hazardous effects on the central nervous system has been a topic of concern [1], [2]. Due to the proximity of cellular telephones to the head, a significant part of the absorbed energy from the radiating signal is in the brain. Therefore, sensitive assays on electrophysiology, neurological function, and neuronal responses have been used Manuscript received January 18, 2007; revised June 6, 2007. This work was supported by the European Union, V Framework Program under the RAMP2001 Project. A. Paffi, M. Pellegrino, F. Apollonio, M. Liberti, and G. D’Inzeo are with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB) and Department of Electronic Engineering, “La Sapienza” University of Rome, 00184 Rome, Italy (e-mail: [email protected]; pellegrino@die. uniroma1.it; [email protected]; [email protected]; dinzeo@die. uniroma1.it). R. Beccherelli is with the Istituto per la Microelettronica e Microsistemi, Consiglio Nazionale delle Ricerche, 00133 Rome, Italy (e-mail: [email protected]). D. Platano is with the Department of Human and General Physiology, University of Bologna, 40127 Bologna, Italy (e-mail: [email protected]). G. Aicardi is with ICEmB, the Department of Human and General Physiology and the Interdepartment Centre “Luigi Galvani,” University of Bologna, 40127 Bologna, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.908657

to examine brain physiology during exposure to RF. Recent studies performed on humans [3] indicated that acute exposure to RF does not appear to engender any critical physiological or behavioral impairment although a few subtle effects may occur. Recently, attention has been paid to the exposure of children to low-level EM fields in order to evaluate possible adverse effects on brain and cognitive function [4]. Whenever effects have been observed [5], [6], involvement of cellular changes to the thalamic and cortical neurons has been reported. As a consequence, in-depth studies on interactions to the thalamus and cortex seem appropriate. This has led to recent studies on the action of EM fields on synaptic transmission in brain slices [7], [8]. The brain slice is the most prevalent and best known in vitro structure for electrophysiological investigation on synaptic plasticity [9]–[11], i.e., changes in the strength of synaptic transmission. These modifications are crucial for the development of higher cognitive functions such as learning and memory [12], [13]. Previously, exposure of brain slices was conducted with systems based either on rectangular waveguides or on parallel plates [7], [14]. The recording of brain slice neuronal activity, which has to be performed during EM exposure (real-time acquisition), imposes strict requirements on the presence of two electrodes, one for stimulating and one for recording, and the use of a microscope for good positioning of the electrodes within the slice. Therefore, besides the requirement for a homogeneous field distribution in the entire slice, easy access to the sample is needed. In this paper, we describe a coplanar waveguide (CPW) structure designed for brain slices electrophysiological recording over a wide frequency range (800–2000 MHz). The open structure guarantees easy access to the sample, and the fundamental quasi-TEM mode propagating in the structure, with the -field parallel to the sample, minimizes interference with the electrodes, since they are almost orthogonal to the -field and the sample. This approach was previously adopted [15], [16], where a CPW was proposed to perform real-time patch-clamp recordings of cell membrane ion currents. However, the strict requirements needed for recording neuronal activity in brain slices make the system described in [15] unsuitable for these experiments. This is mainly because of the need to have exposure areas larger than those in [15]. Moreover, the larger exposure area raises concerns about EM com-

0018-9480/$25.00 © 2007 IEEE

2464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 1. (a) Top view and (b) frontal view of the exposure system with the two perfusion chambers on it (figure not to scale). For the main design parameters with their dimensions, see Table I.

patibility with laboratory equipment. Finally, the necessity for temperature monitoring during experiments, without disturbing the system for electrophysiological acquisition, requires simultaneous exposure of two samples, one for recording neuronal activity, and the other one for temperature acquisitions. These requirements led to a re-engineering of the CPW EM behavior and a renewed design of the system. This paper is organized as follows. The design of the structure and its manufacture are presented in Section II with particular attention to the coupling between the stimulating metallic electrode and the EM field. In Section III, the experimental characterization of the structure is reported, including the scattering parameters and EM field distribution. In Section IV, the numerical and experimental dosimetry in the biological sample is described. Finally, in Section V, discussion and conclusions are given. II. DESIGN AND MANUFACTURE Real-time data acquisition from brain slices during EM field exposure is particularly useful. This allows one to follow the time course of bioelectrical activity of the sample and to identify possible reversible or cumulative effects. The main requirements for real-time exposure are easy access to the biological sample and minimum coupling with the laboratory equipment. In addition to the general requirements for the exposure systems recommended in [17] and [18], specific features of the experimental protocol and setup were considered. Usually electrophysiological recording in brain slices provides intracellular or extracellular measurements of neuronal activity by the use of two electrodes. The stimulating electrode is usually metallic, whereas the recording one is an Ag/AgCl wire inserted in a glass micropipette filled with saline solution. The slice has to be inserted in a sophisticated sample holder, which permits to control the temperature and oxygen concentration. This holder behaves as a perfusion chamber: artificial cerebro-spinal fluid (oxygenated and maintained at constant temperature) perfuses the sample, and maintains the in vivo-like environment of the tissue. The mechanical and optical requirements may be summarized as follows. A microscope is used to observe the slice. An optical fiber underneath the perfusion chamber illuminates the sample and facilitates the

TABLE I DESIGN CHOICES FOR THE EXPOSURE SYSTEM

positioning of the electrodes within the slice. A CPW-based solution was found to be optimal for these requirements. The EM prerequisites for the CPW are the avoidance of losses both due to radiation and dissipation in the dielectric substrate, and a good impedance matching with a 50- load. These requirements are controlled by specific constraints on the dimensions of the openings between the central and the two lateral conductors, the width of the central conductor, the thickness, and the dielectric constant of the substrate [19], [20]. The opening (exposure window) in the central zone of the CPW has to be at least 0.8 cm to accommodate slices with a radius up to 0.4 cm (for rat brain). Two perfusion chambers are needed, one for each exposure window in the CPW. Their diameter should be at least 3.5 cm. The two chambers preserve the symmetry of the field distribution in the structure and provide the possibility of online temperature monitoring. As a consequence, the minimum width of the central conductor has to be fixed at 2.4 cm. With these constraints, in contrast to the design of [15], the condition necessary to avoid radiation losses [19], [20] cannot be fully satisfied. Therefore, the main design task was to obtain the best tradeoff between exposure homogeneity in the slice and confinement of the fields near the propagating system. Conversely, the thickness of the dielectric substrate was selected to guarantee the absence of losses due to dissipation in the dielectric [19], [20]. Finally, the problem of impedance matching was approached comparing different possibilities: dimension matching, ending tapering, and feeding from below. As in [15], line tapering was considered the best in terms of scattering behavior in the frequency range of 800–2000 MHz. Matching these requirements was best accomplished by the

PAFFI et al.: REAL-TIME EXPOSURE SYSTEM FOR ELECTROPHYSIOLOGICAL RECORDING IN BRAIN SLICES

Fig. 2. Comparison between simulated (solid line) and measured (dotted line) (b) 1750 MHz.

system, shown in Fig. 1, with the perfusion chambers in place, having the design parameters summarized in Table I. Before manufacture, two problems related to interaction with the laboratory environment were investigated by means of a numerical study (using Ansoft’s High Frequency Structure Simulator (HFSS) 9.1). The possibility that the fundamental and higher order modes could radiate due to the wide exposure windows was first analyzed, and field confinement in the vicinity of the structure was evaluated. The frequencies of 905, 1750, and 1950 MHz were chosen, being representative of the uplink band for GSM900, GSM1800, and Universal Mobile Telecommunications System - and (UMTS) communication standards, respectively. -fields were evaluated along a vertical line passing through the center of one of the CPW exposure windows. The electric field amplitudes at 905 MHz (see Fig. 2(a), solid line) and 1750 MHz (see Fig. 2(b), solid line) decay to 20% of their maximum value at approximately 2 cm above the structure. This shows that minimal coupling occurs with external objects more than 2 cm distant from the CPW. Moreover, in order to address the problem of radiation losses, the total power in the far field was calculated using the EM simulator, giving a value ranging from 12% at 905 MHz to 25% at 1950 MHz. These results, together with the field decays shown in Fig. 2, indicate an overall field confinement acceptable for these experimental applications. The second problem was related to the presence of the metallic electrode used for the stimulation of the tissue. Its tip focuses the EM field lines inducing a local perturbation of the - and -field distributions, even when the electrode is almost orthogonal to the CPW surface. The cylindrical electrode section was modeled as an octagon (0.45-mm diameter), as suggested in [21]. Its axis was set orthogonal to the CPW surface, at a height of 0.22 cm above the exposure window. Simulations were carried out in the central zone of the frequency range (specifically 1200 MHz). Particular care was

j

2465

E -field value decay with distance from the CPW surface at: (a) 905 and j

devoted to mesh refinement in order to avoid field singularities at the corners of the electrode tip. The first step was to position the electrode in the center of the exposure zone. Results showed -field around the electrode tip. The a high focusing of the in the preseffect was rather high: around 12 dB higher for ence of the electrode compared to the case without it, but this difference decreased rapidly to 0.4 dB at a distance of 0.25 cm away from the electrode tip. Moreover, the perturbation was not symmetrical along the -direction. Thus, in a second step, field perturbation was investigated for different positions of the electrode. When the electrode was placed near the lateral conductor, at a distance of 0.25 cm, the local perturbation of the -field around the electrode tip was approximately 4.5 dB, lower than in the other cases. Therefore, from these data, the electrophysiologist can determine the “optimal” positioning of the stimulating electrode within the slice. Finally, the CPW was manufactured using a photolithographic process. A carefully cleaned soda-lime glass plate was used as substrate. On top of it, a uniform stack of films of aluminum (approximately 500 nm) and chromium (approximately 200 nm) were evaporated under vacuum. A positive type photoresist layer was then spin-coated, baked, UV exposed through a photolithographic mask, and developed. Unprotected chromium and aluminum were sequentially wet etched in suitable chemical baths. With regard to the choice of metallic material, aluminum and chromium provide both high conductivity and mechanical ruggedness. For this reason, they were chosen in place of gold, used in the system described in [15]: gold has excellent EM properties, but it is not hard enough to withstand repeated handling. Fig. 3 shows the final structure. III. EXPERIMENTAL VALIDATION OF THE SYSTEM The exposure system was experimentally characterized over the frequencies range from 800 to 2000 MHz for scattering parameters and - and -field distributions.

2466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 3. Exposure system.

Fig. 5. Comparison of jS j and jS j values of the structure alone (solid line) and loaded with Petri dishes filled with 2 mL of RPMI solution (dashed line).

Fig. 4. Comparison of jS j values versus frequency for the structure alone (numerical and experimental, solid lines) and with a metal plate placed at 0.8 cm (dashed line) and 2 cm (dotted line) from the CPW surface.

A. Scattering Parameters Evaluation Measurements of scattering parameters were performed using an Anritsu MS4624D network analyzer. The behavior as a function of frequency showed good impedance matching with a 50- coaxial cable over the entire range of interest (see Fig. 4, black solid line). Such measurement presented the same frequency behavior as the simulated data, even if the values obtained in the simulation suggested better results (see Fig. 4, gray solid line). In particular, for the three frequencies of interest, a difference of approximately 3 dB between the measurement and simulation was obtained at 905 MHz, while differences of 1 and 4 dB were obtained at 1750 and 1950 MHz, respectively. This discrepancy can be explained by losses in the materials and by imperfections and discontinuities in the connections between the structure and coaxial cables, which cannot be completely taken into account in numerical simulations. Similar results were obtained in the presence of the sample placed on the exposure system, as shown in Fig. 5. Where a behavior up to around 10 dB was observed worsening of in the low-frequency range, an overall similar performance was maintained at the higher frequencies with a frequency shift of

approximately 100 MHz. The propagative behavior of the structure was preserved. In order to assess the EM coupling of the fields with the environment around the exposure system, the same approach adopted in [15] was followed. Scattering parameters were measured in the presence of: 1) a metal and a dielectric plate, representative of laboratory equipment and 2) a metallic tip (radius 0.15 cm), representative of the electrodes. All these objects were placed at different distances from the CPW surface. In the entire range of frequencies, differences in measured values, with and without the dielectric plate and the metal tip, were always less than 0.5 dB, even when they were placed at the shortest distances of 0.8 and 0.2 cm, respectively. Similar re. This data confirmed that these obsults were obtained for jects only slightly modify the reflection and transmission properties of the exposure system, indicating a low coupling. A different performance was achieved for the metallic plate: Fig. 4 shows the influence of this object when placed at 0.8 cm from the CPW, where a mismatch up to 10 dB was obtained in the range from 1500 to 1800 MHz. Conversely, when the values distance was 2 cm, almost no differences in the were observed, indicating that the optimal operating distance to minimize EM coupling has to be at least 2 cm. This was confirmed by electrophysiological measurements during EM exposure (4 W/kg, 900 MHz), where no artifacts were observed (Fig. 6). B. EM Field Distribution - and -field measurements were performed using the ET3DV5R and H3DV6 probes, respectively, both manufactured by Schmid & Partner, Engineering AG, Zurich, Switzerland. Probes were moved by an automated system with steps of 0.5 cm along the - and -directions, following a grid of 17 cm 9 cm,

PAFFI et al.: REAL-TIME EXPOSURE SYSTEM FOR ELECTROPHYSIOLOGICAL RECORDING IN BRAIN SLICES

2467

Fig. 6. Electrophysiological recording before and during EM exposure (4 W/kg and 900 MHz).

Fig. 7. Surface map of the jE j-field values at a distance of 0.5 cm from the CPW, for input frequencies of: (a) 905 MHz and (b) 1750 MHz. The exposure windows are represented by black dashed lines. The biological sample is positioned to correspond to the nine points indicated in the center of the exposure zone (b).

centered on the CPW. The automated system allowed the control of the probe movements using appropriate software, thus avoiding operator errors in the positioning of the probe and guaranteeing repeatability of data. Surface maps of the -field were measured at a distance of 0.5 cm from the CPW surface, with an input power of 1 W at 905 and 1750 MHz. Results are reported in Fig. 7, showing that the field is symmetric with respect to the - and -directions and reaches its maximum on the two exposure windows, represented by black dashed lines. A weak standing wave is observable for both frequencies; therefore, the homogeneity of exposure was evaluated. A set of nine points chosen in the middle of one of the exposure windows, as indicated in Fig. 7(b), and corresponding to the biological sample position, was considered. Results indi-field mean values were 348.4 V/m at 905 MHz cated that the and 312.2 V/m at 1750 MHz, with standard deviations of 16.4 and 25.3 V/m, respectively. -field Similar measurements were performed for the 1.3 and showing values ( standard deviation) of 2.1 2.3 1.4 A/m, averaged over the entire structure, at 905 and 1750 MHz, respectively. Higher and more homogeneous values were achieved from the nine points measured in the exposure 0.3 A/m at 905 MHz and 3.2 0.3 A/m at window: 2.6 1750 MHz.

-field confinement was assessed as a function of distance from the CPW surface. Fig. 2 (dotted lines) displays values acquired along a vertical line, passing through the exposure windows, at distances of 0.5, 1, 2, 4, and 8 cm, for the two frequen-field confinement above a distance cies. The figure confirms of 2 cm, where a decay to around 30% of the initial value is observable for both 905 and 1750 MHz. The actual structure presents an overall behavior similar to the one predicted by simulations.

IV. SAMPLE DOSIMETRY Once the EM characteristics of the system are assessed, the necessity to obtain accurate dosimetry on the sample and to indicate an efficiency value for the exposure was the next task. The dimensions of the sample holders for brain slices represented a first problem. They can vary from one laboratory to another in the way the sample is perfused and the temperature and oxygen concentrations are controlled. This implies a variability of the chamber radius ranging from 3.5 to 4 cm and the chamber walls from 0.1 to 0.75 cm. In order to standardize our dosimetric evaluations, a conventional biological sample holder, i.e., a circular Petri dish (3.5-cm diameter), was chosen.

2468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

Fig. 8. Local SAR distribution at 905 MHz in the Petri dishes filled with RPMI solution: (b) with and (a) without brain slices.

Fig. 10. Temperature measurement points in the Petri dish. Fig. 9. Surface map of SAR values around the metallic electrode tip at 905 MHz with the electrode in contact with the brain tissue at a distance of 0.25 cm from the lateral conductor.

TABLE II RATIO (DB) BETWEEN SAR IN THE ENTIRE SLICE WITH AND WITHOUT THE ELECTRODE AT 905, 1750, AND 1950 MHz. THE SAME RATIO WAS EVALUATED IN THE REGION (0.5 mm 0.5 mm 0.4 mm) OF THE BRAIN SLICE AROUND THE ELECTRODE TIP

2

TABLE III

1T ( C) VALUES (WITH STANDARD ERRORS) AND SAR ESTIMATION (W/kg) AT 905 MHz FOR THE SIX POINTS IN THE EXPOSURE WINDOW WITH A 2.5-W INCIDENT POWER

2

A. Numerical Dosimetry Numerical simulations were carried out on two Petri dishes filled with 4 mL of Roswell Park Memorial Institute (RPMI) physiological solution. The two sample holders were placed in the center of the two exposure windows. The liquid was modeled for all frequencies and with a permittivity value of conductivities: S/m at 905 MHz and S/m at 1750 and 1950 MHz. The local SAR in one of the sample holders is displayed in Fig. 8(a) for 905 MHz, where good uniformity of the dose is seen. Further simulations were carried out adding a brain slice and S/m) of radius 0.4 cm and height 0.04 cm ( in the RPMI solution. The slice was placed at the center of the

Petri dish, at a distance of 0.2 cm from the bottom. Results showed that SAR values in the slice were smaller than those evaluated in RPMI medium only [see Fig. 8(b)]. This expected lowering is not due to a change in the field distribution, but to the reduced power absorption in the slice, whose conductivity is almost half that of RPMI. Indeed, the decrease in SAR value is almost equal to the ratio of the two conductivities at all frequencies: 2.0, 2.5, and 2.0 at 905, 1750, and 1950 MHz, respectively. Numerical evaluation of the dose uniformity in the slice gave values of 18.3 1.1, 38.3 11.1, and 56 10.4 W/kg at 905, 1750, and 1950 MHz, respectively, for 1 W of incident power.

PAFFI et al.: REAL-TIME EXPOSURE SYSTEM FOR ELECTROPHYSIOLOGICAL RECORDING IN BRAIN SLICES

2469

TABLE IV EFFICIENCY ESTIMATION (WITH STANDARD ERRORS) FOR POINTS 5 AND 8 WHERE THE BIOLOGICAL SAMPLE IS PLACED

The SAR sensitivity relative to the position of the slice in the Petri dish was assessed. The slice was shifted 1 mm along the three axes, and the highest sensitivity was found for the -axis, corresponding to the propagating wave direction. Therefore, a further shift of 2 mm was considered, giving rise to a maximum variation of 1.7 dB at 1750 MHz. Furthermore, on the basis of the analysis carried out in Section II, where the -field was influenced by the presence of the electrode tip, a dosimetric evaluation on the possible influence on the SAR distribution within the slice was carried out at the frequencies of 905, 1750, and 1950 MHz. The electrode was placed at 0.25 cm from the lateral conductor. As shown in Fig. 9, the influence of the electrode remained confined to a volume smaller than 0.5 mm 0.5 mm 0.4 mm (focusing volume) around the tip. These data are summarized in Table II, where the ratio of mean SAR with and without the electrode calculated both in the whole slice and in the focusing volume just described is reported. The influence of the electrode tip is almost zero at 1750 and 1950 MHz, while for 905 MHz, the influence is significant, but confined to a very small volume around the tip. This is argued from the SAR values on the surfaces of the focusing volume, which are the same in the two conditions (data not shown). B. Experimental Dosimetry Direct SAR measurements on brain slices are not feasible, given the size of brain slices used for electrophysiological recordings (0.04-cm thick with a radius 0.4 cm). Therefore, SAR evaluation directly inside the slice is not possible since the probe to be used would have a tip greater than slice thickness. To overcome this limitation, the SAR distribution was obtained by measurements on a standard 3.5-cm Petri dish filled only with RPMI. The efficiency estimation in brain slice was achieved using the scaling factors obtained in the numerical simulations (see Section IV-A). The SAR and efficiency of the exposure system were evaluated for the three frequencies of 905, 1750, and 1950 MHz. Temperature measurements were carried out in the sample using a nonperturbing thermometric method [22], the high-impedance Vitek TP100 thermistors, and following the well-known rela[23], where is the temperature tionship and is the sample specific heat. Twelve equally spaced measurement points were chosen, six in the exposure window and six on the metallic strips, in each of the two Petri dishes (see Fig. 10), filled with 4 mL of RPMI

kcal/(kg C ]. The use of a 4-mL volume of medium [ solution permitted deeper immersion of the temperature probe (tip diameter around 0.3 cm) in the medium and, as a consequence, improved the reliability of the data. There were five measurements at each point, leaving 60 s before exposure to reach temperature stability, 20 s of exposure to a 2.5-W incident power, and 60 s after exposure to allow for recovery; temperature was recorded every 5 s by an automated system. In Table III, temperature increases and corresponding SAR values are reported for the points along the exposure window for the frequency of 905 MHz. Good uniformity of the dose in the entire Petri dish is achieved with a variation of 13.8%. For a worsening at 1750 and 1950 MHz, a still acceptable uniformity of 6.6% and 6.3% is obtained in the slice area. In order to quantify the real dose absorbed by the slice, the efficiency was calculated particularly from measurements of points 5 and 8, where it is assumed that the slice is positioned. Table IV compares the five calculated values for the three frequencies. The efficiencies were always higher than 25 [(W/kg)/W]. The increase with higher frequencies can be explained by the fact that the higher the frequency, the closer -field lines to the CPW surface. the V. DISCUSSION AND CONCLUSIONS In recent years, increasing attention has been devoted to possible effects induced by microwaves EM fields from mobile phones on human cognitive functions such as memory and learning. This has resulted in investigations based on electrophysiological recording in brain slices, which is the most used and best known in vitro methodology for the study of neuronal connections underlying cognitive functions. We have described a new real-time exposure system based on a CPW structure that is suitable for electrophysiological recording in brain slices. It allows exposure of brain slices of radius up to 0.4 cm to microwave fields from 800 to 2000 MHz, during standard electrophysiological measurements. Although the system is based on a propagating structure similar to the one presented in [15], its novel layout allows a sample to be exposed to an EM dose over an area of 1.2 cm 1.4 cm with up to 60% higher uniformity. Such enlargement of the homogeneous exposure area, needed in brain slice studies, was achieved even with a slight increase in the mean radiated power: approximately 22% compared to 15% in [15]. Nevertheless, our structure has acceptable field confinement; at distances greater than 2 cm from the waveguide the - and -fields decrease to values less than 30%.

2470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 11, NOVEMBER 2007

The system was numerically and experimentally characterized and precise dosimetry was conducted at 905, 1750, and 1950 MHz, representative of the uplink bands of GSM900, GSM1800, and UMTS communication standards, respectively. A standard procedure was used for dosimetric characterization, with the slice inserted in a Petri dish (3.5-cm diameter) filled with physiological solution (RPMI medium). Due to the very small thickness of the slice (0.04 cm), measurements were conducted inside the Petri dish filled only with RPMI. Temperature measurements in locations where the slice is usually positioned (points 5 and 8 in Fig. 10) revealed high values of efficiency. From the numerical results, the estimate of the real efficiency in the slice is obtained by dividing the efficiency mea2 between sured in the RPMI by a factor equal to the ratio the conductivity of the RPMI medium and slice. Due to the complexity of the system, with a microscope and electrodes positioned very close to the structure surface, coupling between the EM field and laboratory equipment had to be addressed. Special care was taken to evaluate the effect of the metal stimulating electrode since it is the main source of field perturbation around the CPW. Numerical simulations showed that when the electrode is positioned at the exact center of one of the exposure windows -field around its this causes a very high focusing of the tip (around 12 dB); nevertheless, this perturbation rapidly decreases to approximately 0.4 dB at 0.25 cm from the electrode. Moreover, if the electrode is placed 0.25 cm from the lateral conductor of the CPW, the maximum local perturbation is reduced to 4.5 dB, thus providing a useful indication of the optimal positioning of the electrode in the slice. Further dosimetric analysis showed that there was a very low and localized influence of the electrode on SAR distribution within the slice. Compared to the structures in [7] and [14], which are used to expose brain slices to 700-MHz CW and to pulsed waves at 9.3 GHz, respectively, our system is the only one that: 1) is able to operate over a wide range of frequencies, including the uplink bands of the most common telecommunication standards; 2) has reduced dimensions (22 cm 10.2 cm 0.4 cm); 3) has a very high efficiency, allowing a reduced cost for the EM signal generation system; and 4) guarantees minimum coupling with laboratory equipment. From all these considerations, our system is well suited for electrophysiological recording in brain slices during exposure to EM fields such as those emitted by cell phones.

ACKNOWLEDGMENT The authors want to thank the colleagues of the Section of Toxicology and Biomedical Sciences, Ente per le Nuove Tecnologie, l’Energia e l’Ambiente (ENEA), Rome, Italy, for the hospitality during experimental measurements, M. H. Repacholi, for precious suggestions in this paper’s manuscript finalization, and A. Galli, P. Callegaro, G. Monaco, and A. Santini for their useful support during the characterization of the CPW structure.

REFERENCES [1] J. C. Lin, “Studies on microwaves in medicine and biology: From snails to humans,” Bioelectromagnetics, vol. 25, pp. 146–159, 2004. [2] K. A. Hossmann and D. M. Hermann, “Effects of electromagnetic radiation of mobile phones on the central nervous system,” Bioelectromagnetics, vol. 24, pp. 49–62, 2003. [3] J. A. D’Andrea, C. K. Chou, S. A. Johnston, and E. R. Adair, “Microwave effects on the nervous system,” Bioelectromagnetics, pp. S107–S147, 2003, Suppl. 6. [4] Z. Sienkiewicz, N. Jones, and A. Bottomley, “Neurobehavioural effects of electromagnetic fields,” Bioelectromagnetics, pp. S116–S126, 2005, Rev., Suppl. 7. [5] R. Huber, J. Schuderer, T. Graf, K. Jütz, A. A. Borbely, N. Kuster, and P. Achermann, “Radio frequency electromagnetic field exposure in humans: Estimation of SAR distribution in the brain, effects on sleep and hearth rate,” Bioelectromagnetics, vol. 24, pp. 262–276, 2003. [6] S. P. Loughran, A. W. Wood, J. M. Barton, R. J. Croft, B. Thompson, and C. Stough, “The effect of electromagnetic fields emitted by mobile phones on human sleep,” Neuroreport, vol. 16, no. 17, pp. 1973–1976, 2005. [7] J. E. H. Tattersall, I. R. Scott, S. J. Wood, J. J. Nettell, M. K. Bevir, Z. Wang, N. P. Somasiri, and X. Chen, “Effects of low intensity radiofrequency electromagnetic fields on electrical activity in rat hippocampal slices,” Brain Res., vol. 904, pp. 43–53, 2001. [8] A. G. Pakhomov, J. Doyle, B. E. Stuck, and M. R. Murphy, “Effects of high power microwave pulses on synaptic transmission and long term potentiation in hippocampus,” Bioelectromagnetics, vol. 24, pp. 174–181, 2003. [9] R. Traub and R. Miles, Neuronal Networks of the Hippocampus. Cambridge, U.K: Cambridge Univ. Press, 1991. [10] J. Du, N. A. Gray, C. A. Falke, W. Chen, P. Yuan, S. T. Szabo, H. Einat, and H. K. Manji, “Modulation of synaptic plasticity by antimanic agents: The role of AMPA glutamate receptor subunit 1 synaptic expression,” J. Neurosci., vol. 24, no. 29, pp. 6578–6589, Jul. 2004. [11] G. Aicardi, E. Argilli, S. Cappello, S. Santi, M. Riccio, H. Thoenen, and M. Canossa, “Induction of long-term potentiation and depression is reflected by corresponding changes in secretion of endogenous brainderived neurotrophic factor,” Proc. Nat. Acad. Sci. USA, vol. 101, no. 44, pp. 15788–92, 2004. [12] T. V. P. Bliss and G. L. Collingridge, “A synaptic model of memory: Long-term potentiation in the hippocampus,” Nature, vol. 361, pp. 31–39, 1993. [13] S. J. Martin, P. D. Grimwood, and R. G. M. Morris, “Synaptic plasticity and memory: An evaluation of the hypothesis,” Annu. Rev. Neurosci., vol. 23, pp. 649–711, 2000. [14] A. G. Pakhomov, S. P. Mathur, J. Doyle, B. E. Stuck, J. L. Kiel, and M. R. Murphy, “Comparative effects of extremely high power microwave pulses and a brief CW irradiation on pacemaker function in isolated frog heart slices,” Bioelectromagnetics, vol. 21, pp. 245–254, 2000. [15] M. Liberti, F. Apollonio, A. Paffi, M. Pellegrino, and G. D’Inzeo, “A coplanar waveguide system for cells exposure during electrophysiological recordings,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 2521–2528, Dec. 2004. [16] D. Platano, P. Mesirca, A. Paffi, M. Pellegrino, M. Liberti, F. Apollonio, F. Bersani, and G. Aicardi, “Acute exposure to low-level CW and GSM-modulated 900 MHz radiofrequency does not affect Ba currents through voltage-gated calcium channels in rat cortical neurons,” Bioelectromagnetics, vol. 28, no. 8, pp. 598–606, Dec. 2007. [17] N. Kuster and F. Schonbon, “Recommended minimal requirements and development guidelines for exposure setups of bio-experiments addressing the health risk concern wireless communications,” Bioelectromagnetics, vol. 21, pp. 508–514, 2000. [18] T. Samaras, N. Kuster, and S. Nebovetic, “Scientific report: Workshop on EMF health risk research lessons learned and recommendations for the future,” Centro Stefano Franscini, Monte Verita, Switzerland, Deliverables D36-D37, EMF-NET Project, Nov. 20–24, 2005. [19] K. C. Gupta, R. Garg, I. Bahl, and P. Barthia, Microstrip Lines and Slotlines, 2nd ed. Boston, MA: Artech House, 1996. [20] M. Riaziat, R. Majidi-Ahy, and I. J. Feng, “Propagation modes and dispersion characteristics of coplanar waveguides,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 245–251, Mar. 1990. ˇ S. Mazeres, J. Teissie, and D. Miklavˇciˇc, “Finite-element mod[21] D. Sel, eling of needle electrodes in tissue from the perspective of frequent model computation,” IEEE Trans. Biomed. Eng., vol. 50, no. 11, pp. 1221–1232, Nov. 2003.

PAFFI et al.: REAL-TIME EXPOSURE SYSTEM FOR ELECTROPHYSIOLOGICAL RECORDING IN BRAIN SLICES

[22] F. A. Duck, Physical Properties of Tissue. A Comprehensive Reference Book. London, U.K.: Academic, 1990. [23] M. A. Stuchly and S. S. Stuchly, CRC Handbook of Biological Effects of Electromagnetic Fields, 2nd ed. Boca Raton, FL: CRC, 1986. Alessandra Paffi was born in Rome, Italy, in 1971. She received the Laurea degree (cum laude) in electronic engineering and Doctorate degree from the University of Rome “La Sapienza,” Rome, Italy, in 1999 and 2005, respectively. From 2005 to 2006, she was a Post-Doctoral Fellow with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB), Rome, Italy. Her main research activities include theoretical and experimental studies for modeling interactions between EM fields and biological systems, especially in ion channels and neuronal cells. Among her research activities, special interest is devoted to the design and manufacture of EM field exposure systems for electrophysiological recording.

Monica Pellegrino was born in Salerno, Italy, in 1978. She received the Laurea degree (cum laude) in electronic engineering from the “La Sapienza” University of Rome, Rome, Italy, in 2003, and is currently working toward the Ph.D. degree in electronic engineering at the University of Rome “La Sapienza.” Her Laurea thesis concerned the evaluation of microwave effects on ion channels using the patch clamp technique. Her current research activity is focused on interaction mechanisms between EM fields and biological systems at the microscopic level. Her research interests include the design and manufacture of systems for real-time EM field exposures.

Romeo Beccherelli was born in Plovdiv, Bulgaria, in 1969. He received the Laurea degree (cum laude) in electronic engineering and Doctorate degree from the “La Sapienza” University of Rome, Rome, Italy, in 1994 and 1998, respectively. In 1995, he served in the Technical Corps, Italian Army, as a Second Lieutenant. In 1997 and 2001 he was a Visiting Researcher Fellow with the Department of Physics, Division of Microelectronics and Nanoscience, Chalmers University of Technology, Göteborg, Sweden. In 1997, he joined the Department of Engineering Science, University of Oxford, Oxford, U.K., as a Postdoctoral Research Assistant. In 2000, he joined the Department of Electronic Engineering, University of Rome “La Sapienza,” Rome, Italy, as a Research Fellow. In 2001 he became a Researcher with the Istituto per la Microelettronica e Microsistemi, Consiglio Nazionale delle Ricerche (CNR), Rome, Italy. His initial research interests liquid crystal display technology have evolved to photonics and sensor systems. Dr. Beccherelli was the recipient 1999 International Otto Lehman Prize in liquid crystal technology for his doctoral thesis presented by the University of Karlsruhe, Karlsruhe, Germany, and the Otto Lehmann Foundation.

Francesca Apollonio (M’06) was born in Rome, Italy, in 1968. She received the Laurea degree in electronic engineering and Doctorate degree from the “La Sapienza” University of Rome, Rome, Italy, in 1994 and 1998, respectively. In 1994, she began her research in bioelectromagnetics on experimental dosimetry techniques. In 2000, she became an Assistant Professor with the Department of Electronic Engineering, University of Rome “La Sapienza.” Her research interests include the interaction of EM fields with biological systems using both theoretical and experimental approaches. In particular, she is involved in molecular dynamic studies, modeling mechanisms of interaction, dosimetry techniques, and design of exposure systems.

2471

Micaela Liberti (M’04) was born in Genova, Italy, in 1969. She received the Laurea degree in electronic engineering and Doctorate degree from the “La Sapienza” University of Rome, Rome, Italy, in 1995 and 2000, respectively. From 2001 to 2002, she was a Post-Doctoral Fellow with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB). In 2002, she became an Assistant Professor with the Department of Electronic Engineering, “La Sapienza” University of Rome. Her scientific interests include interaction mechanisms between EM fields and biological systems, dosimetric evaluations at the microscopic level, exposure systems dosimetry, and design.

Daniela Platano was born in Cuneo, Italy, in 1969. She received the Pharmaceutical Chemistry and Technique degree (cum laude) from the University of Torino, Turin, Italy, in 1994, the Pharmacy degree (cum laude) from the “La Sapienza” University of Rome, Rome, Italy, in 1995, and the Ph.D. degree in neurophysiology from the University of Bologna, Bologna, Italy, in 2005. From 1996 to 1997, she was a Visiting Scientist with the Department of Anaesthesiology, University of California at Los Angeles (UCLA), she was involved with calcium channel modulation. She is currently a Post-Doctoral Fellow with the University of Bologna. Her recent scientific interests include the interaction of RF fields with neuronal systems and a focus on electrophysiological investigation of synaptic plasticity on brain slices using field-potential experiments and of voltage-gated calcium channels using the patch-clamp technique.

Giorgio Aicardi received the Pharmacy degree (cum laude) from the University of Bologna, Bologna, Italy, in 1979, and the Specialization degree in applied pharmacology (cum laude) from the University of Modena, Modena, Italy, in 1981. From 1979 to 1982, he was a Post-Doctoral Fellow with the Institute of Pharmacology, University of Bologna, Bologna, Italy. From 1983 to 1992, he was an Assistant Professor of physiology with the Institute of Human Physiology, University of Bologna. In 1988, he was Visiting Scientist with the Department of Neurological Surgery, University of Washington, Seattle, where he was involved with calcium channels and epilepsy. In 1992, he became an Associate Professor of physiology with the Department of Human and General Physiology, University of Bologna. His current research activity concerns calcium channels, synaptic plasticity, learning and memory, drug addiction, and effects of EM fields.

Guglielmo d’Inzeo (M’83) was born in Milan, Italy, in 1952. Since 1990, he has been a Full Professor of bioelectromagnetic interaction with “La Sapienza” University of Rome, Rome, Italy. From 1997 to 2006, he was Chairman of the Electronic Engineering Department. From 1999 to 2005, he was Director of the Italian Inter-University Centre for Electromagnetic Fields and Biosystems (ICEmB). His research interests have evolved from active and passive microwave components to bioelectromagnetics. Prof. D’Inzeo was secretary treasurer of the Middle and Southern Sections of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) from 1986 to 1988. From 1993 to 1998, he was president of European Bioelectromagnetics Association (EBEA). Since 2005, he has been vice president of URSI Commission K “Electromagnetism in Biology and Medicine.”

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2007.909732

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, K. ITOH, J. LIN, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R.-B. WU, A. YAKOVLEV

P. Aaen B. Abbott D. Abbott M. Abdulla M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar R. Achar D. Adam E. Adler M. Adlerstein M. Afsar K. Agawa D. Ahn H. R. Ahn M. Aikawa J. Aikio J. S. Aine C. Aitchison M. Akaike S. Aksoy M. I. Aksun A. Al-Zayed F. Alessandri M. Ali F. Alimenti R. Allam D. Allstot A. Alphones A. Alu S. Amari T. Anada K. Andersson Y. Ando P. Andreani A. Andrenko M. Andrés K. Anemogiannis K. S. Ang I. Angelov S. Anlage G. Antonini H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano K. Ashby A. Atalar J. Atherton A. Atia S. Auster I. Awai A. Aydiner M. S. Ayza A. Babakhani P. Baccarelli W. Baechtold I. Bahl S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball A. Banai S. Banba J. W. Bandler R. Bansal D. Barataud I. Barba A. Barbosa Z. Bardai F. Bardati I. Bardi A. Barel S. Barker D. Barlage F. Barnes R. Bashirullah D. Becker C. Bell T. M. Benson T. Berceli J. Bernhardt M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua W. T. Beyene A. Beyer A. V. Bezooijen S. Bharj K. Bhasin K. Bhattacharjee F. Bi M. Bialkowski E. Biebl S. Bila A. L. Billabert H. Bilzer T. Biondi A. Biswas M. Blank P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar G. Bonaguide G. Bonmassar J. C. Bor V. Boria O. Boric-Lubecke A. Borji J. Bornemann G. Borzì W. Bosch R. Bosisio

H. Boss M. V. Bossche S. Boumaiza K. Boutros C. R. Boyd M. Bozzi J. E. Bracken R. Bradley J. Brannan J. R. Bray T. J. Brazil M. Bressan P. Bretchko K. Breuer A. Brown S. Brozovich D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge J. Burghartz P. Burghignoli N. Buris B. Cabon P. Cabral C. C. Cadenas M. K. Caldera C. Caloz E. Camargo R. Cameron N. Camilleri C. Campbell M. Campovecchio A. Cangellaris W. Cantrell F. Capolino A. Cappy R. Carter N. B. Carvalho P. Casas F. Caspers R. Caverly M. Celuch D. Chadha S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. C. Chang C. R. Chang C. Y. Chang F. Chang K. Chang S. F. Chang H. Chapell W. J. Chappell M. Chatras A. Cheldavi C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen Y. Chen Y. J. Chen Z. D. Chen K. K. Cheng C. Cheon S. T. Chew W. C. Chew C. Y. Chi Y. C. Chiang A. Chin B. S. Chiou A. Chizh C. S. Cho C. Choi J. Choi W. Y. Choi M. Chongcheawchamnan D. Choudhury Y. L. Chow A. Christ C. Christodoulou C. Christopoulos T. H. Chu L. H. Chua H. R. Chuang Y. H. Chun Y. Chung T. Cisco P. Civalleri J. Cloete P. Colantonio B. Colpitts M. Condon D. Consonni A. Constanzo M. Converse F. Cooray G. Copeland I. Corbella E. Costamagna C. Courtney Y. L. Coz J. Crescenzi J. Cressler T. Crowe T. J. Cui J. Culver T. Cunha C. Curry W. Curtice H. H. Dam G. Dambrine B. Danly F. Danneville I. Darwazeh A. Darwish A. Daryoush N. Das M. Davidovich C. Davis I. Davis

L. Davis F. De Flaviis D. De Zutter M. DeLisio W. Deal C. Deibele A. Deleniv V. Demir T. Denidni D. Deslandes A. Deutsch Y. Deval L. de Vreede T. Dhaene N. Dib L. Ding A. Djordjevi M. A. Do J. Dobrowolski X. T. Dong W. B. Dou P. Draxler R. Drayton A. Dreher J. L. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. W. Dvorak S. Dvorak J. East K. Eda M. L. Edwards R. Egri R. Ehlers N. Ehsan H. Eisele G. Eisenstein S. El-Ghazaly G. Eleftheriades F. Ellinger G. Ellis T. Ellis B. Elsharawy A. Elsherbeni N. Engheta K. Entesari H. Eom I. Erdin C. Ernst D. Erricolo K. Eselle I. Eshrah M. Essaaidi H. Esteban C. Eswarappa G. Ewell M. C. Fabres C. Fager M. Fahmi D. G. Fang A. Faraone M. Farina W. Fathelbab A. Fathy Y. Feng A. Fernandez P. Ferrari A. Ferrero S. J. Fiedziuszko G. Fikioris J. Fikioris F. Filicori D. Filipovic B. Floyd P. Focardi N. H. Fong K. Foster P. Foster P. Frangos P. Franzon J. C. Freire K. Fujii R. Fujimoto O. Fujiwara H. Fukushima C. M. Furse V. Fusco D. Gabbay T. Gaier B. Galwas D. Gamble O. P. Gandhi J. Gao S. Gao H. Garbe J. A. Garcia K. Gard F. E. Gardiol P. Gardner R. Garg J. L. Gautier S. Gedney F. Gekat F. German S. Gevorgian H. Ghali F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione F. Giannini J. Gilb M. Goano E. Godshalk M. Goldfarb R. Gonzalo S. Gopalsami A. Gopinath R. Gordon G. Goussetis J. Grahn G. Grau A. Grbic A. Grebennikov M. Green I. Gresham

J. Grimm A. Griol D. R. Grischowsky E. Grossman Y. Guan S. Guenneau T. Guerrero M. Guglielmi J. L. Guiraud S. E. Gunnarsson L. Guo Y. Guo A. Gupta C. Gupta K. C. Gupta M. Gupta B. Gustavsen W. Gwarek A. Görür M. Hafizi J. Haala J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness D. Haigh A. Hajimiri A. Halappa D. Halchin D. Ham K. Hanamoto T. Hancock A. Hanke E. Hankui L. Hanlen Z. Hao A. R. Harish L. Harle M. Harris O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett G. Hau R. Haupt J. Hayashi L. Hayden T. Heath J. Heaton S. Heckmann W. Heinrich G. Heiter J. Helszajn R. Henderson H. Hernandez K. Herrick J. Hesler J. S. Hesthaven K. Hettak P. Heydari R. Hicks M. Hieda A. Higgins T. Hiratsuka T. Hirayama J. Hirokawa W. Hoefer J. P. Hof K. Hoffmann R. Hoffmann M. Hoft A. Holden C. Holloway E. Holzman J. S. Hong S. Hong W. Hong K. Honjo K. Horiguchi Y. Horii T. S. Horng J. Horton M. Hotta J. Hoversten H. M. Hsu H. T. Hsu J. P. Hsu C. W. Hsue R. Hu Z. Hualiang C. W. Huang F. Huang G. W. Huang K. Huang T. W. Huang A. Hung C. M. Hung J. J. Hung I. Hunter Y. A. Hussein B. Huyart H. Y. Hwang J. C. Hwang R. B. Hwang M. Hélier G. Iannaccone Y. Iida P. Ikonen K. Ikossi K. Inagaki A. Inoue M. Isaksson O. Ishida M. Ishiguro T. Ishikawa T. Ishizaki R. Islam Y. Isota K. Ito M. Ito N. Itoh T. Itoh Y. Itoh F. Ivanek T. Ivanov M. Iwamoto

Digital Object Identifier 10.1109/TMTT.2007.911649

Y. Iyama D. Jablonski R. Jackson A. Jacob M. Jacob D. Jaeger N. A. Jaeger I. Jalaly V. Jamnejad M. Janezic M. Jankovic R. A. Jaoude J. Jargon B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski A. S. Jazi A. Jelenski S. K. Jeng S. Jeon H. T. Jeong Y. H. Jeong E. Jerby A. Jerng T. Jerse P. Jia X. Jiang J. M. Jin Z. Jin J. Joe J. Joubert M. Jungwirth P. Kabos W. Kainz T. Kaiser T. Kamei Y. Kamimura H. Kamitsuna H. Kanai S. Kanamaluru H. Kanaya K. Kanaya P. Kangaslahtii V. S. Kaper N. Karmakar T. Kashiwa K. Katoh R. Kaul T. Kawai K. Kawakami A. Kawalec S. Kawasaki H. Kayano H. Kazemi M. Kazimierczuk S. Kee L. Kempel P. Kenington A. Khalil A. Khanifar A. Khanna F. Kharabi S. Kiaei J. F. Kiang B. Kim B. S. Kim H. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim N. Kinayman P. Kinget S. Kirchoefer A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura T. Kitazawa J. N. Kitchen M. J. Kitlinski K. Kiziloglu B. Kleveland D. M. Klymyshyn L. Knockaert R. Knoechel K. Kobayashi Y. Kogami T. Kolding N. Kolias J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp B. Kormanyos K. Kornegay M. Koshiba J. Kosinski T. Kosmanis S. Koul I. I. Kovacs S. Koziel A. B. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger H. Ku H. Kubo A. Kucharski C. Kudsia W. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo P. Kuo

H. Kurebayashi K. Kuroda N. Kuster M. Kuzuhara Y. Kwon G. Kyriacou M. K. Kärkkäinen F. Ladouceur K. Lakin P. Lampariello M. Lancaster U. Langmann G. Lapin J. Larson L. Larson J. Laskar C. L. Lau A. Lauer D. Lautru P. Lavrador G. Lazzi C. H. Lee J. F. Lee R. Lee S. Lee S. Y. Lee T. Lee T. C. Lee Y. Lee Y. H. Lee D. Leenaerts Z. Lei G. Leizerovich Y. C. Leong S. Leppaevuori G. Leuzzi Y. Leviatan B. Levitas R. Levy G. I. Lewis H. B. Li H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao S. S. Liao D. Y. Lie L. Ligthart E. Limiti C. Lin F. Lin H. H. Lin J. Lin K. Y. Lin T. H. Lin Y. S. Lin E. Lind L. Lind D. Linkhart P. Linnér A. Lipparini D. Lippens A. S. Liu J. Liu L. Liu P. K. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu I. Lo J. LoVetri S. Long N. Lopez M. Lourdiane G. Lovat D. Lovelace Z. N. Low H. C. Lu K. Lu L. H. Lu S. S. Lu V. Lubecke S. Lucyszyn N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy G. Lyons J. G. Ma Z. Ma S. Maas G. Macchiarella J. Machac M. Madihian K. Maezawa G. Magerl S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto J. Malherbe V. Manasson T. Maniwa R. Mansour D. Manstretta M. H. Mao S. G. Mao A. Margomenos R. Marques G. Martin E. Martinez K. Maruhashi J. E. Marzo D. Masotti G. D. Massa D. Masse A. Materka B. Matinpour A. Matsushima S. Matsuzawa G. Matthaei J. Mayock J. Mazierska

S. Mazumder G. Mazzarella K. McCarthy P. McClay G. McDonald F. Medina A. Á. Melcon C. C. Meng W. Menzel F. Mesa A. C. Metaxas P. Meyer P. Mezzanotte E. Michielssen D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo B. Minnis S. Mirabbasi F. Miranda J. Miranda D. Mirshekar C. Mishra A. Mitchell R. Mittra K. Miyaguchi M. Miyakawa R. Miyamoto K. Mizuno S. Mizushina J. Modelski S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro G. Montoro C. Monzon T. Morawski A. D. Morcillo J. Morente D. Morgan M. Morgan K. Mori A. Morini H. Morishita N. Morita H. Moritake A. Morris J. Morsey H. Mosallaei M. Mrozowski J. E. Mueller L. Mullen S. S. Naeini Y. Nagano V. Nair K. Naishadham M. Nakajima K. Nakamura Y. Nakasha A. Nakayama M. Nakhla J. C. Nallatamby S. Nam S. Narahashi T. Narhi A. Natarajan J. M. Nebus I. Nefedov D. Neikirk B. Nelson S. O. Nelson W. C. Neo A. Neri H. Newman M. Ney D. Ngo E. Ngoya C. Nguyen T. Nichols E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. Nikolova K. Nikoskinen K. Nishikawa T. Nishikawa T. Nishino G. Niu D. Nobbe T. Nojima T. Nomura C. D. Nordquist B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors K. O D. Oates M. Odyniec H. Ogawa T. Ohira P. Y. Oijala H. Okabe Y. Okano V. Okhmatovski A. Oki M. Okoniewski G. Olbrich G. Oliveri F. Olyslager A. Omar K. Onodera B. L. Ooi S. Ootaka S. Ortiz J. Osepchuk J. Ou C. Oxley M. Pagani

A. Pages M. Paillard S. Palma G. W. Pan A. Panariello H. Y. Pao Y. Papananos J. Papapolymerou C. Papavassiliou C. S. Park E. C. Park S. Park A. E. Parker R. Parry D. Pasalic D. Pasquet H. M. Pau D. Pavlidis J. C. Pedro S. Peik B. Pejcinovic S. Pellerano G. Pelosi R. Pengelly D. Penunuri J. Pereda B. Perlman D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa A. V. Pham L. Pichon H. Pickett M. Pieraccini P. Pieters L. Pileggi Z. Y. Ping R. Pintelon A. Piovaccari M. Pirola D. Pissoort E. Pistono F. M. Pitschi W. Platte C. Plett C. Pobanz R. Pogorzelski A. Pohl R. K. Pokharel J. L. Polleux G. Ponchak Y. Poplavko A. Porch J. Portilla M. Pospieszalski B. Potter H. Powen D. Pozar L. Pradell S. Prasad D. Prather D. Prescott H. Pretl A. Prieto H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quere R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton A. Raisanen M. Ramirez C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. Rebeiz J. Rebollar M. Reddy E. Reese L. Reindl J. Reinert S. Remillard K. Remley L. Reynolds S. Reynolds J. K. Rhee A. Riddle G. Riha E. Rius I. Robertson P. Roblin J. Rockway C. Rodenbeck A. R. Rofougaran H. Rogier U. Rohde Y. Rolain P. A. Rolland R. Romanofsky S. Rondineau Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg M. Rosker R. Rotman G. Roussy D. Routledge J. Roy L. Roy M. Royer

T. Rozzi J. Rudell C. Ruppel D. Rutledge T. Ruttan A. Rydberg N. Ryskin D. Rytting D. Rönnow C. Saavedra K. Sachse R. Saedi A. Safwat M. Sagawa P. Saha J. Sahalos K. Saito I. Sakagami M. Salomaa A. Samelis A. Sanada M. Sanagi L. Sankey K. Sano A. Santarelli H. D. Santos K. Sarabandi T. Sarkar C. Sarris M. Sato A. Sawicki H. Sayadian W. Scanlon C. Schaffer G. Schimetta M. J. Schindler E. Schmidhammer L. P. Schmidt D. Schmitt J. Schoebl G. Scholl J. Schoukens D. Schreurs W. Schroeder I. Schropp A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann F. Sechi E. M. Segura T. Seki S. Selleri E. Semouchkina J. Sercu A. Serpenguzel J. Sevic O. Sevimli F. Seyfert O. Shanaa I. Shapir A. Sharma S. Sharma J. Sharp J. R. Shealy D. Sheen Z. X. Shen Y. Shestopalov C. J. Shi T. Shibata H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma J. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu D. Sievenpiper J. M. Sill C. Silva L. M. Silveira M. G. Silveirinha W. Simbuerger G. Simin C. Simovski D. Simunic H. Singh V. K. Singh B. Sinha J. Sinsky Z. Sipus P. Sivonen A. Skalare G. M. Smith P. Smith C. Snowden R. Snyder P. P. So M. Sobhy N. Sokal M. Solal K. Solbach R. Sorrentino A. Soury N. Soveiko E. Sovero M. Soyuer P. Staecker A. Stancu S. P. Stapleton P. Starski J. Staudinger B. Stec D. Steenson A. Stelzer J. Stenarson B. Stengel M. Stern M. Steyaert S. Stitzer B. Stockbroeckx B. Strassner M. Stubbs M. Stuchly

B. Stupfel A. Suarez G. Subramanyam N. Suematsu T. Suetsugu C. Sullivan K. O. Sun K. Suzuki Y. Suzuki J. Svacina R. Svitek M. Swaminathan D. Swanson B. Szendrenyi A. Taflove Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar K. W. Tam A. A. Tamijani J. Tan E. Tanabe C. W. Tang W. Tang W. C. Tang R. Tascone A. Tasic J. J. Taub J. Tauritz D. Teeter F. Teixeira R. Temkin M. Tentzeris V. Teppati M. Terrovitis A. Tessmann J. P. Teyssier W. Thiel B. Thompson Z. Tian M. Tiebout R. Tielert L. Tiemeijer E. Tiiliharju G. Tkachenko M. Tobar M. R. Tofighi P. Tognolatti T. Tokumitsu A. Tombak K. Tomiyasu C. Y. Tong A. Topa E. Topsakal G. Town I. Toyoda N. Tran R. Trew C. Trueman C. M. Tsai R. Tsai L. Tsang H. W. Tsao M. Tsuji T. Tsujiguchi M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro G. Twomey H. Uchida S. Uebayashi T. Ueda F. H. Uhlmann H. P. Urbach V. J. Urick N. Uzunoglu R. Vahldieck P. Vainikainen G. Vandenbosch A. Vander Vorst G. Vannini C. Vaucher J. Vaz G. Vazquez I. Vendik J. Venkatesan A. Verma A. K. Verma J. Verspecht L. Verweyen J. Vig A. Viitanen F. Villegas J. M. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu V. Volman B. Vowinkel M. A. Vérez B. Z. Wang K. Wakino P. Waldow A. Walker D. Walker C. Walsh P. Wambacq S. Wane C. Wang C. F. Wang C. H. Wang C. L. Wang F. Wang H. Wang J. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang

K. Warnick S. Wartenberg K. I. Watabe S. Watanabe B. Weaver J. Webb R. Webster S. Wedge C. J. Wei D. V. Weide R. Weigel M. Weihnacht G. Weihs R. Weikle T. Weiland D. Weile J. Weiler S. Weinreb F. Weiss S. Weiss T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. White L. B. White S. Whiteley J. Wiart J. Wight G. Wilkins D. Willems B. Willemsen A. Williamson J. C. Wiltse D. Wittwer M. Wnuk K. Wong K. L. Wong K. Woo W. Woo J. Wood G. Woods R. C. Woods D. Woolard M. Wren H. Wu J. Wu K. Wu K.-L. Wu Q. Wu T. Wu T. L. Wu M. Wurzer C. Xie H. Xu J. Xu S. Xu Y. Xu Y. P. Xu Y. Xuan Q. Xue M. Yagoub T. Yakabe K. Yamamoto K. Yamauchi F. Yang G. M. Yang J. Yang K. Yang L. Yang X. Yang Y. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto S. Ye K. S. Yeo S. P. Yeo L. K. Yeung W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York B. Young P. R. Young H. K. Yu M. Yu P. Yu G. Yue P. Yue S. W. Yun K. Zaki J. Zamanillo J. Zapata L. Zappelli J. Zehentner C. Zelley H. Zhang J. Zhang Q. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhou L. Zhu X. Zhu H. Zirath J. Ziriax S. Zouhdi T. Zwick