IEEE MTT-V055-I04 (2007-04) [55, 04 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04148099......Page 1
020 - 04148100......Page 3
030 - [email protected] 4
040 - [email protected] 10
050 - [email protected] 19
060 - [email protected] 28
070 - [email protected] 36
080 - [email protected] 46
090 - [email protected] 51
100 - [email protected] 59
110 - [email protected] 67
120 - [email protected] 75
130 - [email protected] 85
140 - [email protected] 93
150 - [email protected] 100
160 - [email protected] 106
170 - [email protected] 112
180 - [email protected] 118
190 - [email protected] 125
200 - [email protected] 132
210 - [email protected] 139
220 - [email protected] 145
230 - [email protected] 150
240 - [email protected] 157
250 - [email protected] 163
260 - [email protected] 171
270 - [email protected] 179
280 - [email protected] 186
290 - [email protected] 191
300 - [email protected] 198
310 - [email protected] 204
320 - [email protected] 212
330 - [email protected] 213
340 - 04148106......Page 215
350 - 04148118......Page 216
360 - 04148101......Page 217

Citation preview

APRIL 2007

VOLUME 55

NUMBER 4

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling The Stochastic Gabor Function Enhances Bandwidth In Finite-Difference-Time Domain -Parameter Estimation ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ... G. Bonmassar Modeling and Characterization of On-Chip Transformers for Silicon RFIC ..... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ........ O. El-Gharniti, E. Kerhervé, and J.-B. Bégueret Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation ...... ......... ..... ..... ........ ..... J. Mateu, J. C. Booth, C. Collado, and J. M. O’Callaghan Active Circuits, Semiconductor Devices, and ICs An Ultra-Wideband Distributed Active Mixer MMIC in 0.18- m CMOS Technology .. ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ... C.-R. Wu, H.-H. Hsieh, and L.-H. Lu The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application . ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... J. Nam and B. Kim Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier .... ......... ........ ....... S.-W. Yoon Millimeter-Wave and Terahertz Technologies Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications ........ ........ ......... ......... .. .. ........ ......... ......... ........ ......... ........ H. Xu, G. S. Schoenthal, J. L. Hesler, T. W. Crowe, and R. M. Weikle II 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides . ......... ........ Y. Zhao and D. R. Grischkowsky Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET ...... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ..... H. Mizutani, N. Iwata, Y. Takayama, and K. Honjo Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave ElectromagneticBandgap Crystals ....... ........ ......... ......... ........ ......... ......... ........ ..... B. Martínez, I. Ederra, R. Gonzalo, B. Alderman, L. Azcona, P. G. Huggard, B. de Hon, A. Hussain, S. R. Andrews, L. Marchand, and P. de Maagt Wireless Communication Systems A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18- m CMOS for IEEE 802.15.4 WPAN Applications ... ......... ........ ......... ......... .... I. Nam, K. Choi, J. Lee, H.-K. Cha, B.-I Seo, K. Kwon, and K. Lee High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching ....... ... ....... .. Y.-S. Jeon, J. Cha, and S. Nam

601 607 616

625 633 643

648 656 664 672

682 690

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Field Analysis and Guided Waves Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm ......... ......... ........ ......... ......... ........ ......... ...... F. Xu, K. Wu, and W. Hong An Alternative Algorithm for Both Narrowband and Wideband Lorentzian Dispersive Materials Modeling in the Finite-Difference Time-Domain Method ....... ........ ......... ......... ....... .. ......... ......... ........ ......... . S. Aksoy A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... M. Asahara, C. F. Campbell, and W. R. Frensley CAD Algorithms and Numerical Techniques Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface IntegralEquation Formulation .. ........ ......... ......... ........ ...... .... ......... ........ ......... ......... . F. D. Quesada Pereira, V. E. Boria Esbert, J. P. García, A. Vidal Pantaleoni, A. Alvarez Melcón, J. L. Gomez Tornero, and B. Gimeno Planar Models of Reconfigurable MEMS Circuits ....... .......... ......... ...... P. Arcioni, G. Conciauro, and M. Repossi System and Circuit Models for Microwave Antennas .... ...... .... .... M. I. Sobhy, B. Sanz-Izquierdo, and J. C. Batchelor A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method ...... .. D. Deschrijver and T. Dhaene Filters and Multiplexers A Novel Band-Reject Element for Pseudoelliptic Bandstop Filters ....... ........ ......... ..... U. Rosenberg and S. Amari Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... X. Q. Lin, H. F. Ma, D. Bao, and T. J. Cui A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ... S. Li, J. Huang, Q. Meng, L. Sun, Q. Zhang, F. Li, A. He, X. Zhang, C. Li, H. Li, and Y. He A New Coupling Matrix Extracting Method From the Frequency Response .... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ....... F. Li, X. Zhang, C. Li, Q. Zhang, L. Sun, H. Li, A. He, and Y. He Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression .. ........ ......... ......... ........ ......... ......... ....... C.-H. Wang, P.-H. Deng, and C. H. Chen Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities ...... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... H. J. Tang, W. Hong, J.-X. Chen, G. Q. Luo, and K. Wu Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... M. Miao and C. Nguyen

697 703 709

715 722 729 736 742 747 754 760 768 776

783

Instrumentation and Measurement Techniques Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method . ........ ......... ......... ........ ......... ......... ..... K. George, C.-I. H. Chen, and J. B. Y. Tsui -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ... C. Chambon, L. Escotte, S. Gribaldo, and O. Llopis

795

Biological, Imaging, and Medical Applications Two-Dimensional Radio Frequency Tomography ........ ......... ......... .. K. Lam, M. J. Yedlin, and C. G. Farquharson

801

788

LETTERS

Comments on “Differentially Driven Symmetric Microstrip Inductors” . ........ ......... ......... ........ ....... V. Minerva Authors’ Reply ... ......... ........ ......... ......... ....... .. ......... ......... ........ ......... ...... M. Danesh and J. R. Long

809 809

Information for Authors

811

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $20.00 per year for electronic media only or $40.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. S. KENNEY, President L. BOGLIONI D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. MODELSKI, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

K. G. GARD, Secretary A. MORTAZAWI B. PERLMAN V. J. NAIR A. ROSEN

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. VARIAN (2006) K. C. GUPTA (2005) R. J. TREW (2004)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

DANIEL DE ZUTTER YOSHIO NIKAWA ZOYA POPOVIC Universiteit Gent Kokushikan Univ. Univ. of Colorado, Boulder Belgium Japan USA email: [email protected] email: [email protected] email: [email protected] KENJI ITOH JOSÉ PEDRO SANJAY RAMAN Mitsubishi Electronics Univ. of Aveiro Virginia Polytech. Inst. and State Univ. Japan Portugal USA email: [email protected] email: jcp.mtted.av.it.pt email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEAH H. JAMIESON, President and CEO LEWIS TERMAN, President-Elect PEDRO RAY, Vice President, Regional Activities CELIA L. DESMOND, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association DAVID GREEN, Treasurer PETER STAECKER, Vice President, Technical Activities MICHAEL R. LIGHTNER, Past President JOHN MEREDITH, President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications DONALD CURTIS, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology BARBARA COBURN STOLER, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $85.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2007 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2007.896004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

601

The Stochastic Gabor Function Enhances Bandwidth In Finite-Difference-Time Domain S -Parameter Estimation Giorgio Bonmassar, Senior Member, IEEE

Abstract—This paper introduces the stochastic Gabor function, an excitation waveform that can be used for finite-difference timedomain -parameter estimation. The stochastic Gabor function is a Gaussian function modulated by uniformly distributed noise; it has wide frequency spectrum representation regardless of the stimuli pulse length. The stochastic Gabor function was studied in the time-frequency domain and was compared to Gaussian and Gabor stimuli functions with the same length. As shown by frequency concentration measurements, the stochastic Gabor function is least compact in the sample frequency phase plane. Numerical results obtained by using a multilayer stripline indicate that the stochastic Gabor function provides convergence and stability similar to those provided by the Gabor and Gaussian functions, but produces a much wider frequency band response when used as a pointwise hard voltage source stimulus.

Fig. 1. Stochastic Gabor function.

Index Terms—Noise generators, pulse-shaping methods, source excitation.

I. INTRODUCTION

T

HE FINITE-DIFFERENCE time-domain (FDTD) algorithm has proven valuable for studying two-port systems and for estimating the relative -parameters of realistic devices with both active and nonlinear regions [1]. -parameter networks have been modeled with the aid of the SPICE lumped-circuit simulator on an FDTD grid [2]. The functions most commonly used for -parameter estimation are the Gaussian and Gabor [3] or a Gaussian-modulated sine waveform. -parameter estimation is often used in applications such as wideband antenna design simulations for ultra-wideband communication systems [4] and land-mine detection [5]. Estimation of wideband -parameters typically employs FDTD stimuli sources with very narrow pulses [6]. However, these very narrow pulses are often not physically realizable because of the influence of capacitive strays present in the sources; they also may not match the analytical solutions [7] or allow characterization of all of the reactive components. In other words, narrow pulses are often too short for the exciting wave to extend over the enManuscript received May 8, 2006; revised November 19, 2006. This work was supported in part by the U.S. National Institutes of Health, National Institute for Biomedical Imaging and Bioengineering under Grant R01 EB02459. The author is with the Harvard Medical School, A. Martinos Center for Biomedical Imaging, Charlestown, MA 02129 USA (e-mail: giorgio@nmr. mgh.harvard.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.892806

tire geometry (see [2, p. 715]). This paper illustrates, both in theory and with numerical examples, that the stochastic Gabor function reaps the benefits of a very wide frequency bandwidth while retaining a non-narrow pulsed envelope in time. The stochastic Gabor function is a Gaussian function, which has been modulated by uniformly distributed noise. Pulses of noise are frequently present in digital circuits, and multiclock digital circuits have emission spectrums very close to pink noise or filtered white noise [8]. Such noise radiation and propagation have been extensively studied with FDTD [9]. This paper is organized as follows. Section II defines the stochastic Gabor function. In Section III, statistical parameters of the Gaussian, Gabor, and stochastic Gabor function stimuli are delineated. Section IV introduces time-frequency analysis and illustrates the concept of compactness in the sample frequency phase plane. Finally, Section V shows the -parameter differences of all three types of stimuli using a multilayer stripline FDTD example. II. STOCHASTIC GABOR FUNCTION The stochastic Gabor function (Fig. 1) is defined as (1) is a random Gaussian white where noise process uniformly distributed in , and is the Gaussian function of zero-mean and zero-variance . The set is valid only if the resulting stochastic Gabor function is zero mean (i.e., ). The power spectral density [10] of is

0018-9480/$25.00 © 2007 IEEE

(2)

602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

of the three Fig. 3. Frequency concentration with N = 512 and " = 10 functions for different values of  : the Gaussian ( on top), Gabor with ! = 6 ( , in the middle), and stochastic Gabor ( , on the bottom) functions.

Fig. 4. Multilayer stripline geometry in millimeters. (top) Dielectric coating (transparent gray) on top of a PEC substrate (gray). The resonator (bottom) is a 0.1-mm-thick structure consisting of PEC (light gray) and dielectric (dark gray) structures surrounding a thin Lorentz layer (black).

stochastic Gabor function is

Fig. 2. Spectrograms (256 points Hanning window and 128 points overlap) of: (top) Gaussian ( = 5; N = 64 000), (middle) Gabor (! = 0:1), and (bottom) stochastic Gabor function.

where is the frequency variable and is the discrete Fourier transform, or fast Fourier transform (FFT), of the autocorrelation function of the white noise process is the FFT of . Whitening of the Gaussian in (1) flattens the frequency response. The short-time Fourier transform is used to determine the sinusoidal frequency and phase content of a signal inside a time window, following the spectral changes of the signal over time. The short-time Fourier transform of the

(3) specifies the position of the time window where is the time-window function such that . and By selecting a Gaussian, , as the window function, (4) and the short-time power spectral density becomes

(5)

BONMASSAR: STOCHASTIC GABOR FUNCTION ENHANCES BANDWIDTH IN FDTD

-PARAMETER ESTIMATION

603

TABLE I ELECTRIC PROPERTIES OF THE MATERIALS USED IN THE EXAMPLE, WHERE  IS THE CONDUCTIVITY (SIEMENS/METER), " IS THE RELATIVE PERMITTIVITY, " IS THE STATIC PERMITTIVITY,  IS THE RELAXATION TIME (SECONDS), f IS THE RESONANT FREQUENCY (HERTZ), AND  IS THE DAMPING COEFFICIENT (HERTZ)

Fig. 6. S -parameters S and S versus frequency (in megahertz) for the Gabor function. The low frequencies (200 GHz) are incorrect due to the very low amplitude of the Gaussian stimulus at these frequencies. FDTD simulations with time steps: N = 10 (top) and N = 10 (bottom).

The stochastic Gabor function has a Gaussian envelope in the time domain; its frequency representation (Fig. 2, bottom) is very uniform. A Gaussian (Fig. 2, bottom) has a Gauss func-

III. VARIANCE OF THE GAUSSIAN, GABOR, AND STOCHASTIC GABOR FUNCTIONS

(6)

604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 7. Frequency spectrum of the stimuli used in the numerical example of a multilayer stripline. (top) Gauss. (middle) Gabor. (bottom) Stochastic Gabor function.

The variance of the Gabor function is

Gabor function. A more uniform sampling in frequency corresponds to a source excitation with lower concentration in the sample frequency phase plane [11] (7)

Finally, the variance of the stochastic Gabor function is , a function of the variance of the process noise. The variances of the Gaussian, Gabor, and stochastic Gabor functions introduced in Fig. 2 are 0.094, 0.063, and 0.042, respectively. IV. TIME-FREQUENCY RESOLUTION One of the main advantages of the Gaussian and Gabor functions is their time-frequency localization. Here, the stochastic Gabor function is studied in terms of localization in the time domain, which can be measured by estimating the time-frequency resolution to select the proper , or width, of the stochastic

where is an arbitrarily small constant introduced for regularization. Equation (7) has a form similar to the entropy function ; however, the resulting quantity is an estimate of frequency concentration when the Hermitian vector is transformed into a real vector using the square norm. When all frequency values of are constant, . Conversely, reaches maximal value when the function is concentrated at a single frequency point. For instance, zero frequency concentration occurs when , where results in . High-frequency concentration occurs when , in which case and results in (with ). Fig. 3 shows the difference in frequency concentration as a function of the pulsewidth . In the case of larger values, the stochastic Gabor function—virtually flat in frequency—exhibits much lower concentration in the sample frequency phase plane

BONMASSAR: STOCHASTIC GABOR FUNCTION ENHANCES BANDWIDTH IN FDTD

-PARAMETER ESTIMATION

605

than either the Gaussian or Gabor functions. The Gabor is less concentrated in frequency than the Gaussian, as it is composed of two Gaussians centered at and . As the pulsewidth approaches 0, all functions approach such that the frequency concentration also approaches 0. Finally, the current density in a node of the FDTD algorithm, assuming that a two-port network is orientated in the -direction, can be written as [12] (8) where is a convolution between the exciting wave and the -parameter of the port. This time-stepping equation illustrates that shorter exciting pulses have the advantage of reducing the number of time steps needed for FDTD convergence (see Section V). Therefore, even though the stochastic Gabor function exhibits lower concentration with wider pulses, pulses of limited length should be used in practice. V. NUMERICAL RESULTS In order to illustrate how the stochastic Gabor function performs numerically with FDTD, a multilayer stripline is presented. Multilayer striplines are common in microwave theory and have been used successfully to design safe electroencephalogram (EEG) leads for magnetic resonance imaging [13]. A. Multilayer Stripline This stripline was designed using 140 121 27 Yee cells, 0.1 0.1 0.1 mm in size. Isotropic resolution of one-tenth of a millimeter corresponds to a “magic step” of 0.1926 ps. The stripline is composed of a top plate and a bottom plate (Fig. 4, left). The top plate (transparent gray) is composed of a dielectric material (see Table I) with dimensions of 12 10 0.8 mm; it rests on the plane (mm). The bottom plate (light gray) is a 12 10 0.4 mm conducting plate of perfect electric conductor (PEC) material on the plane mm. The stripline model (Fig. 4, right) consists of three different materials, which are: 1) two T-shaped PEC plates (light gray); 2) two plates of dielectric material (gray) (see Table I); and 3) one thin layer of Lorentz material (dark gray) (see Table I). The two T-shaped elements (light gray) have rectangles with -axis width of 2.7 mm and -axis width of 3.6 mm. The two plates of dielectric material elements (light gray) are PEC rectangular plates with -axis size of 2.6 mm and -axis size of 0.3 mm. The load port is a 50- passive load directed along the -axis and located from to . The stimulation port is an -field hard source directed along the -axis, in a plane parallel to the – -plane, and originates at . This is a voltage source with 1-V amplitude and internal resistance of 50 . All FDTD simulations were performed using Liao’s absorbing boundary conditions, except in the -plane at mm, where the boundaries were set to the PEC. The numerical results show that stability in the -parameters ) estimation can be reached already after FDTD time steps. However, regions of nonconvergence after time steps were observed with both the Gaussian

S

S

S

Fig. 8. -parameters and versus frequency (in megahertz) for the sto= 10 (top) chastic Gabor function. FDTD simulations with time steps: and = 10 (bottom).

N

N

and Gabor functions. (Fig. 5 shows nonconvergence frequencies above 150 GHz; Fig. 6 depicts nonconvergence frequencies below 100 GHz.) Fig. 5 shows the - and -parameters estimated using a single Gaussian with a pulsewidth of 128 time steps. Both and become noisy at frequencies higher than approximately 150 GHz because the amplitude of the Gaussian is reduced by 80 dB at such frequencies (see Fig. 7, top). Fig. 6 shows the - and -parameters estimated using a single Gabor function with a pulsewidth of 128 time steps and a frequency modulation of 267.97 GHz. As with the Gaussian, both -parameters become noisy at frequencies lower than approximately 150 GHz because the amplitude of the Gabor is reduced by 80 dB at such frequencies (see Fig. 7, middle). Fig. 8 shows the - and -parameters estimated using a single stochastic Gabor function with a pulsewidth of 128 time

606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

steps and uniform noise. It is only with this stimulus that a very uniform frequency sampling is obtained. -parameters with a very broad frequency spectrum can also be easily obtained in a single run. B. Computational Details The computation times for all three stimuli (i.e., Gaussian, Gabor, and stochastic Gabor functions) were 18 min and 2 h 52 min for and , respectively, using a 2.4-GHz desktop computer with 1 GB of RAM. Only approximately 23 MB were used for the FDTD calculation. VI. CONCLUSION A new excitation pulse, the stochastic Gabor function, has been introduced. It has a marked cylindrical shape in the timefrequency domain, produces steady values in frequency, and has a Gaussian shape in time. The stochastic Gabor function can be used to estimate the -parameters for enhanced bandwidth; and it required approximately the same number of steps as the Gaussian and Gabor stimuli for convergence in experiments using a multilayer stripline. The traditional stimuli functions in -parameter estimation for FDTD, the Gaussian, and the Gabor pulse functions, have limited frequency bandwidth unless pulse duration is very short. Frequency concentration measurements confirmed that the stochastic Gabor function has lower concentration in the sample frequency phase plane than the Gaussian and Gabor functions, especially when using a long pulsewidth. Hence, a distinct advantage of the stochastic Gabor function stimulus is that it samples the frequency space more uniformly than the traditional stimuli functions. REFERENCES [1] B. Toland, B. Houshmand, and T. Itoh, “Modeling of nonlinear active regions with the FDTD method,” IEEE Microw. Guided Wave Lett., vol. 3, no. 9, pp. 333–335, Sep. 1993. [2] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [3] D. Gabor, “Theory of communication,” J. Elect. Eng., vol. 93, pp. 429–441, 1946.

[4] N. Ni and H. Grebel, “Two-element independently center-fed dipole array for ultrawideband and ultrashort pulse applications,” Antennas Wireless Propag. Lett., vol. 5, no. 1, pp. 127–129, Dec. 2006. [5] T. Montoya and G. Smith, “Land mine detection using a ground-penetrating radar based on resistively loaded Vee dipoles,” IEEE Trans Antennas Propag., vol. 47, no. 12, pp. 1795–1806, Dec. 1999. [6] V. Galdi, P. Kosmas, C. Rappaport, L. Felsen, and D. Castañon, “Shortpulse three-dimensional scattering from moderately rough surfaces: A comparison between narrow-waisted Gaussian beam algorithms and FDTD,” IEEE Trans Antennas Propag., vol. 54, no. 1, pp. 157–177, Jan. 2006. [7] L. Sevgi, F. Akleman, and L. Felsen, “Groundwave propagation modeling: Problem-matched analytical formulations and direct numerical techniques,” IEEE Antennas Propag. Mag., vol. 44, no. 1, pp. 55–75, Feb. 2002. [8] B. Garben, R. Frech, J. Supper, and M. F. McAllister, “Frequency dependencies of power noise,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 166–173, May 2002. [9] N. Younan, C. Taylor, and M. Zunoubi, “FDTD analysis of noise radiation and propagation,” IEEE Trans. Electromagn. Compat., vol. 42, no. 2, pp. 225–229, May 2000. [10] S. M. Kay and S. L. Marple, Jr., “Spectrum analysis: A modern perspective,” Proc. IEEE, vol. 69, no. 11, pp. 1380–1419, Nov. 1981. [11] V. DeBrunner, M. Ozaydýn, and T. Przebinda, “Resolution in timefrequency,” IEEE Trans. Signal Process., vol. 47, no. 3, pp. 783–788, Mar. 1999. [12] X. Ye and J. Drewniak, “Incorporating two-port networks with S -parameters into FDTD,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 77–79, Feb. 2001. [13] G. Bonmassar, “Resistive tapered stripline (RTS) in electroencephalogram recordings during MRI,” IEEE Trans. Microw Theory Tech., vol. 52, no. 8, pp. 1992–1998, Aug. 2004. Giorgio Bonmassar, (S’89–M’90–SM’07) was born in Milan, Italy, on May 13, 1962. He received the Dr.Eng. degree in electronics from the University of Rome “La Sapienza,” Rome, Italy, in 1989, and the Ph.D. degree in biomedical engineering from Boston University, Boston, MA, in 1997. From 1989 to 1991, he was a Research and Development Systems Engineer with Ericsson, Rome, Italy, a Research Fellow (1992–1997) and a Post-Doctoral Fellow (1997) with Boston University, Boston, MA, and a Research Fellow (1998–2000) with Massachusetts General Hospital, Boston, MA. Since 2000, he has been an Instructor with the Harvard Medical School (MGH), Charlestown, MA. He has authored or coauthored over 60 international journal papers and conference presentations on biomedical engineering. Dr. Bonmassar is a member of the International Society for Magnetic Resonance Imaging and the Alfa Eta Mu Beta Biomedical Engineering Research Society. He was the recipient of a 1999 North American Treaty Organization (NATO) Advanced Research Studies Award and a 2000 Whitaker Foundation Biomedical Engineering Grant for Young Investigators. Since 2003, he has been the principal investigator (PI) on a National Institute for Biomedical Imaging and Bioengineering (NIBIB)–National Institutes of Health (NIH) grant.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

607

Modeling and Characterization of On-Chip Transformers for Silicon RFIC Ouail El-Gharniti, Eric Kerhervé, Member, IEEE, and Jean-Baptiste Bégueret, Member, IEEE

Abstract—A broadband and scalable lumped-element model for silicon on-chip transformers is presented. Model elements are driven from layout and process technology specifications. We provide simple and accurate expressions for evaluating the self inductance and the mutual coupling coefficient. The effects of various layout parameters, including transformer area, number of turns, and turns ratio, on transformer electrical response have been investigated. Model accuracy is demonstrated by comparing simulated and measured -parameters, minimum insertion loss, quality factor, coils inductance, and magnetic coupling of several transformers with a wide range of configurations. Index Terms—Equivalent-circuit model, magnetic coupling, on-chip transformers, patterned ground shield (PGS), quality ( ) factor, RF integrated circuit (RFIC) design.

I. INTRODUCTION

O

N-CHIP transformers substantially contribute to enhance the reliability, efficiency, and performance of silicon-integrated RF circuits. Recently, much research have been focused on the design and characterization of integrated transformers [1]–[10]. Transformers are typically used for impedance matching and as baluns for conversion between differential and single-ended signals. Previous papers have reported the integration of monolithic transformers in power amplifiers [11], [12], voltage-controlled oscillators [13], and low-noise amplifiers [14], [15]. A comprehensive review of transformers is presented in [4] and a description of the silicon substrate effect of transformers performances is presented in [21]. Significant efforts have already been reported in the literature that aims at characterizing stacked and interleaved transformers. Therefore, the existence of reliable transformer models is the main obstacle toward extensive use of integrated transformers in industrial applications. The inclusion of a poorly modeled and characterized element as the integrated transformer in a design turns the whole process into an extremely risky matter. The key to accurate modeling is the ability to identify the transformer loss mechanisms, the relevant parasitic, and their effects.

Manuscript received July 31, 2006; revised November 30, 2006. This work was supported in part by the European Commission under the Nano2008 Program. O. El-Gharniti was with the IXL Microelectronics Laboratory, University of Bordeaux, F-33405 Talence, France. He is now with ACCO S.A., 78100 Saint Germain en Laye, France (e-mail: [email protected]). E. Kerhervé and J.-B. Bégueret are with the IXL Microelectronics Laboratory, University of Bordeaux, F-33405 Talence, France. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893647

In recent years, some limited transformers’ compact models have been proposed. In most cases, modeling work has been limited to extraction and curve fitting from -parameter data of a single device [5]–[9]. Layout scaling of model parameters is usually neglected. A computer-aided-design tool for transformers has been introduced in [1]. In [2] and [3], a model based on closed-form expressions for stacked transformers were developed. Simulation accuracy was verified by comparison with experimental measurements of stacked transformers with a patterned ground shield (PGS). An equivalent-circuit model for a two-port interleaved planar transformer was introduced in [10]. Simulations were found to be in close agreement with measured data under matched and unmatched conditions. However, no closed-form expressions have been developed to calculate the model components. In [4], a generalized four-port transformer model with an ideal transformer at its core was described. The equivalent-circuit components were calculated using numerical methods. In this paper, we provide a broadband and a scalable lumped-element model for interleaved transformers fabricated on a conductive silicon substrate. Model components are calculated using analytical expressions that make use of geometrical and technological data. Such an approach allows the designer to quickly optimize and simulate transformers with the geometry that best suits the needs of the circuits. The model is validated with measurements from a wide range of transformer layout geometries. Good agreement was found between simulated and measured -parameters and minimum insertion loss. The effect of layout scaling on magnetic coupling, coil inductance, and quality factor was also investigated through on-wafer measurements.

II. MONOLITHIC TRANSFORMERS DESIGN A. Transformer Layout The devices considered in this study have the topology shown in Fig. 1. In this structure, two parallel coils on the same metal layer are symmetrically interwound side by side [16]–[18]. Lower metal layer conductors are used to connect the inner terminals to other circuitry. Transformers with a transformation ratio larger than unity can be built as shown in Fig. 1(b). The 3 : 1 step-down ratio between primary and secondary coils is realized by sectioning the secondary winding into three individual turns rather than one continuous winding. The three single turns are then connected in parallel to form the 3 : 1 transformer.

0018-9480/$25.00 © 2007 IEEE

608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 1. (a) Layout of a symmetrical 1 : 1 transformer. (b) Layout of a 3 : 1 stepdown transformer.

Fig. 3. Lumped-element circuit model of the transformer.

current. The X shape in the PGS enables lower shield resistance because the capacitive current flows the smallest distance to reach the ground. III. ANALYTICAL TRANSFORMER MODEL

Fig. 2. (a) Cross-sectional view of on-chip transformer. (b) PGS below the transformer.

B. PGS To shield the transformer from the silicon substrate, we use a ground shield [18]–[21]. The ground shield prohibits the electric field from entering the substrate. Fig. 2(a) and (b) shows the PGS below the transformer. Due to the close proximity of the device and shield, using solid metallization would allow image eddy currents to flow, which would produce an opposing magnetic field. This would reduce the device’s magnetic energy and, hence, increase the device’s insertion loss. To minimize the parasitic capacitance between the transformer and the PGS, this one is formed with a bottom metal and silicided polysilicon. The poly fingers are constructed along the directions perpendicular to the direction of eddy-current flows. By doing so, we slice the path of the eddy

The scalability of the electrical model implies that it must be driven from the layout and process technology specifications. Fig. 2(a) shows a 3-D section of a transformer. The key to accurate modeling is the ability to identify the relevant parasitic and their effects. Fig. 3 illustrates the compact model for a transformer with four independently driven ports. In many applications, this model can be further simplified because one or more ports are grounded. The transformer turns are modeled by ideal inductances and . The magnetic flux between coils is modeled by coupling coefficient . The ohmic losses in the conductors are represented by the series resistances and . , , , and model the parasitic capacitive and resistive coupling between winding turns. – model the parasitic capacitances between the transformer and PGS. – represent the parasitic resistances through the PGS and the substrate dissipation. A. Series and Mutual Inductances To calculate the self inductances of the primary and secondary coils of a symmetrical transformer, we use the empirical formulas (1a)

(1b)

EL-GHARNITI et al.: MODELING AND CHARACTERIZATION OF ON-CHIP TRANSFORMERS FOR SILICON RFIC

609

TABLE I GEOMETRICAL AND CIRCUIT PARAMETERS OF THE TRANSFORMER MODEL

where is the number of primary turns, is the outer diameter, is the median diameter [22], is the track width, and is the line-to-line spacing. The inductance is primarily determined by the magnetic flux. Therefore, the variation of the wire cross-sectional dimension has little effect on the inductance. For ideal transformers (no leakage of the magnetic flux), the ratio is equal to the square root of . However, different transformers’ measurement results have shown that the ratio is equal to . Thus, in the case of a transformer with different primary and secondary turns, the secondary inductance is given by (2) The factor represents the strength of the magnetic coupling between the primary and secondary windings. It is always less than unity. The relationship between the factor and the parameters that influence the magnetic coupling between windings of a transformer fabricated on an integrated circuit (IC) is complex. In [2] and [3], two analytical expressions have been developed for stacked transformers. These expressions use the average diameter, outer diameter, number of turns, and track width of each winding. Based on the same layout parameters, a closed-form expression to calculate the factor has been developed for interleaved transformers. The dependence of on the layout of windings was taken into account using the following expression:

and the resonance frequency, which is related to the transformer area, must be considered. The accuracy of (3) is demonstrated in Table I, which compares the measured and calculated magnetic coupling coefficients of transformers with different geometrical layout parameters. B. Parasitic Capacitances and Resistances The capacitance models the parasitic capacitive coupling between the transformer and PGS. For a typical transformer, the lateral dimensions are much larger than the oxide thickness and are comparable to the silicon thickness. As a result, the capacitance is proportional to the area occupied by the transformer and can be calculated by (4) is the inner diameter of the transformer, and and where are the distance and dielectric constant of the oxide layer between the transformer core and PGS. The series capacitance and model the parasitic capacitive coupling between the input and output ports of the primary and secondary inductances. These capacitances allow the signal to flow directly from the to port and from the to port. These capacitances are essentially determined by the capacitance between the primary and secondary inductances and their underpasses (5)

(3) The enhancement of the number of turns and the outer diameter while decreasing the metal width leads to an improvement of the factor. However, the ohmic losses in the windings

where is the distance between the transformer core and the underpass. In the case where the terminals and are grounded, these capacitances are added to the parasitic capacitance .

610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

The parasitic resistance arises from the finite distance through which the distributed capacitive current must flow through before it reaches the ground [23]

(6) where and are the sheet resistances for silicided polysilicon and metal1, respectively. and are the width of the X-shape traces [see Fig. 2(b)] and the outer diameter of the PGS, respectively. The mutual resistance models the real part of the mutual coupling between the primary and the secondary winding. In most cases, it can be neglected. However, its effect becomes significant when the line-to-line spacing is less important than the thickness of the metal line. The capacitance models the transformer isolation at low frequencies. The value of this capacitance is in the order of some hundreds of picofarads. C. Resonance and Working Frequency The resonance frequency of a grounded symmetrical transformer can be calculated as follows: (7) as the frequency where We define the working frequency the minimum insertion loss reaches its optimum. Fig. 4 shows the work frequency versus the resonance frequency. We observe that the resonance frequency is 1.6 times the work frequency for different symmetrical transformers with a different number of turns, outer diameter, trace width , and spacing between traces (8)

Fig. 4. Measured values of the working frequency f versus resonance frequency f for different transformers (T 1; T 2; . . . ; T 7).

(9b) where and are the primary and secondary series resistances. is the dc resistance, is the thickness of the metal (expressed in m), and is the working frequency previously described. IV. EXPERIMENTAL MODEL VALIDATION

D. Series Resistances The series resistance is composed of dc and ac resistances. Due to eddy current, the ac resistance is frequency dependent, which make it difficult to predict using physics-based expressions. The eddy-current effect occurs when a conductor is subject to time-varying magnetic fields. This current is composed of skin and proximity effects. The skin effect increases proportionally to the square root of frequency, while the proximity effect depends on the geometry and orientation of the conductor. Eddy current reduces the net resistance flow in the conductor and, hence, increases the ac resistance. In [2] and [3], the ac resistance increases as a function of the square root of frequency, while an analysis of current crowding effects in [24] shows that it increases according to the square of the frequency. In the proposed model, the ac resistance takes both effects into account when it increases according to the frequency correlated to the frequency of work and to the area of the transformer. The total series resistance can be calculated using the following expressions: (9a)

A. Measurement Setup On-wafer measurement of transformers has been carried out using a vector network analyzer HP8510B and a Karl Suss probe station with coplanar ground–signal–ground (GSG) probes. The -parameter measurement was carried out by using two-port configuration, in which the inner termination of the primary and secondary coils has been grounded. The parasitic effect of probing pads was deembedded using an open-circuit pad structure, identical to the one that the transformer is connected to. B.

-Parameter Validation

Transformers with various geometrical configurations including different metal thickness, oxide thickness, and layout dimensions were fabricated using a 0.25- m SiGe process. The substrate has a moderate resistivity of 15 cm. Fig. 5 shows the top view of a fabricated transformer. The geometrical scalability and accuracy of the proposed model is demonstrated with experimental measurements in Figs. 6–11. The model is validated with measured data up to 12 GHz for transformers operating from 1 to 7 GHz with

EL-GHARNITI et al.: MODELING AND CHARACTERIZATION OF ON-CHIP TRANSFORMERS FOR SILICON RFIC

611

Fig. 5. Top view of a fabricated on-chip transformer.

Fig. 7. Measured and modeled values of: (a) S 11, (b) S 21, and (c) IL of on-chip transformer with OD = 210 m, W = 8 m, S = 2 m, N = 4, and T = 0:6 m.

Fig. 6. Measured and modeled values of: (a) S 11, (b) S 21, and (c) IL of on-chip transformer with OD = 312 m, W = 10 m, S = 2 m, N = 4, and T = 2:5 m.

: ratios of 2 : 2, 3 : 3, 4 : 4, 4 : 3, 4 : 2, and 4 : 1. This range of validation covers most applications for which an on-chip transformer could be used. Other : ratios are possible;

however, if the turns ratio is very important, the insertion loss will be prohibitive. In this section, the -parameters and minimum insertion loss are used to validate the model. In the following sections, the quality factor, coils inductance, and coupling coefficient are used to demonstrate the scalability of the model. Table I provides an interesting comparison of measurement and modeled results of primary inductance, coupling coefficient, and resonance frequency of different transformers. The expressions used to calculate the model elements is accurate within 2.65% for the primary inductance, 3.26% for the coupling coefficient, and 4.35% for the resonance frequency. The magnitude and phase of the modeled and measured -parameters of three transformers with different metal width, outer

612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 8. Measured and modeled values of: (a) S 11, (b) S 22, (c) S 21, (d) and IL N = 4, N = 2, and T = 2:5 m.

diameter, and turns ratio are compared in Figs. 6–8. The model elements are computed using the equations introduced and described in Section III. We observe a good agreement between measured and simulated data for both the magnitude and phase up to 9 GHz. This demonstrate that the topology adopted predict the performance of integrated transformers. The minimum insertion loss is a useful and traditional figure-of-merit for integrated transformers [3], [11], [18], [19], [22]. It is defined as the inverse of the maximum available gain. In order to compare the proposed model with others referenced in the literature, Figs. 6–8 exhibit the minimum insertion loss. We observe excellent agreements between the predicted and measured results. C. Effect of Metal and Oxide Thickness We use impedance parameters to extract the inductances and , quality factors and , and coupling coefficient as function of frequency. These parameters permit to evaluate the transformer and compare its performance to other transformers. and are calculated using the following expressions: (10a) (10b) where , sions:

, and

are calculated using the following expres-

(11)

of 2 : 1 on-chip transformer with OD = 210 m, W = 8 m, S = 2 m,

(12a) (12b) Fig. 9(a) shows the measured and modeled quality factor of two transformers using different metal and oxide thicknesses. Both transformers have the same layout. An improvement of 90% in the quality factor is observed by increasing the aluminum thickness from 0.6 to 2.5 m. Fig. 9(b) and (c) illustrates the inductance and coupling coefficient of both transformers. As predicted, the thickness of the metal layer has little influence on the inductance and coupling coefficient values at low frequencies. The oxide thickness decreases when the 0.6- m metal layer is used instead of the 2.5- m metal layer. Thus, the capacitance increases, while the inductance and coupling coefficient are unchanged. As predicted by (6), we obtain a higher resonance frequency with the use of the 2.5- m metal layer; the improvement is proportional to the oxide thickness. The quality factor and bandwidth can be improved by increasing the oxide and metal thickness. The close agreement between measured and modeled results indicates that the model is capable of accounting for variation in the metal and oxide thickness. D. Effect of Transformer Area Transformers with 412-, 312-, and 210- m outer diameter, four turns, and 2- m spacing were fabricated and tested. Fig. 10(a)–(c) illustrates the effect of layout area on the quality factor, inductance, and coupling coefficient of transformers.

EL-GHARNITI et al.: MODELING AND CHARACTERIZATION OF ON-CHIP TRANSFORMERS FOR SILICON RFIC

Fig. 9. Measured and modeled values of: (a) primary quality factor Q , (b) primary inductance L , and (c) coupling coefficient k as a function of frequency and metal thickness T (T = 0:6 and 2:5 m), N = 4, OD = 210 m, W = 8 m, S = 2 m.

We observe good agreement between measurement and model up to the resonance frequency. The factor initially rises with frequency as the reactive component of the impedance increases, peaks, and then decreases due to increasing energy dissipation at high frequencies. Transformers with same turns and pitch have the same peak quality factor value at different working frequencies. is 7.3 for both transformers at 1.56, 2.44, and 4.85 GHz. The

613

Fig. 10. Measured and modeled values of: (a) primary quality factor Q , (b) primary inductance L , and (c) coupling coefficient k as a function of frequency and outer diameter OD (OD = 412; 312; and 210 m).

width and thickness of the metallization added to the resistivity of the substrate limit the transformer quality factor because energy is dissipated by finite resistivity of metallization (skin and proximity effect), as well as in the conductive substrate. Fig. 10(b) and (c) shows that the inductance and coupling coefficient values increase as the outer diameter increases for a fixed number of turns and pitch. A transformer with a wider area leads to a high parasitic capacitance and, thus, a lower resonance frequency.

614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

depends on the length of the winding, thus the inductance of the four-turn transformer is nearly 1.75 times the two-turn transformer inductance. The coupling coefficient is higher for a symmetrical transformer with an important number of turns because the magnetic edge coupling is more important. The resonance frequency and working frequency decrease as the area increases. The resonance frequency for a transformer with two turns is 1.6 times as high as the resonance frequency of transformer with four turns. The quality factor peak is 6.9, 7.5, and 7.9 at 2.2, 2.6, and 3.4 GHz, respectively, for transformers with two, three, and four turns. V. CONCLUSION An improved broadband lumped-element model for integrated transformers with PGS has been developed. The model lumped elements are derived from layout and process technology specifications. Accurate and simple expressions have been provided for evaluating the self inductances and mutual coupling coefficient. Verification with measurement data from the SiGe process has demonstrated the accuracy and scalability of the model. The effect of various layout parameters on the quality factor, inductance value, and coupling coefficient have been investigated and confirmed with experimental data. The model is compact and sufficiently accurate for RF integrated circuit (RFIC) applications. REFERENCES

Fig. 11. Measured and modeled values of: (a) primary quality factor Q , (b) primary inductance L , and (c) coupling coefficient k as a function of frequency and number of turns N (N = 4; 3; and 2), OD = 345 330 m, W = 10 m, S = 5 m.

2

E. Effect of Number of Turns To confirm the scalability of the model, transformers with a different number of turns were designed and tested. Fig. 11(a)–(c) illustrates the effect of the number of turns on the transformer performances. The coupling coefficient and the inductance increase as the number of turns increases. We observe that the inductance value

[1] A. M. Niknejad and R. G. Meyer, “Analysis, design, and optimization of spiral inductors and transformers for Si RFIC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 10, pp. 1470–1481, Oct. 1998. [2] S. S. Mohan, C. P. Yue, M. Hershenson, S. S. Wong, and T. H. Lee, “Modeling and characterization of on-chip transformers,” in Int. Electron Device Meeting Tech. Dig., Dec. 1998, pp. 531–534. [3] T. Biondi, A. Scuderi, E. Ragonese, and G. Palmisano, “Analysis and modeling of layout scaling in silicon integrated stacked transformers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2203–2210, May 2006. [4] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [5] H. M. Hsu, M. M. Hsieh, C. W. Tseng, and K. H. Huang, “High coupling transformer in CMOS technology,” in Proc. RFIC Symp. Dig., Jun. 2006, pp. 257–260. [6] A. H. Aly and B. Elsharawy, “Modeling and measurements of novel high k monolithic transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1247–1250. [7] T. Kamgaing, M. Petras, and M. Miller, “Broadband compact models for transformers integrated in conductive silicon substrates,” in Proc. RFIC Symp. Dig., Jun. 2004, pp. 457–460. [8] Y. Mayevskiy, A. Watson, P. Francis, K. Hwang, and A. Weisshaar, “A new compact model for monolithic transformers in silicon-based RFICs,” IEEE Wireless Compon. Lett, vol. 15, no. 6, pp. 419–421, Jun. 2005. [9] T. O. Dickson, M. A. LaCroix, S. Boret, D. Gloria, R. Beerkens, and S. P. Voinigescu, “30–100 GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 132–133, Jan. 2005. [10] F. M. Rotella, C. Cismaru, Y. G. Tkachenko, Y. Cheng, and P. J. Zampardi, “Characterization, design, model validation of silicon on-wafer M : N balun components under matched and unmatched conditions,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1201–1209, May 2006. [11] W. Simbürger, H. Wohlmuth, P. Weger, and A. Heinz, “A monolithic transformer coupled 5-W silicon power amplifier with 59% PAE at 0.9 GHz,” IEEE J. Solid-State Circuits, vol. 34, no. 12, pp. 1881–1892, Dec. 1999. [12] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002.

EL-GHARNITI et al.: MODELING AND CHARACTERIZATION OF ON-CHIP TRANSFORMERS FOR SILICON RFIC

[13] S. Ko, J. G. Kim, T. Song, E. Yoon, and S. Hong, “K - and Q-band CMOS frequency sources with X -band quadrature VCO,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2789–2800, Sep. 2005. [14] J. J. Zhou and J. Allstot, “Monolithic transformers and their application in a differential CMOS RF low-noise amplifier,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2020–2027, Dec. 1998. [15] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18- m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [16] E. Frlan, S. Meszaros, M. Cuhaci, and J. Wight, “Computer-aided design of square spiral transformers and inductors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1989, pp. 661–664. [17] M. Y. Bohsali and A. Niknejad, “Microwave performance of monolithic silicon passive transformers,” in Proc. RFIC Symp. Dig., Jun. 2004, pp. 647–650. [18] O. Elgharniti, E. Kerherve, and J. B. Begueret, “Characterization of Si-based monolithic transformers with patterned ground shield,” in Proc. RFIC Symp. Dig., Jun. 2006, pp. 261–264. [19] S. M. Yim, T. Chen, and K. O. Kenneth, “The effects of a ground shield on the characteristics and performance of spiral inductors,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 237–244, Feb. 2002. [20] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [21] K. T. Ng, B. Rejaei, and J. N. Burghartz, “Substrate effects in monolithic RF transformers on silicon,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 377–383, Jan. 2002. [22] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press, 1998. [23] N. Talwalkar, “Integrated CMOS transmit–receive switch using on-chip spiral inductors,” Ph.D. dissertation, Dept. Elect. Eng., Stanford Univ., Stanford, CA, 2003. [24] W. B. Kuhn and N. M. Ibrahim, “Analysis of current crowding effects in multiturn spiral inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 31–38, Jan. 2001. Ouail El-Gharniti was born in El Fakih, Morocco, in 1980. He received the M.S. degree in electrical engineering from the Ecole Nationale Supérieure d’Electronique, Informatique et Radiocommunications de Bordeaux (ENSEIRB), Bordeaux, France, in 2003, and the Ph.D. degree in electrical engineering from the University of Bordeaux, Bordeaux, France, in 2006. He is currently with ACCO S.A., Saint Germain en Laye (Paris), France. His research interests include design, characterization, and modeling of RF passive devices, power amplifiers, and ultra-wideband (UWB) low-noise amplifiers.

615

Eric Kerhervé (M’96) was born in Paris, France, in 1966. He received the M.Sc. degree in electronics from the University of Brest, Brest, France, in 1989, and the Ph.D. degree in electrical engineering from the University of Bordeaux, Bordeaux, France, in 1994. His thesis was based on microwave low-noise amplifier (LNA) design for satellite communications. In 1996, he became an Associate Professor with the University of Bordeaux. He is currently with the IXL Microelectronics Laboratory, University of Bordeaux, which is affiliated with the French National Science Research Center (UMR-CNRS 5818). He has authored or coauthored over 70 technical journal and conference papers. His research interests include microwave and RF circuits, especially LNAs, power amplifiers, and filters.

Jean-Baptiste Bégueret (M’99) received the M.S. degree in electronics and Ph.D. degree from the University of Bordeaux, Bordeaux, France, in 1990 and 1996, respectively. In 1997, he joined IXL Microelectronics Laboratory, University of Bordeaux, Bordeaux, France, as an Assistant Professor, and in 1999, became an Associate Professor with the IC Design Team, where, since 2000, he has led the High-Speed Mixed IC Team. Since 2005, he has been the Head of this team. He has coauthored over 100 publications in international conferences and 18 papers in major journals. He holds six patents. His research interests are silicon, SiGe, and silicon-on-insulator (SOI) IC design for RF (1 GHz up to 77 GHz) and high-speed data link (10 and 40 Gb/s) applications. Dr. Bégueret has been a member of the Technical Program Committee (TPC) of the IEEE Custom Integrated Circuit Conference (CICC). In 2002, he was the recipient of the Best Paper Award presented at the Asia–Pacific Microwave Conference, Tokyo, Japan. Since 2004, he has been a member of the RF Subcommittee of the TPCs of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), IEEE International Workshop on Radio-Frequency Integration Technology (RFIT) and the IEEE International Conference on Electronics, Circuits and Systems (ICECS).

616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation Jordi Mateu, Member, IEEE, James C. Booth, Carlos Collado, Member, IEEE, and Juan M. O’Callaghan, Senior Member, IEEE

Abstract—We present a general procedure for calculating intermodulation distortion in coupled-resonator filters that allows one to predict the performance of a nonlinear filter as a function of the general nodal matrix defining the filter and the material parameters that cause the nonlinear behavior. It is valid for almost any type of nonlinear distributed effects, including those produced by high-temperature superconductors, nonlinear dielectrics such as ferroelectrics, or superconductor/ferroelectric bilayers, and it is valid when the spatial distribution of nonlinearities is not uniform. The procedure has been validated with experimental measurements in an eight-pole quasi-elliptic superconducting filter. Using this procedure, we have assessed a combination of materials with different types of nonlinear effects to partially or completely mitigate the filter’s nonlinear response. This includes superconducting filters with a ferroelectric pre- or post-distorter stage or even with intermediate ferroelectric compensation stages. Index Terms—Ferroelectrics, harmonic balance, intermodulation products, nonlinearities, nonlinear measurements, superconducting filters.

I. INTRODUCTION

H

IGH-TEMPERATURE superconductor (HTS) filters are known to achieve superior performance with reduced insertion loss and high selectivity in very small planar topologies [1]. At present, most commercial efforts have concentrated on front-end pre-select bandpass filters for wireless applications, where the HTS filter improves the sensitivity and selectivity of the receiver, increasing the coverage and improving the quality of service [2]. In spite of this, there are still limitations that may exclude their use in many applications at microwave frequencies. In particular, their inherent nonlinear response, which produces intermodulation distortion (IMD), is a serious problem in communication systems [3]. Quantifying and reducing this degradation is crucial for spreading the application of HTS filters and also for improving their benefits.

Manuscript received August 9, 2006; revised November 21, 2006. This work was supported under the Fulbright Program, by the Spanish Ministry of Science and Technology, and by the Spanish Government (CICYT) under Grant MAT-2005-05656-C03 and under Contract RYC-2005-001125. This work was supported in part by the U.S. Government. J. Mateu and J. C. Booth are with the National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: [email protected]; [email protected]). C. Collado and J. M. O’Callaghan are with the Department of Signal Theory and Communications, Universitat Politècnica de Catalunya, Barcelona 08034, Spain (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892802

Recent work discusses the possibility of combining superconducting thin films with nonlinear dielectrics (such as ferroelectrics) to partially or completely cancel the nonlinear effects in superconducting devices such as filters [4]. The cancellation conditions in [4] refer to the case of a nonlinear transmission line where the properties of the dielectric and conductor are uniform along the line (e.g., in a planar line with an HTS/ferroelectric bilayer). Since this could give rise to high loss due to the low loss tangent that most ferroelectrics have, in this paper, we explore alternative configurations for IMD cancellation where the nonlinear dielectric is placed only (or dominantly) in certain areas of the planar layout. Additionally, this paper presents a procedure to calculate the IMD produced by the filter from its nodal matrix [5] and from the parameters of the distributed nonlinearities in its resonators. This procedure is applicable to almost any type of distributed nonlinear effects, including those produced by HTS films, ferroelectric layers in the substrate, or HTS/ferroelectric bilayers, and can also be applied to the cases referred above where IMD compensation is achieved with a distribution of nonlinearities that is not spatially homogeneous. II. NONUNIFORMLY DISTRIBUTED NONLINEAR PROPERTIES IN TRANSMISSION LINES AND SINGLE RESONATORS Here, we extend the analysis made in [4] to transmission lines and transmission line resonators with nonlinearities that are not uniformly distributed along the line. As detailed in [4], [6]–[9], the equivalent circuit of a segment of transmission line with nonlinearities in the conductor and dielectric follows the equivalent circuit in Fig. 1(c). When dielectric nonlinearities are negligible or nonexisting, the equivalent circuit corresponds to that in Fig. 1(a). Similarly, Fig. 1(b) shows the equivalent circuit of a segment with negligible or nonexisting conductor nonlinearities. In all the above cases, conductor nonlinearities could be produced by a superconducting material, whereas dielectric nonlinearities could come from a ferroelectric material. Assuming a power law dependence of the circuit parameters in Fig. 1, we obtain

0018-9480/$25.00 © 2007 IEEE

with with with with

(1) (2) (3) (4)

MATEU et al.: IMD IN COUPLED-RESONATOR FILTERS WITH NONUNIFORMLY DISTRIBUTED NONLINEAR PROPERTIES

Fig. 1. Equivalent circuit of a transmission line elemental segment of length dz with: (a) nonlinear effects in the conductor part, (b) nonlinear effects in the dielectric, and (c) nonlinear effects in both the conductor and dielectric parts. Note that formally the circuit parameters should be scaled by dz .

where H/m m F/m and S/m are the linear distributed parameters and H/m m F/m and S/m are the scaling factors setting the strength of the nonlinear effects. Although the procedure described henceforth might be applied for any power dependence, we will use a quadratic nonlinear dependence , which agrees with many results found in the literature for both HTS [10], [11] and ferroelectric thin films [7]–[9]. Details on how to apply this procedure for a given type of power dependence in superconductors may be found in [12]. A. IMD in Transmission Lines With Nonuniformly Distributed Nonlinear Properties We first consider the case of a length of nonlinear transmission line with negligible (or nonexisting) dielectric nonlinearities. The IMD current produced at frequency at the output of an HTS transmission line segment of length can be calculated from [4, eq. (25)] as follows: (5) where and are the currents at fundamental frequencies and feeding the line, is the propagation constant of the HTS line, is the characteristic impedance of the superconducting line, and the term accounts for the nonlinear effects [6], where . Reference [4, eq. (25)] also gives the condition for considering dielectric nonlinearities negligible: , where the term accounts for the nonlinearities in the dielectric. Repeating the analysis above for a low-loss transmission line with dominant nonlinearities in the dielectric, we may use [4, eq. (25)] to write

Fig. 2. Outline of the structures analyzed in Section II. (a) HTS (input) and ferroelectric (output) cascaded transmission line segments. (b) Ferroelectric (input) and HTS (output) cascaded transmission line segments. (c) HTS resonator with ferroelectric input feeding line. (d) HTS resonator with ferroelectric output feeding line. (e) HTS resonator with interstage ferroelectric segments. l and l are the length of the superconducting and ferroelectric segments, respectively.

Below we calculate the IMD resulting from cascading two nonlinear transmission lines corresponding to the two cases above [see Fig. 2(a) and (b)] and find the conditions for IMD cancellation at the output. We assume perfect impedance matching between them . 1) HTS Ferroelectric Transmission Line: As shown in Fig. 2(a), this case considers a traveling wave that goes from a transmission line whose nonlinearities are dominated by the HTS to one where the nonlinearities are dominated by a ferroelectric. By using (5) and (6) and considering the propagation of the IMD and fundamental signals along the structure of Fig. 2(a), the resulting IMD is

(7) is the characteristic impedance of the line and is where the real part of . Since the nonlinear effects for the HTS and ferroelectric are in opposite phase [8], [13], we find the condition for IMD cancellation as (8) HTS Transmission Line: As shown in 2) Ferroelectric Fig. 2(b), this case considers a traveling wave that goes from a transmission line whose nonlinearities are dominated by the ferroelectric to one where the nonlinearities are dominated by the HTS. In analogy to the previous case, the IMD for this structure results as follows:

(6) where is the propagation constant of the line, is its length, and is its characteristic impedance. Similarly to the previous case, dielectric nonlinearities might be considered dominant if .

617

(9) is the real part of . where The cancellation condition is now (10)

618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

For low losses or very short input lines, both conditions, (8) and (10) are almost identical. Note that the main difference when compared to the results presented in [4] is that the length of the lines may be used as a fitting parameter to cancel the nonlinear effects without needing to modify the nonlinear terms and . Also note that this would have an important impact on practical devices since we can adjust the nonlinear response just by changing the length of the lines. B. IMD in a Single Resonator With Nonuniformly Distributed Nonlinear Properties For a resonator, we assess three different cases of combining HTS and ferroelectric segments, respectively, illustrated in Fig. 2(c)–(e). For analyzing these cases, we also use the results reported in [4] and [6]. In [4], we obtained the output IMD current for a general bilayer HTS/ferroelectric resonator as (11) is the loaded quality factor of the resonator and where is the distributed inductance of the half-wave transmission line resonator. Equation (11) assumes a sinusoidal distribution of the current along the resonator [4]. Note that for purely HTS nonlinear effects (cases 1 and 2 below), . 1) Half-Wave HTS Transmission Line Resonator With a Ferroelectric Input Feeding Line: Fig. 2(c) illustrates this case. The following equation gives the IMD resulting in this case:

onator. By using (14), the following cancellation condition results: (15) Equations (14) and (15) are very significant because they show us how the nonlinear effects in an HTS resonator may be cancelled by pre-distorting the signals feeding the resonator or by post-distorting the signals at the output of the resonator without altering its performance. As in Section II-A, the cancellation conditions in (14) and (15) may be controlled by tuning the length of the ferroelectric feeding lines. Note, however, that for input tones that are not at the center of the resonance, the cancellation conditions are not valid because they assume that peak currents (i.e., those of the IMD and fundamentals) are those obtained at resonance. Therefore, the output IMD currents in these two cases have strong frequency dependence, albeit they are minimum at the resonant frequency. 3) Intermediate Compensation Stages: This case corresponds to the one illustrated in Fig. 2(e) and avoids the frequency dependence mentioned above by introducing segments with dominant dielectric nonlinearities in the resonator. As in the bilayer HTS/ferroelectric case (11), we use the procedure described in [4] and [6], and consider the fact that the circuit distributed nonlinear parameters are not uniform. The resulting IMD is

(16) where the geometrical factors are (12)

and , and and are the intervals over which these geometrical factors are evaluated, respectively, defined by the position and length of the segments whose nonlinear effects are dominated by HTS and the dielectric, respectively. and are the distributed inductance corresponding to the and segments, respectively. The cancellation condition in this case is where

where is the unloaded quality factor of the resonator. Note that the term considering the IMD at the output of the resonator due to the ferroelectric input feeding line (6) is scaled by the transfer coefficient of the resonator [14], whereas the IMD generated by the HTS resonator (11) is modified by the propagation of the fundamental tones ( and ) along the ferroelectric feeding line. From (12), we obtain the cancellation condition as (13) 2) Half-Wave HTS Transmission Line Resonator With a Ferroelectric Output Feeding Line: Fig. 2(d) shows the diagram defining this case. As in the case above, we obtained the output IMD current from (6) and (11) as follows:

(17) Note that (16) is still valid when the conductor in the segments with dominant dielectric nonlinearities is an HTS. This eases the practical implementation of this structure. A possible embodiment of Fig. 2(e) could consist of a planar transmission line with a uniform HTS conductor and a nonuniform distribution of ferroelectrics along the line. Likewise, (16) also holds for a resonator with only conductor nonlinearities so it will be used as a general equation regardless of whether the segments with dominant dielectric nonlinearities are used or not.

(14) III. IMD IN AN where now the ferroelectric segment is fed by the fundamental currents and affected by the transfer coefficient of the res-

-COUPLED-RESONATOR FILTER

The analytical procedure for obtaining a closed-form expression for calculating the IMD in bandpass filters with nonuni-

MATEU et al.: IMD IN COUPLED-RESONATOR FILTERS WITH NONUNIFORMLY DISTRIBUTED NONLINEAR PROPERTIES

619

To evaluate the IMD of the filter, we feed the filter with two fundamental tones. We define these fundamental signals as two current sources at the input of the filter (see Fig. 3), and , where and are the fundamental frequencies. Using the impedance matrix of the filter we determine the voltage at each resonator due to the fundamental currents [5]

.. .

.. .

..

.

.. .

.. .

.. .

Fig. 3. Diagram of a general coupled-resonator filter topology. Square gray boxes represent the loads at the input and output ports, the square white boxes represent the resonators, and the dots represent the couplings.

.. . formly distributed nonlinear effects is described here. This expression relates the IMD at the output of the filter to the nonlinear distributed effects by means of the coupling matrix of the filter. A different approach for calculating the intermodulation response in superconducting filters has been proposed in [15], accurately assessing the intermodulation effects in a communication system. However, [15] deals with neither the distributed nature of the nonlinear effects, nor their nonuniform distribution along the resonators forming the filter. Fig. 3 outlines a general diagram for representing a wide range of coupled-resonator filter topologies [5]. In Fig. 3, the square gray boxes represent the loads at the input and output ports, the square white boxes represent the resonators, and the dots represent the couplings. To obtain the equivalent circuit of the general topology of Fig. 3, we may substitute the resonators by parallel RLC equivalent circuits, the couplings by impedance or admittance inverters and the input and output ports by matched impedances or admittances . Details on how to obtain this equivalent circuit as a function of the design parameters of the filter may be found in [5]. The equivalent circuit is then analyzed to obtain its impedance matrix , which is crucial for the analysis performed here. To describe the procedure, this section has been organized in three subsections corresponding to the three main steps of the procedure. In the first step, we obtain the current of the fundamental signals at each resonator. From these currents, the second step describes how to obtain the currents of the IMDs generated at each resonator as a sum of the IMD generated in the resonator itself plus the IMD coupled from other resonators in the filter. Finally, the third step provides an expression for the total current of the IMDs coupled to the load. We then particularize this expression for HTS nonlinear distributed effects. A. Fundamental Signals on the Resonator The current of the fundamental signals coupled to each resonator affects the IMD generated along the filter [see (11), (12), (14), and (16)], thereby affecting the nonlinear filter performance. These currents depend on the coupling between resonators, their quality factors, and their resonant frequencies. All this information is included in the impedance matrix of the filter [5].

(18)

Note that the subscripts of the impedance matrix parameters according to the nodes of the equivalent go from 0 to circuit of Fig. 3, being the voltage at the th resonator (for from 1 to ). The power dissipated by in the th resonator [16] is , where is the lumped resistance of its RLC equivalent circuit. This power should be equal to the power dissipated by the current distribution along the distributed resonator (19) where is the unloaded quality factor of the th resonator. Typically, for half-wave resonators, , where the length of the resonator . Therefore, the current distribution at the th resonator can be written as

(20) Equations (19) and (20) can also be applied to other resonant modes, i.e., other . Note that the term also accounts for the frequency dependence of the incident signals coupled to the th resonator. B. IMD Currents at the Resonators Once we know the fundamental currents at each resonator, we may find the IMD at each resonator as a sum of the IMD currents coupled from other resonators plus the IMD current generated at that resonator. The latter can be calculated from (16) with a loaded quality factor of the th resonator obtained from the impedance matrix (21) where is the impedance seen from node , which is real at resonance and depends on the th resonator and its coupling to

620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

all other resonators. For a half-wave resonator, is related to its unloaded quality factor as being the characteristic impedance of the resonant line [16]. To account for the IMD coupled in the th resonator from another th resonator, we define a transfer coefficient as

coefficient may be obtained from the impedance matrix of the filter by

(22)

Doing so, we may define the IMD current at the output of the as filter

Note that this transfer coefficient times the total loaded quality factor (21) gives the external quality factor from the th resonator to the th resonator [14], [16]. By using (16) for each resonator and (20)–(22), we find the total IMD at the th resonator

(23) in (1)–(4), where, for quadratic nonlinear effects, , and . Note that the transfer coefficient from the th to th resonator . In conclusion, the IMD distribution in each resonator depends on the IMD generated at the resonator itself plus the contribution coupled from the IMD generated at other resonators. Each term of the addition (23) corresponds to the IMD contribution of each resonator, respectively. The phases of these terms depend on the phases of the fundamentals ( and ) on the resonators and the phases of the transfer coefficients . Note that their phases are defined by the impedance matrix of the filter. Although it might be possible to synthesize the phases of these terms, it could certainly affect the frequency response of the filter [5], hence, new filter synthesis procedures may be developed to satisfy the frequency response of the filter and obtain a reduction of the IMD. On the other hand, the phase and magnitude of each term of the addition also depends on the value of the nonlinear term , thus a possibility exists to design the various resonators in a filter so that their values of and produce and overall cancellation of the IMD currents in the filter. Equation (23) may also be useful to find the resonators having the strongest contribution to the IMD output current, which do not necessarily have to be the ones with the highest fundamental currents since the IMD contribution is also affected by the couplings. C. IMD Coupled to the Load Although the current distribution and strength of the IMD along each resonator of the filter may be useful from a design point-of-view, what shows the impact of the nonlinear effects of the material on the nonlinear performance of the filter is the IMD at the output of the filter. To obtain the IMD at the output of the filter, we again define a transfer coefficient to relate the IMD generated at each resonator to its contribution to the IMD at the load. As in (22), this

(24)

(25) Note that (25) is a particular case of (23) so that the earlier comments on the contribution of each resonator, and the effects for a better design of a filter based on its nonlinear performance (described in Section III-B), are also valid for (25). This expression is completely general and accounts for a possible nonhomogeneous spatial distribution of the nonlinear effects and the possibility of having different quality factors in the resonators forming the filter. In an HTS bandpass filter made by coupling identical halfwave resonators with quadratic nonlinear effects, we may simplify (25) to obtain (26) where the values of the fundamental signals at each resonator are defined by (20). IV. CROSS-CHECK: IMD SIMULATIONS AND MEASUREMENTS The above procedure is checked here by assessing the resulting IMD in a simulated three-pole Chebyshev bandpass filter and in an eight-pole quasi-elliptic (QE) bandpass filter that has been fabricated and measured [17]. In the former case, we will have full knowledge of the impedance matrix and we will be able to compare the theoretical equations previously derived with circuit simulations based on harmonic balance [6], [18]. In the latter case, the impedance matrix is not fully known and has to be fitted to measurements, but once this is obtained, we will be able to compare measurements, theory, and simulations, albeit subject to the fitting error of the impedance matrix. Harmonic-balance simulations are performed on equivalent circuits of the filters in which the transmission line resonators are modeled by a cascade of many elemental segments like the ones shown in Fig. 1. Depending on the transmission line segment that we are modeling, we chose between the elemental segment of Fig. 1(a), (b), or (c). Note that cascading elemental segments corresponding to HTS transmission lines, ferroelectric transmission lines, or bilayer HTS/ferroelectric transmission lines, we define a nonuniformly distributed nonlinear effects. Couplings between different resonators are simply modeled by an admittance inverter [17]. The resulting equivalent-circuit model is then analyzed with harmonic-balance techniques. This simulation technique has been extensively used to simulate

MATEU et al.: IMD IN COUPLED-RESONATOR FILTERS WITH NONUNIFORMLY DISTRIBUTED NONLINEAR PROPERTIES

621

TABLE I IMD LOAD CURRENT IN HTS FILTER

devices with nonlinear distributed effects [4], [6]. Several references show consistency between analytical formulation, simulation, and experiments. A. Three-Pole Chebyshev Filter The design parameters of the filter are and [5], and the fractional bandwidth is set to 0.5%. For this example, the filter consists of three half-wave superconducting transmission line resonators whose characteristic impedance is 50 . From these values, we can derive the impedance matrix (18) by using [5]. In this case, all resonators have identical geometry and, thus, identical circuit distributed parameters and . We also assume that the distributed parameters are constant through the whole resonator, as occurs in a straight transmission line. The cross section of the resonator is a microstrip geometry with 500- m linewidth, 400-nm thickness of YBaCuO (YBCO), and 0.5-mm thickness of MgO substrate. The surface resistance and penetration depth at 77 K are 0.7 m (at 10 GHz) and 230 nm, respectively. The linear distributed parameters are obtained by using a finite-element electromagnetic simulator: nH/m, /m, pF/m, mS/m. We assume a quadratic nonlinear dependence in (1) and (2), which, for a scaling critical current of A/m [19], results in nonlinear terms pH/mA and m /mA . From these parameters, we apply (18)–(26) and find the current of the IMD in each resonator and the IMD transferred to the load. To do that, we consider two fundamental tones placed in the middle of the filter passband with 10-dBm input power. Table I details the magnitude and the relative phase of IMD to the fundamental signals of the current coupled to the load by each resonator and also the total current. In this case, we see that the second resonator is the one that contributes the most to the IMD, when the filter is fed with two tones in the middle of the band. Therefore, a way to reduce the IMD of this filter would be to widen the line of the second resonator. The results presented here have been verified with simulations using a circuit analysis simulator based on harmonic balance, showing agreement within 3% in the IMD currents. B. Measurements in an Eight-Pole QE Filter Details on the filter design, layout, frequency response, and nonlinear measurements of the eight-pole QE filter, dealt with here, are reported in [17] and [18]. The initial parameters defining the nodal matrix [17] were adjusted to fit its measured frequency response. Note that this is crucial to obtain the fundamental signals at each resonator (Section III-A) and the transferred IMD to the load (Sections III-B and C). By using the adjusted design parameters, we repeat the procedure above

Fig. 4. Measured (diamonds) and adjusted results (dashed line) from the procedure described in Section III for IMD measurements in an eight-pole QE filter with two inputs tones 100 kHz apart and an input power of 9.5 dBm. Details on these experiments may be found in [17] and [18].

(Section IV-A) for the eight-pole topology. Now the nonlinear distributed parameters are adjusted to fit the measured IMD [17]. Fig. 4 shows the measured (diamonds) and fitted (dashed line) using (25). These measurements consisted of measuring the IMD in the filter when the two fundamental tones are swept through the whole band of the filter. The two fundamental tones are 100 kHz and their power is kept constant at 9.5 dBm. Small discrepancies between the measurements and extracted IMD at some frequencies are due to small discrepancies between the in-band ripple of the measured filter frequency response and the one obtained from the nodal matrix. In spite of these, we observe good agreement in the whole frequency range. Cross-checking these results with harmonic-balance simulations have also shown very good agreement. V. APPLICATION: PRE-DISTORTION, POST-DISTORTION INTER-COMPENSATION FERROELECTRIC STAGES The possibility of compensating the inherent nonlinear response occurring in superconducting devices (such as transmission lines, resonators, and filters) by combination of different types of nonlinear effects has been discussed thus far. Here, we show three different ways of combining HTS and ferroelectric segments to reduce the nonlinear response in HTS bandpass filters. Outlines of these examples are shown in Fig. 5. We first propose a pre-distortion stage by using a ferroelectric transmission line as an input feeding line of the filter [see Fig. 5(a)]. Its complementary solution uses a ferroelectric line at the output of the filter as a post-distortion stage [see Fig. 5(b)]. Finally, in Fig. 5(c), we also propose a topology with intermediate ferroelectric stages to compensate the nonlinear effects of the superconductor, using the structure described in Fig. 1(e) with the restrictions discussed in Section II-B.3. Below, we have determined the conditions for the cancellation of the nonlinear effects in these different approaches and assess their effects on the three-pole HTS Chebyshev filter topology presented in Section IV-A. Fig. 6 shows the fundamental signal (thin solid line) and IMD signals (dashed line) at the output of the filter when two feeding tones, at

622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

As occurring for the pre-distorter case in a single resonator, the pre-distortion may be adjusted by tuning the length of the feeding input line, without altering the filter performance. Fig. 6 shows (in circles) the IMD at the output of the filter when the length of the ferroelectric line has been adjusted to cancel the IMD in the middle of the filter band. While strong suppression occurs in the middle of the band, the nonlinear response at the edges of the bands is not compensated. Moreover, due to the lack of frequency selection of the ferroelectric line, high IMD appears out of the filter band. Fig. 5. (a) Ferroelectric segment for nonlinear pre-distortion in an HTS bandpass filter. (b) Ferroelectric segment for nonlinear post-distortion in an HTS bandpass filter. (c) Intermediate ferroelectric compensation stages.

B. Ferroelectric Transmission Line Post-Distorter In analogy with the previous case, we may find the compensation condition using an output ferroelectric transmission line as a post-distorter stage as

(28)

Fig. 6. Simulated response of the filter. Thin solid line represents the fundamental output power P1. Dashed line: IMD for an HTS filter. Dots and circles represent the IMD for an HTS filter with post- and pre-distortion ferroelectric stages, respectively. Dotted–dashed and thick solid lines represent the IMD for an HTS filter with intermediated ferroelectric stages.

0 dBm, are swept through the bandpass of the filter. Note that the fundamental at the output of the filter defines the transfer coefficient of the filter .

of the ferroelectric line will allow us to Again the length control the compensation effect. The results are shown via the dotted line in Fig. 6. As in the pre-distorter case, strong cancellation occurs in the middle of the filter band and no cancellation is observed at the edges of the band. However, in contrast to the pre-distorter case, the IMD at the output band of the filter is not increasing. In practice, most of HTS bandpass filter consists of high-order filters where the IMD remains almost flat in the bandpass and only sharply peaks at the edges of the filter [15], making these two previous simple approaches very useful in real applications. However, to ensure cancellation of the nonlinear effects through the whole band of the filter and for any kind of incident signals, the combined nonlinear distributed effects should follow identical frequency dependence. To overcome this, the next approaches include inter-compensation stages along the filter. C. Inter-Compensation Ferroelectric Stages

A. Ferroelectric Transmission Line Pre-Distorter By using the expressions of Section II for the nonlinear effects in the ferroelectric transmission line and the expression of Section III for the nonlinear effects in an HTS filter, we obtain the condition such that the pre-distorter ferroelectric line cancels the IMD occurring in the HTS filter as

The compensation condition for this case, shown in Fig. 5(c), where two types of nonlinear effects are distributed along the filter structure, may be obtained from (25) as

(29) (27) Note that the term accounting for the nonlinear effects occurring on the ferroelectric transmission line at the input of the filter should be scaled by the transfer coefficient of the filter , whereas the terms accounting for the nonlinear effects in the HTS filter are affected by the propagation of the fundamental signals through the ferroelectric input feeding line.

Once the design of the filter is decided, the adjustable parameters for the cancellation are the geometric factors and , defined in Section II-B. These parameters depend on the distribution and length of the segments with ferroelectric and superconducting nonlinear effects along the filter structure. Therefore, there are many combinations of segments with different types of nonlinear effects that can be used to reduce the nonlinear response of the filter.

MATEU et al.: IMD IN COUPLED-RESONATOR FILTERS WITH NONUNIFORMLY DISTRIBUTED NONLINEAR PROPERTIES

The theoretical complete cancellation of IMD across the filter passband suggested by (29) has been verified through numerical simulations using harmonic balance. Additionally, and as an example, we have assessed a case where the three half-wave resonators in the filters have an identical distribution of HTS and dielectric nonlinearities. These resonators consisted of ferroelectric segments at the edges of the half-wave line and an HTS segment in the center of the line. For a superconductive nonlinear term , obtained from the above example (Section IV-A) and ferroelectric nonlinear terms , obtained from preliminary measurements on coplanar waveguide (CPW) transmission lines [9], Fig. 6 shows the reduction in the nonlinear response when the length of the HTS segment is (dotted–dashed line) and (thick solid line). These show how the cancellation can be adjusted by tuning the geometric factors and . In these two examples, we see how the reduction on the nonlinear responses remains constant through the whole frequency band of the filter. VI. DISCUSSION AND CONCLUSION We have discussed the theoretical feasibility of suppressing the IMD generated by HTS materials with the use of a nonlinear dielectric (possibly a ferroelectric). The configurations explored avoid having to use a ferroelectric in the whole HTS planar layout, thus avoiding losses that the ferroelectric would produce. Ferroelectric loss has been a limiting issue in the development of tunable HTS filters [20]. We think this might not be the case in the use of ferroelectrics for IMD compensation [21]. We base this on the fact that, to produce a useful shift in the resonant frequency, the interaction of a ferroelectric with the RF fields in a resonator has to be much stronger than that required to produce a weak IMD signal [21]. Thus, as discussed in [21], we hope that a significant reduction of the IMD in superconducting filters can be achieved with the use of ferroelectrics without degrading the quality factors in their resonators to the point where the selectivity or the insertion loss is severely affected. In any case, the theoretical work in this paper should be useful to efficiently deploy the ferroelectric for maximum IMD reduction with a minimum effect on losses. REFERENCES [1] J.-S. Hong, M. J. Lancaster, D. Jedamzik, and R. B. Greed, “On the development of superconducting microstrip filters for mobile communications applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1656–1663, Sep. 1999. [2] B. A. Willemsen, , H. Weinstock and M. Nisenoff, Eds., “HTS wireless applications,” in Microwave Superconductivity, ser. NATO Sci. E: Appl. Sci. Norwell, MA: Kluwer, 2002, vol. 375, ch. 15, pp. 387–416. [3] B. A. Willemsen, B. H. King, T. Dahm, and D. J. Scalapino, “Microwave intermodulation in high-T c superconducting microstrip resonators,” IEEE Trans. Appl. Supercond., vol. 9, no. 2, pp. 4181–4184, Jun. 1999. [4] D. Seron, C. Collado, J. Mateu, and J. M. O’Callaghan, “Analysis and simulation of distributed nonlinearities in ferroelectrics and superconductors for microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1154–1160, Mar. 2006. [5] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, ser. Microw. Opt. Eng.. New York: Wiley, 2001.

623

[6] C. Collado, J. Mateu, and J. M. O’Callaghan, “Analysis and simulation of the effects of distributed nonlinearities in microwave superconducting devices,” IEEE Trans. Appl. Supercond., vol. 15, no. 1, pp. 26–29, Mar. 2005. [7] J. Mateu, J. C. Booth, and S. A. Schima, “Characterization of the nonlinear response in ferroelectric thin-film transmission lines,” IEEE Trans. Microw. Theory Tech., submitted for publication. [8] J. C. Booth, R. H. Ono, I. Takeuchi, and K.-S. Chang, “Microwave frequency tuning and harmonic generation in ferroelectric thin film transmission lines,” Appl. Phys. Lett., vol. 81, no. 4, pp. 718–720, 2002. [9] J. Mateu, J. C. Booth, and S. A. Schima, “ Frequency tuning and spurious signal generation at microwave frequencies in ferroelectric SrTiO thin-film transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 391–396, Feb. 2007. [10] J. C. Booth, L. R. Vale, and R. H. Ono, “On-wafer measurements of nonlinear effects in high-temperature superconductors,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 1387–1391, Mar. 2001. [11] D. E. Oates, S. H. Park, D. Agassi, G. Koren, and K. Irgmaier, “Temperature dependence of intermodulation distortion in YBCO: Understanding nonlinearity,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 3308–3312, Jun. 2005. [12] J. Mateu, C. Collado, O. Menendez, and J. M. O’Callaghan, “Experiments and model of intermodulation distortion in a rutile resonator with YBaCuO,” J. Supercond., vol. 16, no. 5, pp. 873–880, 2003. [13] J. C. Booth, K. Leong, S. A. Schima, A. Jargon, D. C. Degroot, and R. Schwall, “Phase-sensitive measurements of nonlinearity in high-temperature superconductor thin film,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 1000–1003, Jun. 2005. [14] M. J. Lancaster, Passive Microwave Devices Applications of High Temperature Superconductors. Cambridge, U.K.: Cambridge Univ. Press, 1997. [15] M. I. Salkola, “Intermodulation response of superconducting filters,” J. Appl. Phys., vol. 98, Jul. 2005, 023907. [16] D. Pozar, Microwave Engineering. New York: Wiley, 1998. [17] J. Mateu, C. Collado, O. Menendez, and J. M. O’Callaghan, “Nonlinear performance characterization in an eight-pole quasi-elliptic band filter,” Supercond. Sci. Technol., vol. 17, pp. S359–S362, 2004. [18] C. Collado, J. Mateu, O. Menendez, and J. M. O’Callaghan, “Nonlinear distortion in an eight-pole quasi-elliptic bandpass HTS filter for CDMA system,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 992–995, Jun. 2005. [19] K. Leong, J. C. Booth, and S. A. Schima, “A current-density scale for characterizing nonlinearity in high-T c superconductors,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 3608–3611, Jun. 2005. [20] B. H. Moeckly and Y. Zhang, “Strontium titanate thin films for tunable YbaCuO microwave filters,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 450–453, Mar. 2001. [21] J. Mateu, J. C. Booth, and B. H. Moeckly, “Nonlinear response of combined superconductor/ferroelectric devices: First experimental step,” IEEE Trans. Appl. Supercond., to be published.

Jordi Mateu (M’03) was born in Llardecans, Spain, in 1975. He received the Telecommunication Engineering and Ph.D. degrees from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1999 and 2003, respectively. Since October 2006, he has been Research Fellow with the Department of Signal Theory and Communications, UPC. From May to August 2001, he was Visiting Researcher with Superconductor Technologies Inc., Santa Barbara, CA. From October 2002 to August 2005, he was Research Associate with the Telecommunication Technological Center of Catalonia, Catalonia, Spain. Since September 2004, he has held several Guest Researcher appointments with the National Institute of Standards an Technology (NIST), Boulder, CO, where he was a Fulbright Research Fellow from September 2005 to October 2006. In July 2006, he was a Visiting Researcher with the Massachusetts Institute of Technology (MIT) Lincoln Laboratory. From September 2003 to August 2005, he was a Part-Time Assistant Professor with the Universitat Autònoma de Barcelona. His primary research interests include microwave devices and system and characterization and modeling of new electronic materials including ferroelectrics, magnetoelectric, and superconductors. Dr. Mateu was the recipient of the 2004 Prize for the best doctoral thesis in fundamental and basic technologies for information and communications presented by the Colegio Oficial Ingenieros de Telecomunicación (COIT) and the Asociación Española de Ingenieros de Telecomunicación (AEIT). He was also

624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

the recipient of a Fulbright Research Fellowship, an Occasional Lecturer Award for visiting MIT, and a Ramón y Cajal Contract.

James C. Booth received the B.A. degree in physics from the University of Virginia, Blacksburg, in 1989, and the Ph.D. degree in physics from the University of Maryland at College Park, in 1996. His doctoral dissertation concerned novel measurements of the frequency-dependent microwave surface impedance of cuprate thin-film superconductors. Since 1996, he has been a Physicist with the National Institute of Standards and Technology (NIST), Boulder, CO, originally as a National Research Council (NRC) Post-Doctoral Research Associate (1996–1998) and currently as a Staff Scientist. His research with NIST is focused on exploring the microwave properties of new electronic materials and devices including ferroelectric, magneto-electric, and superconducting thin films, as well as developing experimental platforms integrating microfluidic and microelectronic components for RF and microwave frequency characterization of liquid and biological samples.

Carlos Collado (M’05) was born in Barcelona, Spain, in 1969. He received the Telecommunication Engineering sand Ph.D. degrees from the Technical University of Catalonia (UPC), Barcelona, Spain, in 1995 and 2001, respectively. In 1998, he joined the faculty of UPC, where he has been teaching courses on the theory of electromagnetism, microwave laboratory, and high-frequency devices and systems. In 2004, he was a Visiting Researcher with the University of California at Irvine. Since April 2005, he has been

an Associate Professor with UPC. His primary research interests include microwave devices and systems, electrooptics applications, and superconducting devices.

Juan M. O’Callaghan (SM’01) received the Telecommunication Engineering degree from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1987, and the M.S. and Ph.D. degrees from the University of Wisconsin–Madison, in 1989 and 1992, respectively. He is currently a Full Professor with UPC. He was an intern with the Systems Research Center, Honeywell, Bloomington, MN, where he was involved with -band. noise measurement methods for FETs at From 2003 to 2006 he was Manager for MERIT, a consortium of European universities delivering a joint master’s program in information technologies within the Erasmus Mundus Program. He is currently Vice-Dean of Academic Affaires with Telecom BCN, the telecommunication engineering school of UPC. He has authored or coauthored over 40 papers in peer-reviewed international magazines. He holds three patents. His research interests include microwave devices and materials and microwave photonics. He has been involved with noise characterization, large-signal properties of GaAs FETs, and advanced microwave materials such as superconductors and ferroelectrics.

Ka

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

625

An Ultra-Wideband Distributed Active Mixer MMIC in 0.18-m CMOS Technology Chung-Ru Wu, Hsieh-Hung Hsieh, Student Member, IEEE, and Liang-Hung Lu, Member, IEEE

Abstract—In this paper, a distributed circuit topology for active mixers suitable for ultra-wideband operations is presented. By employing nonuniform artificial transmission lines with the complementary transconductance stages in the Gilbert-cell multiplier, the proposed mixer demonstrates broadband characteristics at microwave frequencies while maintaining a high conversion gain (CG) with improved gain flatness. Using a 0.18- m CMOS process, the proposed circuit is implemented, exhibiting a 3-dB bandwidth of 28 GHz. With a local-oscillator power of 3 dBm and an IF frequency of 10 MHz, the fabricated circuit has a CG of 12.5 1 dB and an average input third-order intercept point (IIP3 ) of 0 dBm within the entire frequency range. The fully integrated wideband mixer occupies a chip area of 0.87 0.82 mm2 and consumes a dc power of 20 mW from a 2-V supply voltage. Index Terms—Complementary transconductance stages, conversion gain (CG), current-bleeding technique, distributed active mixers, Gilbert cells, nonuniform artificial transmission lines, ultra-wideband operations.

I. INTRODUCTION

W

ITH THE fast-growing market in wireless communications, there emerges a strong demand for low-cost receiver frontends operating at microwave frequencies. Due to the unparalleled advantages in high-level system integration, CMOS has become the technology of choice for the implementation of monolithic microwave integrated circuits (MMICs) at frequencies from multigigahertz to tens of gigahertz. Among the building blocks in a receiver frontend, the mixer, which down-converts the RF signals to the IF band, plays an important role in determining crucial system specifications such as the conversion gain (CG), noise figure, linearity, and image rejection. In conventional CMOS designs, Gilbert-cell multipliers are widely used as the down-conversion mixer to provide the required frequency translation. Typically, the bandwidth of such circuits is limited by the RC time constant, especially at the RF and local-oscillator (LO) ports. Recently, circuit techniques have been proposed to improve the bandwidth of the CMOS Gilbert-cell mixers by employing -ladder networks [1] and transformers [2] at the input ports. Though a wide bandwidth is demonstrated, these circuits exhibit a nonuniform CG within the input frequency range. Alternatively, mixers based on a distributed architecture, which was originally developed for the broadband amplifiers, were reported [3], [4]. Due to the use

Fig. 1. Circuit schematic of the conventional Gilbert-cell mixer.

of the artificial transmission lines, improved gain flatness is achieved. However, the nature of the additive transconductance mechanism in the distributed topology limits the CG of the active mixers. In this paper, a novel circuit topology is presented for ultrawideband mixers. By incorporating complementary transconductance stages and artificial transmission lines into a Gilbertcell multiplier, high CG and broad bandwidth can be achieved simultaneously while maintaining excellent gain flatness within the entire frequency band. In addition, capacitive degeneration and an inductive peaking technique are also employed to further boost the gain and bandwidth at higher frequencies. Using a 0.18- m CMOS process, the proposed distributed mixer is implemented, exhibiting a 3-dB bandwidth of 28 GHz and a CG of 12.5 dB. With a compact circuit layout, it is well suited for monolithic integration with the RF building blocks and the baseband digital circuitry for system-on-a-chip (SoC) applications. This paper is organized as follows. Section II describes the proposed circuit topology for gain and bandwidth enhancement. The design and the experimental results of the wideband mixer are presented in Sections III and IV, respectively. Finally, a conclusion is given in Section V. II. PROPOSED DISTRIBUTED ACTIVE MIXER A. Gilbert-Cell Mixers

Manuscript received July 12, 2006; revised November 30, 2006. This work was supported in part by the National Science Council under Grant 94-2220-E002-026 and Grant 94-2220-E-002-009. The authors are with the Department of Electrical Engineering and Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892799

Fig. 1 shows the schematic of a Gilbert-cell mixer where the differential pair acts as a transconductance stage translating the input RF voltage into a current. The differential pairs and are referred to as the commutating stages and are used as the switches for current steering. Assuming an

0018-9480/$25.00 © 2007 IEEE

626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 4. Circuit schematic of the proposed Gilbert-cell mixer with the complementary transconductance stage. Fig. 2. Circuit schematic of the conventional Gilbert-cell mixer with currentbleeding technique.

Fig. 5. Simulated CG versus the current-bleeding ratio ( ) for the proposed Giblert-cell mixer.

Fig. 3. Simulated CG versus the current-bleeding ratio ( ) for the conventional Giblert-cell mixer.

ideal current switching behavior for the commutating stages, the CG can be expressed by [5]

CG without additional current consumption, a current-bleeding topology with a complementary transconductance stage is proposed. The circuit schematic is shown in Fig. 4, where a pMOS differential pair is included to contribute to the input transconductance as well. By selecting the current ratio of the complementary stages the same as the desirable , the enhanced CG is given by

(1) where

is the load resistance, is the tail current, and represents the aspect ratio of transistors and . (2)

B. Proposed Current-Bleeding Technique From (1), it is evident that the CG of a Gilbert-cell mixer strongly depends on the value of the resistive loads, and a large resistance is required to achieve a high CG. For a given tail current , however, the mixer linearity tends to degrade due to the excess voltage drop across . As a result, the current-bleeding technique [6], as shown in Fig. 2, was proposed to overcome this limitation. By introducing a bypass current path , the bias current through is effectively reduced while maintaining a sufficient dc current for the transconductance stage. With a fixed tail current and voltage drop across the load resistance, the simulated CG as a function of the current-bleeding ratio is shown in Fig. 3. In conventional circuit implementations, the current bleeding is realized by a shunt resistance or an active current source [7], [8]. To further boost the transconductance for an enhanced

is the aspect ratio of transistors and . where For a given tail current and voltage drop , the simulated CG as a function of is shown in Fig. 5, demonstrating an effective gain enhancement compared with the conventional current-bleeding technique. In addition to the CG, the linearity of the mixer is also investigated. Taking the short-channel effect into account, the drain current of the MOSFETs is given by [9] (3) where (4)

WU et al.: ULTRA-WIDEBAND DISTRIBUTED ACTIVE MIXER MMIC IN 0.18- m CMOS TECHNOLOGY

627

Fig. 7. Lumped model of the nonuniform artificial transmission line with a scaling factor .

periodic structure of the series inductance and the shunt capacitance . Accordingly, the cutoff frequency and the characteristic impedance are

Fig. 6. Lumped model of the uniform artificial transmission line.

(11) Note that is the normal field mobility degeneration factor and is the carrier mobility. For a small-signal input voltage , the drain current can be approximated as (5) and the coefficients in the power series are given by (6)

(12) In practical circuit implementations, and are realized by on-chip inductors and the gate capacitance of the MOSFETs, respectively, while the loss of the artificial transmission lines is modeled by an equivalent gate resistance in series connection with . For a uniform distributed architecture with identical sections as the input stage for the RF signals, the effective transconductance can be estimated by [11]

(7) (8) is the transistor overdrive voltage. where Based on (5)–(8), the intermodulation distortion of the mixer can be evaluated by the intercept points following similar derivations in [10]

(13) where

is the transconductance of the th MOSFET, and . Note that the propagation constant is given

by

(9) (10) (14) From (9), it is clear that a suppressed second-order intermodulation can be achieved in the proposed current-bleeding technique, leading to a higher intercept point of the mixer. However, due to the use of the pMOS transconductance stage, the may degrade as indicated in (10). Therefore, the tradeoff between the and the CG should be taken into account to optimize the mixer performance. C. Proposed Distributed Mixer Topology For a wideband mixer design, it is desirable to have broadband impedance matching at the input ports to ensure effective power injection for the RF and LO signals. Among the existing techniques, the distributed architecture with input artificial transmission lines is preferable due to its inherently high cutoff frequencies and low return losses. Fig. 6 shows a simplified model for the uniform artificial transmission line with a

where is the cutoff frequency of the artificial transmission line. As indicated in (13), the overall transconductance of the input stage is proportional to the of the individual MOSFETs. To increase the transconductance for an enhanced CG at a given biasing current, large transistor sizes are required. However, the cutoff frequency of the artificial transmission line decreases as the gate capacitance increases. In addition, as illustrated in (14), the line attenuation also increases with a reduced cutoff frequency. A fundamental limitation is imposed on the performance of the mixer with a uniform distributed architecture at the RF input due to the tradeoff between the CG and bandwidth. In order to alleviate the limitation on the gain-bandwidth product, a nonuniform artificial transmission line [12] is adopted in this design. As shown in Fig. 7, the device size in each stage of the transmission line is scaled down progressively

628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 8. Simulated bandwidth and transconductance of the mixer with a nonuniform distributed input architecture.

to the termination load with a scaling factor , while holding the values of the first section unchanged. Again, the effective transconductance of the distributed mixer can be expressed as

Fig. 9. Complete circuit schematic of the distributed active mixer.

(15) From (13) and (15), it is evident that the transconductance of the nonuniform architecture is smaller than that of its uniform counterpart for . In addition, the cutoff frequency of the nonuniform architecture is investigated. Based on (11), the cutoff frequency of an section is inversely proportional to the square root of the product. For a nonuniform architecture with down-scaling and values, the cutoff frequency tends to increase. The derivation of an analytic form for the cutoff frequency is rather tedious. Instead, simulation results are provided to illustrate the bandwidth enhancement achieved by the proposed nonuniform transmission line architecture. To have a better understanding on the gain and bandwidth of the proposed mixer with the nonuniform distributed architecture, a behavior simulation was performed. For a special case with , the transconductance and the bandwidth as functions of are depicted in Fig. 8. As increases, the overall effective transconductance and the resulting CG reduce accordingly. However, the bandwidth is effectively increased. It is observed that an optimized gain-bandwidth product can be achieved by properly choosing the scaling factor of the distributed mixer with nonuniform artificial transmission lines. III. CIRCUIT DESIGN By employing the proposed current-bleeding technique and the nonuniform input distributed architecture, the complete schematic of the fully integrated wideband mixer is shown in Fig. 9. Compared with the conventional double-balanced mixers based on Gilbert-cell multipliers, the tail current of the series-gated differential pairs is removed. Due to the absence of the high-order intermodulation terms in the grounded-source differential pairs [13], improved mixer linearity is thus achieved. In this design, the nonuniform artificial transmission lines for the RF inputs are formed by employing on-chip spiral inductors to connect the complementary transconductance stages, which include the grounded-source differential pair

Fig. 10. Simplified circuit models of the: (a) conventional and (b) proposed source degeneration techniques.

. On the and the current-bleeding transistors other hand, a uniform artificial transmission line architecture is adopted for the LO signals at the commutating stage to simplify the circuit layout. As for the IF output, the resistance is employed as the load. Since the capacitive loading from the buffers cannot be neglected in practical designs, an effective capacitance is also included in Fig. 9. Note that and form a low-pass filter, and the IF 3-dB bandwidth is given by (16) In order to achieve high CG, large load resistance is required. However, the IF bandwidth is accordingly limited, as indicated in (16). Thus, the design parameters are determined by considering the tradeoff between the CG and the required IF bandwidth. In broadband circuit designs, capacitive degeneration is one of the most widely used techniques for bandwidth enhancement [14], especially for systems with a dominant pole at lower frequencies. Fig. 10(a) shows the simplified circuit model of the common-source stage with capacitive degeneration. Based on the small-signal analysis, the transfer function of the transconductance stage is given by (17)

WU et al.: ULTRA-WIDEBAND DISTRIBUTED ACTIVE MIXER MMIC IN 0.18- m CMOS TECHNOLOGY

Fig. 11. Simulated frequency response of the transconductance stage with various degeneration inductances.

629

Fig. 13. Simulated frequency response of the mixer with various peaking-inductance values. TABLE I CIRCUIT PARAMETERS OF THE PROPOSED MIXER

Fig. 12. Simplified circuit models of the: (a) conventional mixer and (b) proposed mixer with series inductive peaking.

where is the transconductance of the MOSFET, and the pole and the zero of the system are (18) (19)

where (21) (22)

is designed at the midband frequency to boost Typically, the transconductance for an extended bandwidth and improved gain flatness. To further enhance the bandwidth, a modified degeneration network is employed for the transconductance stage of the broadband mixer. The proposed circuit technique is illustrated in Fig. 10(b) where an inductance is added in series with the capacitance . Again, the transfer function of the transconductance stage can be derived from the small-signal analysis as

(20)

(23)

(24) In order to have a better understanding of the bandwidth enhancement technique, simulated frequency responses of the transconductance stages with various degeneration networks are illustrated in Fig. 11 for comparison. It is noted that, due to the existence of the series resonance in the degeneration network, an additional zero is introduced, enabling the gain peaking at higher frequencies to compensate for the fast rolloff of the CG. By properly selecting the resonant frequency of the network, the mixer bandwidth can be effectively extended

630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 17. Measured port-to-port isolation (LO-to-RF and LO-to-IF) of the mixer with an LO power of 3 dBm. Fig. 14. Microphotograph of the fabricated wideband mixer.

Fig. 15. Measured and simulated input reflection coefficients of the mixer.

Fig. 18. Measured CG of the mixer as a function of the LO power for a fixed IF frequency of 15 MHz.

Fig. 16. Measured and simulated CG of the mixer with various IF frequencies. Fig. 19. Measured and simulated IIP of the mixer at various input frequencies.

to the cutoff frequency of the artificial transmission lines, which is approximately 30 GHz in this particular design. Another limitation imposed on the operation bandwidth of the wideband mixer is the parasitic capacitance at the output node of the transconductance stages, especially, when the proposed current-bleeding technique is employed to enhance the CG. A simplified circuit model, as shown in Fig. 12(a), is employed for the analysis, and the transfer function is given by (25)

To alleviate the influence of the additional low-frequency pole on the mixer bandwidth, a series-peaking technique, which was originally developed for the broadband amplifiers, is employed in the design. Fig. 12(b) illustrates a simplified circuit model of the series inductive peaking. By inserting a series inductance between the commutating and the transconductance stages to separate the parasitic capacitances, an -ladder is formed, leading to a passive network with wideband characteristics [15]. In order to illustrate the effect of the series-peaking technique

WU et al.: ULTRA-WIDEBAND DISTRIBUTED ACTIVE MIXER MMIC IN 0.18- m CMOS TECHNOLOGY

631

TABLE II PERFORMANCE SUMMARY OF THE ULTRA-WIDEBAND DOWNCONVERSION MIXER

for wideband operations, a circuit simulation for various values of was performed, as shown in Fig. 13. In this particular design, a 0.2-nH inductance is selected for circuit implementation, leading to a 66.7% increase in the 3-dB bandwidth of the mixer. As the RF signals are down-converted by the mixer, the IF outputs are buffered by open-drain transistors in this design. The purpose of the output buffers is solely to drive the 50impedance of the testing instruments, while providing a voltage gain of unity at the desired IF frequencies. With the proposed circuit techniques and the design considerations, the circuit parameters of the wideband mixer including the active and passive elements are tabulated in Table I. Meanwhile, several important design coefficients such as the current-bleeding ratio and the scaling factor are also included in this table. IV. EXPERIMENTAL RESULTS Using a standard 1P6M 0.18- m CMOS technology provided by a commercial foundry, the proposed mixer is implemented for ultra-wideband operations. Fig. 14 shows a die photograph of the fabricated circuit with a chip area of 0.87 0.82 mm including the pads. The performance of the mixer was characterized via on-wafer probing. Operated at a 2-V supply voltage, the power dissipation of the distributed mixer is 20 mW, while the output buffers consume another dc power of 20 mW. In order to evaluate the small-signal characteristics, -parameters measurement was performed using a vector network analyzer. The return loss is shown in Fig. 15. Due to the use of artificial transmission line architectures at the input, a return loss better than 10 dB is achieved for both LO and RF ports within the entire frequency range. In the measurement setup for the test of the CG, the RF and LO are differential signals provided by frequency synthesizers with additional power splitters and phase shifters. On the other hand, the output power of the differential IF signals is obtained through an off-chip power combiner. To ensure the accuracy of the experimental results, especially at higher frequencies, the loss accounted for the measurement setup was calibrated and deembedded in the presented data. Provided an LO power of 3 dBm, the measured down-CG of the fabricated circuit with various IF frequencies is illustrated in Fig. 16. For an IF frequency of 10 MHz, the mixer exhibits a CG of 12.5 1 dB and

a 3-dB bandwidth of 28 GHz. As for the port-to-port isolation, the measured results with an LO power of 3 dBm are presented in Fig. 17. The isolation between the LO and RF ports is generally higher than 37.5 dB, while the LO leakage to the IF port is lower than 22.4 dB. The CG versus the LO power sweeping from 3 to 11 dBm at various RF frequencies is provided in Fig. 18. Note that, for various RF frequencies, the CG peaks at an LO power in the vicinity of 3 dBm, and degrades rapidly at an LO power of 8 dBm due to the large-signal operation. To evaluate the linearity of the proposed circuit, a two-tone intermodulation distortion test was performed. For ultra-wideband operations, the test procedure was carried out over the entire input frequency range, and the plot of as a function of RF frequency is illustrated in Fig. 19, indicating an in the vicinity of 0 dBm over a very wide input frequency range. In addition to the linearity, the noise figure of the fabricated mixer is characterized at various RF frequencies. With a fixed IF frequency of 10 MHz, the measured single-sideband (SSB) noise figure ranges from 14.3 to 17.0 dB within the input frequency band. The performance of the proposed circuit along with results from the state-of-the-art wideband mixers are summarized in Table II for comparison. V. CONCLUSION An ultra-wideband CMOS mixer has been presented in this paper. By incorporating complementary transconductance stages and nonuniform artificial transmission lines in a Gilbertcell multiplier, the down-conversion active mixer exhibits wideband characteristics while maintaining a high gain with improved gain flatness over the entire frequency range. ACKNOWLEDGMENT The authors would like to thank National Chip Implementation Center (CIC), Hsinchu, Taiwan, R.O.C., for chip fabrication. REFERENCES [1] M. D. Tsai and H. Wang, “A 0.3-25-GHz ultra-wideband mixer using commercial 0.18-m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 522–524, Nov. 2004. [2] C.-S. Lin, P.-S. Wu, H.-Y. Chang, and H. Wang, “A 9-50-GHz Gilbertcell down-conversion mixer in 0.13-m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 293–295, May 2006.

632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[3] A. Q. Safarian, A. Yazdi, and P. Heydari, “Design and analysis of an ultrawide-band distributed CMOS mixer,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 5, pp. 618–629, May 2005. [4] K. L. Deng and H. Wang, “A 3-33 GHz PHEMT MMIC distributed drain mixer,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2002, pp. 151–154. [5] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press, 1998. [6] L. A. MacEachern and T. Manku, “A charge-injection method for Gilbert cell biasing,” in IEEE Can. Elect. Comput. Eng. Conf., 1998, pp. 365–368. [7] H.-H. Hsieh, K.-S. Chung, and L.-H. Lu, “Ultra-low-voltage mixer and VCO in 0.18-m CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2005, pp. 167–170. [8] S.-G. Lee and J.-K. Choi, “Current-reuse bleeding mixer,” Electron. Lett., vol. 36, no. 8, pp. 696–697, Apr. 2000. [9] P. G. Gray and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 3rd ed. New York: Wiley, 1993. [10] I. Nam, B. Kim, and K. Lee, “CMOS RF amplifier and mixer circuits utilizing complementary characteristic of parallel combined nMOS and pMOS devices,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1662–1671, May 2005. [11] J. B. Beyer, S. N. Prasad, R. C. Becker, J. E. Nordman, and G. K. Hohenwarter, “MESFET distributed amplifier design guidelines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 268–275, Mar. 1984. [12] A. Yazdi and P. Heydari, “A novel non-uniform distributed amplifier,” in IEEE Int. Circuits Syst. Symp., May 2004, vol. 1, pp. 613–616. [13] S. Wu and B. Razavi, “A 900-MHz/1.8-GHz CMOS receiver for dualband applications,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2178–2185, Dec. 1998. [14] B. Razavi, Design of Integrated Circuits for Optical Communications. New York: McGraw-Hill, 2002. [15] B. Analui and A. Hajimiri, “Bandwidth enhancement for transimpedance amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1263–1270, Aug. 2004. [16] T. K. Johansen, J. Vidkjaer, and V. Krozer, “Analysis and design of wideband SiGe HBT active mixers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2389–2397, Jul. 2005. [17] M.-D. Tsai, C.-S. Lin, C.-H. Lien, and H. Wang, “Broad-band MMICs based on modified loss-compensation method using 0.35-m SiGe BiCMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 496–505, Feb. 2005.

Chung-Ru Wu was born in Tainan, Taiwan, R.O.C., in 1982. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 2004, and the M.S. degree in electronics engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2006. His research interests include RF integrated circuits, multiband wireless systems, and MMIC designs.

Hsieh-Hung Hsieh (S’05) was born in Taipei, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in electronic engineering at National Taiwan University. His research interests include the development of low-voltage and low-power RF integrated circuits, multiband wireless systems, RF testing, and MMIC designs.

Liang-Hung Lu (M’02) was born in Taipei, Taiwan, R.O.C., in 1968. He received the B.S. and M.S. degrees in electronics engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1991 and 1993, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2001. During his graduate study, he was involved in SiGe HBT technology and MMIC designs. From 2001 to 2002, he was with IBM, where he was involved with low-power and RF integrated circuits for silicon-oninsulator (SOI) technology. In August 2002, he joined the faculty of the Graduate Institute of Electronics Engineering and the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., where he is currently an Associate Professor. His research interests include CMOS/BiCMOS RF and mixed-signal integrated-circuit designs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

633

The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application Joongjin Nam and Bumman Kim, Fellow, IEEE

Abstract—A monolithic-microwave integrated-circuit Doherty power amplifier (PA) with an on-chip dynamic bias control circuit for cellular handset application has been designed and implemented. To improve the linearity and efficiency in the operation power ranges, the base and collector biases of the amplifiers, except the drive amplifier of the main path, are controlled according to the average output power. The base biases are controlled using the on-chip circuit and collector biases by the dc/dc chip to reduce the average dc consumption power. The power-added efficiency (PAE) is improved approximately 6% by the base dynamic bias control, and approximately 14% by the collector/base dynamic control from the class AB at out = 16 dBm, respectively. If the dc/dc converter efficiency is 100%, the PAE could be improved approximately 17.5% from class AB, reaching to 29.2% at out = 16 dBm. In the intermediate power level from 22 to 28 dBm, the PAE is over 34.3%. The average current consumption of the PA with the dynamic bias control is 22.5 mA in urban and 37.3 mA in suburban code-division multiple-access environments, which are reduced by 36%–46.7%, compared to the normal operation. The adjacent channel power ratio is below 47.5 dBc, and the PAE at the maximum power is approximately 43.3% in the dynamic bias operations. Index Terms—Adjacent channel power ratio (ACPR), cellular, code division multiple access (CDMA), dc/dc converter, Doherty power amplifier (DPA), dynamic bias control, handset, InGaP/GaAs HBT, load modulation, monolithic microwave integrated circuit (MMIC), probability distribution function (PDF).

Fig. 1. CDMA urban and suburban probability density functions versus output power.

average talk time ( ) of a handset is inversely proportional to the average current, shown as follows in (1) and (2) [1]: (1)

I. INTRODUCTION

(2)

ECENT MOBILE handsets for code-division multiple-access (CDMA) systems require highly linear and efficient power amplifiers (PAs) in order to maximize the standby and talk times. The PAs must be designed and manufactured to meet the output power specification of the system with maximum output of 28 dBm and ACPR under 48 dBc, while maximizing the efficiency [1]. The PAs usually deliver a high efficiency only at near the maximum rated power level, and the efficiency drops drastically as the output power level is reduced. Therefore, we can increase the talk time by reducing the average current at the low power level. The average current can be defined as an integration of the product of the probability distribution function (PDF) and the current as functions of the power level, and

R

Manuscript received September 8, 2006; revised December 20, 2006. This work was supported by the Ministry of Education, Korea, under Brain Korea 21 Projects. The authors are with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang 790-784, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.892800

Fig. 1 shows the relationship between the PDF versus the power output for a typical CDMA mobile phone [1]–[3]. Since the usual operational output power level of the PAs is far less than the maximum rated power, it is desirable to enhance efficiency at the usual operational low power level. Therefore, the techniques to achieve high efficiency at the low power level have been an important research item in handset PA design. There are many efficiency enhancement techniques at the low power level such as Kahn (envelope elimination and restoration), linear amplification using nonlinear components (LINC), bias adaptation, load modulation, and so on. The load modulation scheme, which is described by the Doherty, is the most promising solution for the handset application because it has a simpler circuit topology than others and the other techniques may degrade linearity, raise cost, and/or provide narrow bandwidth [2]–[5]. The primary method that we have taken to achieve this goal is to adjust the bias of the Doherty power amplifier (DPA) as a function of the output power level to improve the efficiency at the low power. There are four bias control methods for the CDMA PA interfacing with the baseband control integrated-circuit (IC) chip: fixed, step, logical, and dynamic biasing. The best

0018-9480/$25.00 © 2007 IEEE

634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 2. Operation diagram of the load modulation circuit.

efficiency could be achieved when the bias of the PA is continually adjusted depending on the average output power level [6], [7]. The base/gate bias control is the most commonly used technique to reduce the access current at a low power level in the CDMA systems. Another bias control method is the collector/drain bias control with a dc/dc converter, which lowers the collector/drain voltage at the lower power level to improve the power-added efficiency (PAE). We have introduced the Doherty amplifier for handset application using lumped elements [2], [3]. The efficiency of the PAs can be boosted at the low power level using the dynamic bias adaptation according to the average output power. The base bias control circuit is integrated on the monolithic-microwave integrated-circuit (MMIC) chip, and an outside dc/dc converter is used to control the collector bias [8], [9]. The bias control circuits supply suitably low quiescent currents and voltages without sacrificing the PA’s linearity at the low power level and intermediate power level, resulting in a high efficiency.

II. DESIGN AND IMPLEMENTATION OF DPA WITH DYNAMIC BIAS CONTROL Fig. 2 shows a simplified operational diagram of the Doherty circuit, which consists of two amplifiers: namely, the main and auxiliary. Their outputs are combined in parallel through a quarter-wave transmission line, which performs the impedance transformation. The auxiliary starts to turn on as the main saturates, thereby reducing the load impedance of the main. Thus, the main can deliver more current to the load while it remains in saturation [4], [5]. Fig. 3 shows the impedances seen toward the 50- load and both sides of the quarter-wave transmission line by the variation of , i.e., the ratio of the powers generated from the two amplifier for R , and [2]. When the auxiliary amplifier is turned off, i.e., , no power is generated from the auxiliary amplifier, the impedances of and are 50 , and 50 , respectively, as shown in the Fig. 3. When the auxiliary amplifier is fully turned on, i.e., , both amplifiers generate the same

Fig. 3. (a) Z and Z by the variation of . (b) Z and Z by the variation of with R = 50 ; Z = 50 .

power, and the impedances of and are 100, 100, and 25 , respectively, as shown in Fig. 3. The conventional Doherty amplifier needs the quarter-wave transmission line for the impedance transformation, but MMIC implement is difficult and the line is replaced by the equivalent lumped LC network [2], [10]. A high-pass -network is employed for the line in this study in order to supply multifunction capabilities for the elements. Fig. 4(a) shows the output matching and load modulation networks of the main amplifier. The capacitor (Cm1) in the high-pass -network can function as a dc block and the inductor is used for a dc bias. The inductor in the high-pass -network used for dc bias is replaced by a transmission line because the inductor cannot support the high dc current at a high-power region. Due to the multiple functions of the elements, we can reduce the number of matching elements, save cost, and reduce total module size. After combining the main and auxiliary outputs, an additional output matching network is needed in order to match to the system impedance level of 50 [11]. To simplify the circuit topology and miniaturize the module without the additional matching network, the impedance levels of the main amplifier and auxiliary amplifier are designed to be 100 R for direct matching to R . While one side of the high-pass -network is designed to have R , the other side is designed to have (main amplifier’s optimum load impedance) by

NAM AND KIM: DPA WITH ON-CHIP DYNAMIC BIAS CONTROL CIRCUIT FOR HANDSET APPLICATION

635

Fig. 5. Input matching and phase delay compensation schematics.

Fig. 4. Output matching schematics: (a) for main amplifier and (b) for auxiliary amplifier.

adjusting the transmission line’s characteristic impedance suitably, unequal to R (system impedance). Therefore, the lumped-element network for the load modulation can also play the role of the main amplifier’s power matching network, miniaturizing the module. There are other important design issues for the auxiliary amplifier’s output load network shown in Fig. 4(b). When the auxiliary amplifier is turned off, the output impedance of the auxiliary amplifier circuit should be high, close to open circuit to prevent any power loss through the amplifier path. When the auxiliary amplifier is turned on, both amplifiers are fully operated, and should be power matched to the auxiliary amplifier and is matched to R . The matching circuit of the auxiliary amplifier may need a multisection topology to satisfy the above two conditions. The off-state impedance is designed to be over 600 , which is near open compared to [2], [11]. The input network contains the power divider to drive the two paths from one signal source. The paths for the main and auxiliary amplifiers have different phase delays because the two amplifiers’ output matching networks are different. To miniaturize the power-splitter network, we use a lumped-element type Wilkinson power splitter [12]. Fig. 5 shows the input matching network to divide the input power and compensate the phase difference between the two paths. The system impedance ( ) is 50 , and and are the input impedances of the two power transistors. The output impedance of the Wilkinson divider is different from its input impedance ( ) for the input matching capability. The input matching networks of the two

Fig. 6. Schematic diagram of the designed MMIC Doherty amplifier with dynamic bias control circuit.

chains are a low- and a high-pass network, respectively, to compensate the phase difference of the two paths, and the ballasting resistors of the devices also contribute to the input matching. Fig. 6 shows a diagram of the MMIC Doherty amplifier with the dynamic bias control, which is designed for cellular band operation at 824–849 MHz. The main and auxiliary amplifiers consisted of two-stage PAs. The load modulation amplifier has three operation modes: low-, intermediate-, and high-power levels. In the low-power mode, the load impedance of the main amplifier is doubled by the impedance transformer, when the same size devices for the main and auxiliary amplifiers are used, because the auxiliary amplifier is open circuited. The PA’s efficiency is increased due to the high load impedance. The load line of the Doherty amplifier is changed as a function of power level due to the load modulation effect, but can be further tuned by the dynamic bias control. It is difficult for the DPA to satisfy the linearity at the intermediate power level, where the main amplifier is saturated and the peaking amplifier is turned on. Therefore, the base biases are adjusted, according to the average power level, to satisfy the linearity with maximum efficiency at the intermediate power level while the drain bias

636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 7. Load line variation of the Doherty amplifiers. (a) Main amplifier. (b) Auxiliary amplifier.

is fixed at 3.4 V [13]. When the main starts to saturate, the auxiliary is biased at near the class AB point by the bias control circuit to satisfy the linearity, and the PAE at the power level is similar to that of the class AB. At the low power region, the collector bias is controlled while the base bias of the main amplifier is fixed. The load lines are shown in Fig. 7. We have used a dc/dc converter to dynamically control the collector bias of the main power device for the improved efficiency at a low power where only the main generates output power. Therefore, the load line is moved from point A to point B during the low power mode operation. For the high power mode, the load impedance of the main amplifier becomes the optimum power matching impedance when the auxiliary amplifier is turned on completely, and is generating the same current as that of the main amplifier. The load impedance of the auxiliary becomes the same as the main amplifier, as shown in Fig. 7. In the intermediate power mode, the power devices’ load impedances are modulated, and the bias point is moved from points B to C according to the power level. Fig. 8(a) shows the dynamic base bias control circuit. The bias is applied to all devices, except the main path’s driver. Fig. 8(b) shows the node voltage and current of the bias control circuit according to the applied control voltage (Vctrl). As the control voltage (Vctrl) is increased, the base voltage of Tr4 (VB4) is increased by the ratio of R1, R2, and R3. When the Tr4 is turned on, the collector voltage of Tr4 (VC4) is decreased. Hence, the base voltage of Tr2 (VB2) is decreased, and the

Fig. 8. Dynamic bias control circuit for the power stage. (a) Proposed dynamic bias control circuits. (b) Simulated voltage and current curves of the bias control circuit according to the control voltage.

Tr1’s base voltage (VB1) is increased. The increased VB1 enhances the collector current of the power transistor (Tr1). The bias circuit’s control shape can be optimized by adjusting the resistors of the bias circuit. Fig. 9 shows the control shapes of the power transistor’s quiescent current (Ice) versus the control voltage (Vctrl) according to resistor values. When Tr4 is turned off, the initial current (Ice) is determined by R5, R6, and Rref. R5 also affects the Ice’s shape in the transition region. Rref is the dominant element for shaping the Ice across the applied control voltage (Vctrl), which is supplied from the baseband IC chip. The upper value of Ice is determined by Rref and the lower value is adjusted by R5 and R6, as shown in Fig. 9. We can optimize the bias control shape by adjusting the resistors of the bias control circuit as follows. First, we determine the Ice’s upper boundary using Rref within the linearity specification at the maximum power level (28 dBm). Second, we adjust the Ice’s lower boundary with Rref, R5, and R6 considering the linearity specification at the low power level. Finally, the bias control voltage is shaped by R1, R2, and R3 in the midpower level, as shown in Fig. 9. This dynamic bias control circuit can be inte-

NAM AND KIM: DPA WITH ON-CHIP DYNAMIC BIAS CONTROL CIRCUIT FOR HANDSET APPLICATION

637

Fig. 9. Simulated RF power transistor’s dc current curve according to the variation of resistances. (Initial value: R1 = 6000; R2 = 1000; R3 = 1500; R4 = 1000; R5 = 3000; R6 = 3000; Rref = 1500.) (a) R1 variation. (b) R2 variation. (c) R3 variation. (d) R5 variation. (e) R6 variation. (f) Rref variation. Measured idle currents of each amplifier versus Vctrl.

grated on the MMIC without increasing chip size. It adopted the control shape by adjusting the resistors according to the system

requirements, and is very simple circuitry to control the power transistor’s bias current.

638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 10. Measured idle currents of each amplifier versus Vctrl.

Fig. 10 shows the dc bias current’s shapes of the each amplifier versus the control voltage (Vctrl), which is available from the baseband controller. All the RF devices, except the main path’s drive amplifier, are controlled by the bias control circuits. The main path’s power stage is varied from 15 to 63 mA, the auxiliary path’s drive stage is varied from 0 to 5 mA, and the power stage is varied from 0 to 8 mA. The main path’s drive stage remains constant at 7 mA. These bias currents are optimized to improve efficiency at the intermediate power levels with linearity of below 48 dBc, while maintaining the collector voltage at 3.4 V. When only the main path’s RF devices are operated, the commercial available dc/dc converter (MAX1820) is used to dynamically control the collector bias of the main path’s power device. In this case, the efficiency of the dc/dc converter is very important and Fig. 11 shows the measured efficiency and voltage conversion shape of the dc/dc converter (MAX1820) with a 10- load condition, which is close to the optimum impedance of our power devices. Fig. 12(a) shows a photograph of the MMIC chip, which is fabricated using a commercial InGaP/GaAs HBT foundry process, and its size is as small as 1 mm 1 mm. Fig. 12(b) shows the full schematic of the bias controlled DPA chip. A 2 m 40 m 1 finger unit cell is used for the RF power device. The main and auxiliary amplifiers are two-stage, and the power stage and drive stage of the main and auxiliary paths are designed using 32 cells and six cells, respectively. The interstage matching network consisted of the ballasted capacitor and shunt off-chip inductor, which also work for dc blocking and dc biasing, respectively. The ballasting resistor and capacitor are inserted at each unit cell to improve stability, to prevent thermal runaway, and to match the network. The bias control circuits are also integrated in the MMIC chip and controlled by a control voltage source (Vctrl), which is available from the baseband controller of a handset. Stack diodes are attached to prevent electrostatic discharge (ESD) at the output of each paths and voltage source terminals. Fig. 13 shows a photograph of the module on an FR-4 printed circuit board (PCB) for a power test. The input matching circuit, load modulation, and output matching circuits are realized on the PCB to tune the phase delay and reduce the RF output losses.

Fig. 11. Measured dc/dc characteristics. (a) Efficiency of the dc/dc converter with 10- load. (b) Voltage conversion shape of the dc/dc converter with 10-

load.

III. PERFORMANCE Fig. 14(a) shows measured adjacent channel power ratios (ACPRs) at 885-kHz offset versus output power for several bias conditions. The reverse-link IS-95A signal with a chip rate of 1.2288 Mc/s at 836.5 MHz. is used. When the Vctrl is a fixed at 1.3 V, the ACPR is over 40 dBc around 24 dBm, and does not satisfy the CDMA specification. The ACPRs are below 47.5 dBc across all power ranges for all the other bias conditions we have tried, satisfying the commercial CDMA specification. Fig. 14(b) shows the measured gains versus output power for several bias conditions. The gains vary from 23.8 to 28.8 dB in the full dynamic control operation (LM Vctrl and dc/dc), and from 26.2 to 29 dB in the base bias dynamic control operation (LM Vctrl). The gains are 27.5 dB in the fixed bias Doherty operation (Vctrl V), and 28 dB in the class AB operation (class AB). Fig. 15 shows the measured PAE versus curves at the bias conditions. The PAE is as high as approximately 43.3% in the dynamic bias control, while it is approximately 38.4% in the class AB case at 28 dBm. When the Vctrl is a fixed at 1.5 V, the ACPR is below 47.5 dBc and the PAE is improved compared to class AB, but it is lower than the dynamic bias control.

NAM AND KIM: DPA WITH ON-CHIP DYNAMIC BIAS CONTROL CIRCUIT FOR HANDSET APPLICATION

639

Fig. 12. Photograph and full schematic of the MMIC PA. (a) Chip photograph. (b) Full schematic of bias controlled DPA on chip.

The PAE is improved by approximately 6% by the base dynamic control, and approximately 14% by the collector/base dynamic control from class AB at dBm, respectively. If the dc/dc converter efficiency is 100%, the PAE could be improved approximately 17.5% from class AB, reaching 29.2% at

dBm. In the intermediate power level from 22 to 28 dBm, the PAE is over 34.3%. Fig. 16 shows the PDF function in suburban and urban in CDMA environments, and the dc current consumptions of the PA for the bias conditions. The total quiescent bias currents are

640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 15. PAE versus P out for each bias condition.

Fig. 13. Module for RF power test.

Fig. 16. PDF and dc currents versus P out for each bias condition.

Fig. 14. RF performance of the PA for each bias condition. (a) ACPR at 885-kHz offset versus P out. (b) Gain versus P out.

50 mA in class AB operation, 40 mA in fixed biased Doherty operation (Vctrl V), 22 mA in base bias dynamic control,

and 13 mA in fully dynamic bias control (base/collector bias control) operations. To evaluate the average current consumptions according to the operational bias conditions, the average currents are calculated using the PDF. Table I shows the average currents of the operations in CDMA environments. When the PA is operated in the fixed biased class AB operation, the average current is 62.4 mA in the urban environment and 79.8 mA in the suburban environment. When this PA is operated in the fixed biased Doherty operation with Vctrl V, the average current is 50.1 mA in the urban environment, and 64.5 mA in the suburban environment. When this PA is operated in the dynamic base bias control condition, the average current is 33 mA in the urban environment, and 48.2 mA in the suburban environment. In the case of a fully dynamic base and collector bias control condition, the average current is 22.5 mA in the urban environment, and 37.3 mA in the suburban environment. Therefore, the average currents are reduced from 62.4 to 22.5 mA in the urban environment and 79.8 to 37.3 mA in the suburban environment, respectively, by the bias control. The fully dynamic base and collector bias controlled DPA’s average current is reduced by 36%–46.7% compared to the fixed biased class AB operation. Table II show the average currents of Doherty amplifiers with step bias control and a class AB amplifier

NAM AND KIM: DPA WITH ON-CHIP DYNAMIC BIAS CONTROL CIRCUIT FOR HANDSET APPLICATION

TABLE I EXPECTED CURRENT CONSUMPTIONS IN CDMA ENVIRONMENTS AND QUIESCENT BIAS CURRENTS FOR EACH BIAS CONDITION

TABLE II EXPECTED CURRENT CONSUMPTIONS OF THE DPA WITH STEP BASE BIAS CONTROL AND CLASS AB PA WITH DYNAMIC BASE BIAS

with dynamic base bias control, which were published in [2] and [3]. The average current of the step bias controlled DPA with size ratio , i.e., the main and auxiliary devices are identical, is 42 mA in the urban environment and 58.6 mA in the suburban environment, and the average current of the DPA with size ratio , i.e., the ratio of auxiliary device to main device, which is an extended Doherty amplifier is 25.8 mA in the urban environment and 45.3 mA in the suburban environment. The dynamic base bias controlled class AB PA is 37.9 mA in the urban environment and 59.2 mA in the suburban environment. The fully dynamic controlled DPA (LM Vctrl and dc/dc) has the lowest average current consumption among the amplifiers listed in Tables I and II.

IV. CONCLUSION It has been shown that the efficiency of a DPA for a CDMA handset can be significantly improved with the dynamic bias control circuit and, thus, the standby and talk time by lowering the expected and average current consumptions. The dynamic bias control circuits for base bias control are integrated on chip without additional costs and control the base bias at the intermediate power level, and the commercially available dc/dc converter is used to control the collector bias point of the main power device at the low power level. We have introduced a simple base bias control circuit and have demonstrated how to optimize the control shape of the proposed dynamic bias control circuit. The proposed dynamic base bias circuits’ control shape can be optimized by adjusting the resistors according to each stage’s bias conditions. The measurement results show that the PAE is improved approximately 6% by the base dynamic control, and approximately 14% by the collector/base dynamic control from class AB, respectively. If the dc/dc converter efficiency is 100%, the PAE could be improved approximately 17.5% from class AB, reaching to 29.2% at dBm. In the intermediate power level from 22

641

to 28 dBm, the PAE is over 34.3%. The proposed PA with the dynamic bias control consumes significantly less average current and improves the PAE over all of the power range. The average current consumption of the PA is 22.5 mA in the urban and 37.3 mA in suburban CDMA environments, which is reduced by 36%–46.7% compared to the fixed biased class AB operation. The ACPR is below 47.5 dBc, and the PAE at the maximum power is approximately 43.3%. The dynamic base bias controlled class AB PA is 37.9 mA in the urban environment and 59.2 mA in the suburban environment. The DPA with size ratio has the lowest average current in the case of the step bias control, and the DPA has a lower average current than the class AB PA in dynamic bias control, but the fully dynamic controlled DPA has the lowest average current compared to the other amplifiers. These data clearly show that the new amplifiers based on the load modulation with fully dynamic bias control can boost efficiency and can be a viable circuit approach for handset applications.

ACKNOWLEDGMENT The author thanks FCI Inc., Bundang, Gyeonggi-do, Korea, for fabrication of the PA.

REFERENCES [1] T. Fowler, K. Burger, N. Cheng, A. Samelis, E. Enobakhare, and S. Rohlfing, “Efficiency improvement techniques at low power levels for linear CDMA and WCDMA powers,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2002, pp. 41–44. [2] J. Nam, J.-H. Shin, and B. Kim, “A handset power with high efficiency at a low level using load modulation technique,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2639–2644, Aug. 2005. [3] J. Nam, Y. Kim, J. Shin, and B. Kim, “A CDMA and AMPS handset power based on load modulation technique,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 329, 523–332, 526. [4] S. C. Cripps, RF Power Amplifier for Wireless Communications. Norwood, MA: Artech House, 1999. [5] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [6] Y. Kim, K. Han, S. Hong, and J. Shin, “A 45% PAE/18 mA quiescent current CDMA PAM with a dynamic bias control circuit,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2004, pp. 365–368. [7] “ACPM-7812 CDMA/AMPS power module datasheet,” Agilent Technol., Palo Alto, CA, 2002. [8] J. Staudinger, “An overview of efficiency enhancements with application to linear handset power amplifiers,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2002, pp. 45–48. [9] D. A. Teeter, E. T. Spears, H. Bui, H. Jiang, and D. Widay, “Average current reduction in (W)CDMA power amplifiers,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2006, pp. 429–432. [10] I. Bahl, Lumped Elements for RF and Microwave Circuits. Norwood, MA: Artech House, 2003. [11] Y. Yang, J. Yi, Y. Y. Woo, and B. Kim, “Optimum design for linearity and efficiency of microwave Doherty amplifier using a new load matching technique,” Microw. J., vol. 44, no. 12, pp. 20–36, Dec. 2001. [12] P. Vizimuller, RF Design Guide Systems, Circuits, and Equations. Norwood, MA: Artech House, 1995. [13] J. Cha, Y. Yang, B. Shin, and B. Kim, “An adaptive bias controlled power amplifier with a load-modulated combining scheme for high efficiency and linearity,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 81–84. [14] C.-Y. Liu, Y.-J.-E. Chen, and D. Heo, “Impact of bias schemes on Doherty power amplifier,” in IEEE Int. Circuits Syst. Symp., May 2005, pp. 212–215.

642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Joongjin Nam was born in Uljin, Korea, in 1972. He received the B.S. degree in electronic engineering from Kwangwoon University, Seoul, Korea, in 1998, and the M.S. and Ph.D. degrees in electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2000 and 2005, respectively. From September 2005 to September 2006, he was a Post-Doctoral Researcher with the MMIC Laboratory, POSTECH. Since September 2006, he has been a Research Associate Professor with POSTECH. His current research interests include highly linear and efficient RF PA design on the CMOS and HBT process for mobile applications, and large-signal modeling of microwave devices.

Bumman Kim (S’77–M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power FETs and MMICs. He has developed a large-signal model of a power FET, dual-gate FETs for gain control, highpower distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Korea, where he is a Professor with the Electronic and Electrical Engineering Department and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RFICs. In 2001, he was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena. He has authored over 200 published technical papers. Dr. Kim is a member of the Korean Academy of Science and technology and Academy of Engineering of Korea. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

643

Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier Sang-Woong Yoon

Abstract—This paper presents error vector magnitude (EVM) behavior under two different modes of a reference voltage ( ref ); one is a static EVM with a constant ref and the other is a dynamic EVM with a pulsed ref . A 2.4-GHz two-stage power amplifier (PA) is implemented by GaAs HBT technology to investigate EVM behavior for IEEE 802.11 g wireless local area network application. A square wave pulse with the duty cycle of 50% is applied as ref for dynamic operation mode. In this paper, it is shown that a dynamic EVM is worse than a static EVM because a transient response of PA output distorts preamble and SIGNAL in orthogonal frequency division multiplexing signal bursts. This transient response is explained by a two-pole thermal network in this study, and transient response experiments with a resistor–capacitor (R–C) network at a power supply were performed for verification. Index Terms—Error vector magnitude (EVM), GaAs HBT, transient response, 2.4-GHz wireless local area network (WLAN) power amplifier (PA), two-pole thermal network.

I. INTRODUCTION

T

HE WIRELESS local area network (WLAN) market has grown rapidly over the past several years because the high data rate is increasingly demanded [1]. IEEE 802.11 g uses a maximum 64 quadrature amplitude modulation (QAM) signal with an orthogonal frequency division multiplexing (OFDM) scheme, which can transmit the data rate up to 54 Mb/s. A 64-QAM OFDM signal allows high data rate transmission; however, it requires a highly linear PA because of a high peak-to-average ratio [2]. The linearity of an 802.11 g power amplifier (PA) is generally evaluated through error vector magnitude (EVM) because information is carried on both magnitude and phase of a signal [3]. Therefore, EVM is one of the key parameters for 802.11 g PA development along with gain and efficiency. In this paper, EVM behavior is investigated under a reference voltage not only in the static mode, but also in the dynamic mode with a 2.4-GHz PA. II. DYNAMIC OPERATION OF WLAN PA WLAN applications use a same frequency band for transmitter (Tx) and receiver (Rx). Hence, WLAN systems need a switch to perform time-domain duplexing (TDD). When a signal is receiving through Rx, obviously it is more efficient to have Tx off. For this reason, a PA is required to be functional under both static and dynamic operation mode.

Fig. 1. Simplified schematic of two-stage PA.

In this paper, the two-stage PA implemented in GaAs HBT technology is presented. The simplified schematic is shown in Fig. 1. The device size of each stage is 160 and 640 m , respectively. Each device includes ballast resistors to avoid the thermal runaway [4]. The bias and the RF signal path are separated to preserve gain. The common current mirror with one more diode stack is used for the bias circuitry. The high-pass network is used for input and inter-stage on-chip matching, and the low-pass network is used for the external output matching on the board. The supply voltage is 3.3 V. Regarding , 2.9 V is constantly applied to for static operation mode, while is switched from 0 to 2.9 V with a duty cycle of 50% for dynamic operation mode, as shown in Fig. 1. EVM is measured with an output power level from 0 to 14 dBm. Interestingly, in Fig. 2, the EVM difference is observed between static and dynamic operation mode. Through all the power levels, the dynamic EVM is 1.2% worse than the static EVM. Furthermore, the difference depends on frequency, as shown in Fig. 3. As the frequency increases from 2.4 to 2.5 GHz, the EVM difference decreases from 1.1% to 0.4%. Dynamic EVM behavior is investigated in this paper as follows. Section III presents a transient response of PA output and explains the reason of EVM degradation under dynamic operation mode. In Section IV, the transient response is addressed with a two-pole thermal model of the active power devices. Section V shows experiments of the transient response of PA output to verify distortion causing the EVM difference between static and dynamic operation modes. III. TRANSIENT RESPONSE OF PA OUTPUT

Manuscript received October 17, 2006; revised January 13, 2007. The author is with Marvell Semiconductor Inc., Santa Clara, CA 95054 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893644

To explain EVM degradation under dynamic operation compared to static operation, the transient response of PA output was examined. By biasing 2.9 V with the square wave pulse of 50%

0018-9480/$25.00 © 2007 IEEE

644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 2. Measured static and dynamic EVM of PA with carrier frequency of 2.4 GHz. Fig. 4. Measured transient response of PA output with single-tone frequency of 2.4 GHz.

Fig. 5. OFDM burst structure.

Fig. 3. Measured difference between static and dynamic EVM of PA at three carrier frequencies (2.4, 2.45, and 2.5 GHz).

duty cycle at , the transient envelope response of PA output is shown in Fig. 4. In Fig. 4, two slopes are observed in the transient response: one is the very steep-slope region from 0 to 10 s (I), and the other is the gentle-slope region beyond 10 s (II). This transient response results in worse dynamic EVM than static EVM. Fig. 5 shows an OFDM burst structure [5]. The beginning of the burst is composed of preamble, SIGNAL, and DATA fields. The preamble includes information of the signal required to decode the modulated signal correctly. It consists of two parts: one is the short preamble and the other is the long preamble, which is totally 16 s. There is a guard interval of 0.8 s and the SIGNAL is followed during 3.2 s. The SIGNAL section includes signal information of rate and length. The transient envelope response up to 20 s makes a distortion of preamble and SIGNAL, which results in EVM degradation under dynamic operation mode. Furthermore, when transient response across 802.11 g band was observed, it shows frequency dependency. The response of 2.5 GHz is flatter than that of 2.4 GHz, which means the distortion at 2.5 GHz is less than 2.4 GHz. For quantitative analysis

Fig. 6. Flatness of transient response of PA with three different carrier frequencies.

on the flatness of the transient response during 20 s, the parameter indicating flatness is proposed as follows: (1)

YOON: STATIC AND DYNAMIC EVM BEHAVIOR OF 2.4-GHz PA

645

Fig. 7. (a) One- and (b) two-pole dynamic thermal models.

Fig. 8. Transient response of PA output with thermal model parameters.

Fig. 9. R–C network at power supply to change flatness of transient response.

while represents the maximum output power level and represents the output power level at each time via the unit of microseconds. By using this parameter , the flatness of the responses is evaluated as shown in Fig. 6. The flattest response is shown at 2.5 GHz and this is correlated with EVM difference depicted in Fig. 3. The difference of EVM and the transient response across the band comes from narrow band matching. Even if the band is only 100 MHz, the frequency responses at the low and high ends of the band with a specific matching network are different. Experimentally, matching networks showing an opposite trend to Figs. 3 and 6 can be found.

Fig. 10. (a) Transient responses with three different resistor values at R–C network. (b) Measurement data and model data using two-pole thermal model with 10- resistor at R–C network.

IV. TWO-POLE DYNAMIC THERMAL MODEL HBTs suffer from well-known self-heating effects and this limits PA performance. Even if thermal characterization and modeling of the HBT have been given considerable attention in the literature thus far, there has been very few publications on dynamic thermal characterization including the time dependence of self-heating [6], [7]. In this paper, the transient envelope response of PA output is addressed with a two-pole dynamic thermal model [8]. Fig. 7(a) shows a one-pole thermal model. This model is widely used because of simplicity, and even is usually neglected because it has less electrical effect than . However, is required to address the transient envelope response. When

646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

envelope response of the PA output can be fully predicted only by two-pole thermal model parameters. V. TRANSIENT RESPONSE EXPERIMENTS Based on the results of the above sections, experiments changing transient response of PA output from 0 to 20 s were performed to verify preamble and SIGNAL distortion coming from the transient response. In this study, a resistor (R) is added between a power supply and a decoupling capacitor (C), as shown in Fig. 9. By choosing proper values of the R–C network, it presents a flatter transient response at the cost of losing maximum linear output power. By adding a resistor at the collector side, the collector voltage drops. Therefore, to preserve the same maximum linear output power, the supply voltage should be increased, which means more power consumption. Even if the transient response is changed by the R–C network, it has nothing to do with the turn-on time because turn-on time is commonly defined by transient time from 10% to 90% of final value, and the R–C network shapes the response after 90%. The turn-on time of the implemented PA is less than 1 s. Fig. 10(a) shows transient response experiments with different R values. It is observed that as the resistance increases, the response during 20 s becomes flatter, but the output power level becomes lower. In the experiment using 10 , the flatness parameter reduces to 1 dB. Fig. 10(b) shows that the two-pole thermal model predicts the transient envelope response very well even with the R–C network. Fig. 11(a) and (b) shows EVM with 5.6 and 10 under both static and dynamic operation modes. As the transient response goes flatter, the EVM difference between the static and dynamic modes decreases. VI. CONCLUSION Fig. 11. EVM behavior with: (a) 5.6- and (b) 10- resistors at R–C network.

a PA is turned on, it takes time for the power device to reach steady state, presenting a maximum output power level. It is called a thermal time constant, and is expressed by (2) As described in Section III, two regions with different transient slopes are observed in Fig. 4. The overall transient response can be fully explained by a two-pole model. With a one-pole model, only the steep-slope transient response can be predicted. To predict the gentle-slope region, at least a two-pole model is needed, as shown in Fig. 7(b). and represent thermal characteristics of the chip, while and represents those of the package and the board that the chip is mounted on. Physically, the chip is on the package and the package is on the board. The chip, package, and board have different thermal characteristics so using a three-pole model, which represents each thermal property, would give more accurate results. However, in this study, thermal characteristics of the package and the board are combined and described together by and to avoid complexity. Fig. 8 shows the necessity of thermal capacitance and the limitation of a one-pole model. The transient

Static and dynamic EVM behavior has been investigated in this paper. The discrepancy between the static and dynamic EVM has been explained by the distortion of preamble and SIGNAL in the OFDM burst, which resulted from the transient response when the PA is turned on. This transient response has been modeled by a two-pole thermal network of active power devices. REFERENCES [1] G. Breed, “WLAN systems lead wireless market growth,” High Freq. Electron., vol. 1, no. 1, pp. 34–36, Jul. 2002. [2] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic´ , N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [3] P. Naraine, “Predicting the EVM performance of WLAN power amplifier with OFDM signals,” Microw. J., vol. 47, no. 5, pp. 222–224, May 2004. [4] W. Liu, A. Khatibzadeh, J. Sweder, and H.-F. Chau, “The use of base ballasting to prevent the collapse of current gain in AlGaAs/GaAs heterojunction bipolar transistors,” IEEE Trans. Electron Devices, vol. 43, no. 2, pp. 245–251, Feb. 1996. [5] “WLAN wireless test benches,” Agilent Technol., Palo Alto, CA, Agilent RF Design Environ. Doc., Aug. 2005 [Online]. Available: http:// eesof.tm.agilent.com/docs/rfdedoc2005A/pdf/rfdewtbwlan.pdf [6] D. T. Zweidinger, R. M. Fox, J. S. Brodsky, T. Jung, and S. G. Lee, “Thermal impedance extraction for bipolar transistors,” IEEE Trans. Electron Devices, vol. 43, no. 2, pp. 342–346, Feb. 1996. [7] M. Busani, R. Menozzi, M. Borgarino, and F. Fantini, “Dynamic thermal characterization and modeling of packaged AlGaAs/GaAs HBT’s,” IEEE Trans. Compon. Packag. Technol., vol. 23, no. 2, pp. 352–359, Jun. 2000.

YOON: STATIC AND DYNAMIC EVM BEHAVIOR OF 2.4-GHz PA

[8] D. E. Root, M. Iwamoto, and J. Wood, “Device modeling for III–V semiconductors and overview,” in IEEE Compon. Semiconduct. Integr. Circuit Symp., Monterey, CA, Oct. 2004, pp. 279–282. Sang-Woong Yoon received the B.S. degree form Yonsei University, Seoul, Korea, in 1998, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 2001, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2004. He was a member of the Microwave Application Group, Packaging Research Center, and Georgia Electronic Design Center. From April 2005 to May

647

2006, he was a Senior Design Engineer with RF Micro Devices, Billerica, MA, where he was involved with WLAN power amplifier module (PAM) and FEM development. He is currently a Senior Design Engineer with Marvell Semiconductor Inc., Santa Clara, CA, where he is involved with RF integrated circuit (RFIC) design and finite-element method (FEM) development on WLAN applications. He has authored or coauthored over 25 papers in referred journals and conference proceedings. His research interests include RF device characterization, RFIC design, and FEM development with advanced integration technologies.

648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications Haiyong Xu, Member, IEEE, Gerhard S. Schoenthal, Member, IEEE, Jeffrey L. Hesler, Member, IEEE, Thomas W. Crowe, Senior Member, IEEE, and Robert M. Weikle II, Senior Member, IEEE

Abstract—The development of tunerless millimeter and sub-millimeter wavelength frequency upconverters with integrated planar varactor circuits is described in this paper. An upconverter operating at 200 GHz is implemented as a proof-of-principle demonstration, and designs using both ohmic and nonohmic contacts are tested. The nonohmic contact facilitates fabrication. Both the ohmic and nonohmic devices produced similar performance. Using the nonohmic cathode contact technique and an air-bridge process, 1.6-THz integrated upconverter circuits are fabricated, and the conversion loss is measured to be approximately 22 dB with 40 W of output sideband power with a 10-GHz microwave pump. A phase-shift measurement for the 1.6-THz upconverter using a standing wave method is described and used to corroborate the results. Index Terms—Ohmic contact, Schottky diode frequency converters, submillimeter wave frequency conversion, varactors.

I. INTRODUCTION ARACTOR parametric upconverters have been investigated since the early 1960s, and the abrupt-junction varactor upconverter was described in detail by Penfield and Rafuse in 1962 [1]. Most early research on these devices focused on intermodulation properties and optimization of conversion loss. A current-pumped abrupt junction varactor upconverter was described by Perlman in the 1960s, and he gave design relations for a noninverting frequency upconverter [2]. The overdriven upper sideband (USB) upconverter was investigated by Grayzel in 1965 [3]. In addition, distortion generation and gain saturation effects due to the interaction of signals, pump, and generated sideband products with the nonlinear capacitance of the varactor was studied by Gardiner and Ghobrial [4]. Since the early 1970s, transistors have largely replaced these parametric upconverters for microwave and millimeter-wave applications. In the submillimeter frequency range, however, upconverters still play an important role for realizing terahertz sources. A submillimeter laser and a microwave source, for

V

Manuscript received May 18, 2006; revised December 21, 2006. This work was supported by the U.S. Army National Ground Intelligence Center under Contract DASC01-01-C-0009 and by the Department of Defense under Grant ARO-MURI-DAAD19-00-R-0010. H. Xu was with the Department of Physics, University of Virginia, Charlottesville, VA 22904 USA. He is now with Skyworks Solutions Inc., Woburn, MA 01801 USA (e-mail: [email protected]). G. S. Schoenthal, J. L. Hesler, and T. W. Crowe are with Virginia Diodes Inc., Charlottesville, VA 22904 USA. R. M. Weikle II is with the School of Engineering and Applied Science, University of Virginia, Charlottesville, VA 22904 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893648

instance, have been mixed using a Schottky diode to create a tunable high-frequency source, which was first demonstrated in the 1970s [5]–[7]. Subsequently, more research was conducted during the 1980s and early 1990s in [8] and [9]. In 1994, a Schottky diode sideband generator (SBG) in a corner cube mount gave 10.5 W of single-sideband (SSB) output power with a conversion loss of 31 dB at 1.6 THz [10]. These results were improved dramatically by the use of varactor diodes, which acted as phase modulators. To date, the best performance obtained from an SBG at submillimeter wavelengths employed a whiskercontacted Schottky varactor diode operating at 1.6 THz [11]. The conversion loss of this device was measured to be 14 dB with an output power of 55 W. However, it has proven difficult to further develop these SBGs because of the challenges involved in implementing the whisker-contacted diode. In this paper, 200-GHz and 1.6-THz upconverters based on planar diode technology are investigated and implemented. Nonohmic contact processing is studied at 200 GHz and adopted for use in a 1.6-THz upconverter. These upconverters are characterized and their performance are presented below. II. THEORY AND ANALYSIS A. Analysis Typically, a large-signal microwave source is used to pump a terahertz USB upconverter, and the submillimeter signal is assumed to be small, causing negligible capacitance modulation of the varactor [12]. Penfield and Rafuse have analyzed this situation in detail and provided performance specifications based on the following several assumptions [1]. 1) The voltages and currents at all frequencies, except the pump frequency and its harmonics, are small. 2) Only one varactor is used in the frequency conversion process. 3) The coupling networks are assumed to be lossless. 4) The varactor is assumed to be open circuited at all but the primary frequencies (e.g., the USB of the high-frequency signal). Based on these restrictions, Penfield and Rafuse showed that minimum conversion loss is achieved when the high-frequency signal ports are matched to the generator and load resistances and , respectively, such that (1) is the effective series resistance that includes losses in where the diode and its resonator, is the dynamic cutoff frequency

0018-9480/$25.00 © 2007 IEEE

XU et al.: NONOHMIC CONTACT PLANAR VARACTOR FREQUENCY UPCONVERTERS FOR TERAHERTZ APPLICATIONS

649

associated with , is the capacitance modulation coefficient, and and are the local oscillator (LO) and USB frequency, respectively. For details, the reader is referred to [1]. For the voltage sinusoidal pumped abrupt junction varactor diode considered here, is 0.21. When (1) is satisfied, the minimum conversion loss is found to be (2) As an example, a prototypical Schottky varactor previously designed for terahertz operation had an anode diameter of 4.6 m, a zero bias junction capacitance of 18 fF, an epitaxial layer doping of 10 cm , and a junction series resistance of 5 . The above expressions can be used to predict the conversion loss for a 10-GHz pump with available power of 3.8 dB when all sidebands (including the lower sideband) are properly idled. It should be noted that it is impractical to properly idle all sidebands and harmonics at sub-millimeter frequencies due to their close spectral proximity. For the case of a low-frequency pump reflection-type upconverter, the dominant sidebands are close to the LO and will, thus, be matched to the LO impedance. Kelly’s lossless mixer theory can be applied to examine this situation [13]. Kelly showed that the optimum performance of 3.92-dB conversion loss is achieved with a 50% pump duty cycle when all sidebands are terminated with matched loads and the reflection coefficient presented to the submillimeter signal is modulated to produce a 180 phase shift. This calculation yields a practical limit on submillimeter-wave SBG performance.

Fig. 1. Frequency upconverter schematic drawing, including fixed tuned waveguide, microstrip channel, and an integrated quartz circuit.

B. Circuit Architecture and Simulation To develop a reliable and robust 1.6-THz upconverter, planar varactor diode technology is adopted in this study. As a consequence, precision alignment is obtained through the lithographic fabrication process. Using this approach, 1.6-THz planar varactor upconverter integrated circuits were designed and fabricated using the process described in [14]. A diagram of the tunerless upconverter configuration is shown in Fig. 1. The circuit is placed in a microstrip channel, which lies perpendicular to the RF waveguide. A waveguide-to-microstrip transition is used to couple the LO into the diode channel and sidebands back to the waveguide. A microwave pump signal is applied to the varactor to control the reflection coefficient presented to the submillimeter signal and a low-pass microstrip filter integrated with the diode is used to resonate with the varactor while blocking the submillimeter input signal. The primary loss mechanisms in the structure are waveguide conductor loss, microstrip circuit loss, and the varactor series resistance. The design goal is to determine the varactor parameters and embedding impedance required to produce a full 180 phase modulation. An equivalent-circuit model of the Schottky varactor and its resonant circuit is shown in Fig. 2. To simplify the simulation, the waveguide to microstrip transition is considered separately. The varactor junction is modeled by a junction capacitor , series resistor , finger inductor , finger-to-pad capacitor , and pad-to-pad capacitor . To achieve the largest phase modulation, the junction capacitance is initially

Fig. 2. Schottky planar varactor upconverter equivalent-circuit model. The diode is modeled by a finger inductance, junction capacitance, series resistance, and parasitic capacitance.

set to resonate with the finger inductance at the frequency of interest. This results in a short circuit with reflection coefficient phase of 180 . Off resonance, the circuit should present a large impedance to approximate an open circuit. The circuit model is simulated initially using approximate closed-form expressions for the circuit elements. The parasitic varactor equivalent-circuit parameters are estimated using previously published results [15]. The junction capacitance ratio is degraded by the finger-to-pad capacitance . and are optimized to give maximum phase shift of . These parameters are translated into varactor dimensions, such as anode size, according to standard formulas [16]. Following this initial design step, Ansoft’s High Frequency Structure Simulator (HFSS) is used to complete analysis of the entire structure using the layout in Fig. 1. In this simulation, ohmic losses are typically neglected to reduce computation time.

650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

An internal coaxial port is used at the varactor anode junction, as described in [15]. Using this technique, all of the varactor parasitic parameters are taken into account. However, the nonlinear junction is not simulated, as it is bias dependant. The three-port -parameter matrix set is imported for use in the nonlinear circuit design software [Agilent’s Advanced Design System (ADS)] to complete the nonlinear circuit simulation. The reflection coefficient at the waveguide port is obtained when and are included at the internal coaxial port. The typical Schottky diode C–V relation is used to model the junction capacitance. Finally, the process is repeated to optimize the diode and circuit design parameters for the largest phase shift and lowest reflection loss. III. 200-GHz FREQUENCY UPCONVERTER A. Design and Fabrication A 200-GHz frequency upconverter is prototyped initially as a proof-of-principle study [17]. An epitaxial layer doping of 1 10 cm and an anode diameter of 4.3 m are chosen for the varactor. The predicted diode series resistance and junction capacitance are calculated to be 5.8 and 16 fF, respectively. Three circuit variations, with different filter designs, are included to provide various load reactances. The integrated circuits were fabricated in the Microfabrication Laboratory, University of Virginia, Charlottesville. Typically, the Schottky diode cathode is fabricated as an ohmic contact that is formed by annealing an Au/Ge/Ni/Au metal layer with a highly doped area of the semiconductor surface. Hightemperature annealing can be problematic during the fabrication, and can result in wafer expansion and alignment difficulties with the anode. A nonohmic cathode contact, which is essentially a large-area leaky Schottky diode, requires no annealing process and alleviates these issues, as it can be fabricated while maintaining processing temperatures below 210 C. The primary disadvantage of the nonohmic contact is its large dc resistance, which makes low-frequency measurements and characterization of the device difficult. However, this resistance is not a drawback at submillimeter frequencies because it is shunted by a large parallel capacitance. The value of the capacitance, which can be estimated using the standard abrupt-junction diode model, is approximately 1 pF—approximately three orders of magnitude larger than the capacitance associated with the anode. The reactance associated with this cathode capacitance at 200 GHz is less than 1 , effectively shorting out the resistance of the contact. To verify the nonohmic varactor has little effect on the submillimeter-wave performance of the SBG, both ohmic and nonohmic circuits were fabricated and measured for the 200-GHz upconverters. A scanning electron micrograph of the 200-GHz upconverter integrated circuit is shown in Fig. 3. Since the finger-to-pad capacitance reduces the capacitance modulation ratio of the Schottky diode, the distance between the finger-to-epitaxial layer is raised to 3 m and the silicon–dioxide layer between them is eliminated. The pad-to-pad capacitance is measured to be 7 fF, which is tuned out with the finger inductance and low-pass filter input impedance.

Fig. 3. SEM picture of 200-GHz upconverter anode junction part, including long finger, surface channel etch part, anode, and cathode contact.

Fig. 4. 200-GHz Schottky upconverter integrated circuit in an open metal block microstrip channel. It is wire bonded to the block to provide the dc and microwave ground path.

B.

-Parameter and Conversion Loss Measurements

The 200-GHz Schottky upconverters are assembled in metal waveguide housings and a photograph of one circuit mounted in an open metal block microstrip channel is shown in Fig. 4. The reflection coefficient is measured to verify the design. An Agilent 8510C vector network analyzer (VNA) with an Oleson Microwave Laboratory WR-5 (140–220 GHz) extension module is used to measure reflection coefficient for both the ohmic and nonohmic contact designs, which have an 80- m-long filter section. These results are plotted in Fig. 5. The measured reflection coefficient of a 120- m-long filter section circuit variation is shown in Fig. 6, along with the predicted phase variation. The phase variation is nearly 170 , which agrees well with the design. However, the return loss is approximately 1.5 dB larger than predicted. This increased loss largely results from conductor loss, which was not included in the original simulation. These losses are calculated to be approximately 1 dB using standard formulas in [18]. The conversion loss measurement test setup is shown in Fig. 7. A synthesizer (Agilent 8350B) followed by two frequency doublers (Virginia Diodes Inc., Charlottesville,

XU et al.: NONOHMIC CONTACT PLANAR VARACTOR FREQUENCY UPCONVERTERS FOR TERAHERTZ APPLICATIONS

651

Fig. 8. 200-GHz upconverter conversion losses for ohmic and nonohmic contact circuits over 8-GHz frequency range.

Fig. 5. Measured s for ohmic and nonohmic versions of the 200-GHz upconverter circuit, both with 80-m filter section. Note there is little difference between the circuits using ohmic and nonohmic contacts. For the measurement, the bias voltage is swept from 10 to 0.5 V.

0

meter (ML83A). A WR-5 isolator was connected to eliminate the reflected signal to the doubler source. The large insertion loss of the WR-5 isolator and WR-5 direction coupler reduced the carrier power at 200 GHz to approximately 1 mW. The pump microwave power was 15 dBm. Measurements were performed on both the ohmic and nonohmic devices, as shown in Fig. 8. The difference between the two curves in Fig. 8 lies within the typical variations associated with alignment issues. To determine the bandwidth, another 200-GHz upconverter circuit is measured over a wider low frequency pump range of 20 GHz. The conversion loss varies between 7–10 dB, as shown in Fig. 9. The ripple is attributable to standing waves present in the test setup. IV. 1.6-THz FREQUENCY UPCONVERTER A. Circuit and Block Fabrication

Fig. 6. Measured and simulated s for the 200-GHz upconverter circuit variation with 120-m-long filter section. The data shown corresponds to a bias voltage sweep from 10 to 0.5 V.

0

Fig. 7. 200-GHz upconverter conversion loss test setup, consisting of 100-GHz source, WR-10, and WR-5 waveguides, attenuator, directional coupler, and isolators.

VA, models D50 and D100) was used to provide approximately 120-mW power at 100 GHz. After passing through the 100–200-GHz frequency doubler, the 200-GHz carrier power was greater than 20 mW, as measured by an Anritsu power

The 200-GHz proof-of-concept prototype upconverter design is scaled to 1.6 THz. Consequently, it is necessary to consider realistic fabrication limits, and a redesign of the nonlinear and linear circuits was performed with a careful attention to potential tradeoffs between circuit performance and manufacturability. The key circuit parameters were determined, such as the quartz substrate thickness (10 m) and the anode diameter (1 m). Using the nonohmic cathode contact technique and air-bridge process, the 1.6-THz integrated circuits were fabricated at the Microfabrication Laboratory, University of Virginia [19]. A scanning electron micrograph of a 1.6-THz upconverter anode part is shown in Fig. 10. The yield for the 1- m anode circuits was 86%. Circuit fabrication is one crucial aspect of successfully realizing an upconverter at 1.6 THz. Another important factor is the fabrication of the waveguide block. As the frequency increases, the feature size decreases. Moreover, certain features, such as corner radius, do not scale directly with frequency. At 1.6 THz, a minimum feature size for the machined block of 40 m was determined during the upconverter design. These sizes are difficult to fabricate using traditional milling. As an alternative, the blocks were fabricated using a laser-hardened polymer technique.1 1MicroTec Inc., Munich, Germany. [Online]. Available: http://www.microtec-d.com

652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 9. Measured 200-GHz upconverter conversion loss over 20-GHz frequency range.

Fig. 12. Frequency upconverter test setup using two lasers. One is used to pump receiver. The other provides RF source to upconverter.

Fig. 10. Scanning electron micrograph of a 1.6-THz upconverter anode junction. There is no surface channel compared with the 200-GHz anode.

Fig. 13. Measured USB conversion gain as a function of input laser power with fixed 10-GHz microwave signal.

Fig. 11. Gold-sputtered MicroTec Inc. block mounting in a metal housing block to suppress microwave leakage and provide microwave subminiature assembly (SMA) connection.

The fabricated blocks (shown in Fig. 11) were measured to be within several micrometers of the design. To form conducting walls, the blocks were sputtered with 200-nm gold, which is more than twice the RF skin depth at 1.6 THz. The integrated horn antenna radiation pattern was measured using a rotation stage similar to that described in [20]. A 1.6-THz far-infrared (FIR) laser was used to provide the RF power, and the SBG diode was used as a detector. The block was mounted at the center of a computer-controlled rotation stage placed in the far field of the laser and the measured half-power bandwidth agreed with that predicted by antenna theory. B. Conversion-Loss Measurements The conversion loss was initially measured with a one-laser setup, as described in [21]. A second measurement was con-

ducted in the Submillimeter-Wave Technology Laboratory, University of Massachusetts at Lowell, with two FIR lasers [10]. One laser was used to pump the receiver, a corner cube mixer. The double-sideband conversion loss of the mixer was measured to be 18.5 dB at a 10-GHz IF signal output. The second laser provided the RF carrier to the frequency upconverter. The sidebands were reflected by a silicon etalon, which transmits 99% of the carrier laser power and reflects 80% of the sidebands. The sidebands pass through a beam splitter and reach the corner cube mixer as the RF input signal. The final mixer output is measured by a spectrum analyzer. The experimental setup is shown in Fig. 12. The two FIR lasers are offset by 10 MHz, resulting in a 20-MHz spacing between the sidebands at the IF output. After calibrating the path loss of the system, the upconverter conversion loss was calculated. Fig. 13 shows the measured USB conversion gain as a function of input laser power, while the input microwave frequency is fixed at 10 GHz and the pump power is 15 dBm. It should be noted that the maximum microwave pump power is limited by the diode reverse breakdown voltage. For the measurement,

XU et al.: NONOHMIC CONTACT PLANAR VARACTOR FREQUENCY UPCONVERTERS FOR TERAHERTZ APPLICATIONS

Fig. 14. Measured SSB output power as a function of input laser power with fixed 10-GHz microwave signal.

653

Fig. 16. Measured upconverter losses over different bias voltages for the 1.6-THz frequency upconverter, including varactor loss, block loss, and coupling loss.

Fig. 15. Phase shift measurement setup for the 1.6-THz frequency upconverter using standing wave method.

pump power is selected to achieve the lowest conversion loss, which is approximately 22 dB. The laser power is then swept to determine the upconverter power-handling capacity, and the measured result is shown in Fig. 14. The output sideband power begins to saturate with approximately 10 mW of laser power. The maximum SSB output power is 14 dBm. C. Phase-Shift Measurement The phase shift associated with the upconverter is measured using a standing wave technique. The measurement setup is shown Fig. 15. A FIR laser is first divided by a beam spitter. One beam reflects from a tunable mirror with micrometer position accuracy. The second beam is directed to the upconverter by a mirror. The reflected laser beams are recombined at the corner cube receiver. The primary goal of the measurement is to obtain the total upconverter loss. For each bias voltage, the receiver video response due to the reflected laser signal from the upconverter (path 2) is recorded, while path 1 is blocked with an absorber. In this way, only the path 2 reflected laser power reaches the corner cube mixer and, thus, the reflected laser response is obtained for each bias voltage. Furthermore, the reflected laser response from the mirror (path 1) is recorded as the reference with path 2 blocked.

Fig. 17. Curving fitting results of measured standing wave for the 1.6-THz frequency upconverter with two different bias voltages.

After obtaining both responses, the upconverter losses are calculated from the data ratio for each bias voltage. The result is shown in Fig. 16. To verify the measurement, the losses are also calculated based on standard formulas given by Collin [18]. The conductive and dielectric losses are found to be approximately 5.6 dB. Considering the additional loss (approximate 3 dB) due to the varactor series resistor and waveguide-to-microstrip transition, the measured result agrees well with the estimation. A second goal of the measurement is to determine the phase shift produced by the varactor. With the upconverter bias voltage fixed, the moveable mirror is translated, and the video response is recorded for several periods. A step of less than 10 m is used, which is much smaller than the wavelength of 191 m. This process is repeated across the range of bias voltage, and a group of standing wave curves are obtained for the different bias voltages. Comparing the curves, the phase shift can be calculated for different bias voltages. A sine curve fitting is used to provide a best fit for each data set, and two fitted curves and the original measured data corresponding to 0.5-V bias and 2-V bias are shown in Fig. 17. The phase difference is approximately 35 5 , which can be increased slightly by over pumping

654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

the diode into forward operation. Increasing the bias to a more negative voltage has little effect on the phase shift. The phase shift is smaller than the design value of 70 . Possible reasons for the small phase shift are the large anode size and mismatch between the varactor and surrounding circuits. The small circuit dimensions and large parasitic capacitance make the upconverter design very sensitive to these parameters. Given this measured phase shift, the conversion loss is calculated to be approximately 15 dB based on the Fourier transform of phase-modulation waveforms [22]. The phase shift can be improved somewhat by driving the varactor into forward bias. V. CONCLUSION This is the first time that a tunerless terahertz planar varactor frequency upconverter has been investigated at 1.6 THz. By use of nonohmic contacts and incorporating an air-bridge process (thus eliminating the surface channel etch process), a high yield was obtained for the 1- m anode integrated terahertz circuits. A close collaboration between the diode/circuit design and fabrication was crucial to the successful demonstration. As a proof-of-principle demonstration, a 200-GHz upconverter was first studied and achieved excellent results. Measurements of the reflection coefficient and the conversion loss were used to validate the design methodology. At 1.6 THz, the best measured conversion loss is 22 dB with 40 W of sideband power output with a 10-GHz microwave pump. To explain the results, a novel phase-shift measurement was implemented and the measured phase shift corroborates the measured conversion loss. Future work in this area will be aimed at addressing the power-handling limitations of the varactor upconverters by using multiple diode circuit architectures [23]. ACKNOWLEDGMENT The authors are grateful for the assistance of T. Goyette, University of Massachusetts at Lowell, for the measurement of upconverters and the advice and assistance of Prof. B. S. Deaver, Jr. and Prof. A. Is¸ın, Department of Physics, University of Virginia, Charlottesville. Virginia Diodes Inc., Charlottesville, VA, provided microwave and millimeter-wave doublers and mixers for the measurements presented in this study. REFERENCES [1] P. Penfield and R. P. Rafuse, Varactor Applications. Cambridge, MA: MIT Press, 1962. [2] B. S. Perlman, “Current-pumped abrupt-junction varactor power frequency converters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 150–161, Mar. 1965. [3] A. I. Grayzel, “The overdriven varactor upper sideband upconverter,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 10, pp. 561–565, Oct. 1965. [4] J. G. Gardiner and S. I. Ghobrial, “Distortion performance of the abrupt-junction current-pumped varactor frequency converter,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 150–161, Mar. 1965. [5] D. D. Bicanic, B. F. J. Zuidberg, and A. Dymanus, “Generation of continuously tunable laser sidebands in the submillimeter region,” Appl. Phys. Lett., vol. 32, no. 6, pp. 367–369, Jun. 1978. [6] H. R. Fetterman, P. E. Tannenwald, B. J. Clifton, W. D. Fitzgerald, and N. R. Erickson, “Far-IR heterodyne radiometric measurements with quasi-optical Schottky diode mixers,” Appl. Phys. Lett., vol. 33, no. 6, pp. 151–154, 1978.

[7] W. A. M. Blumberg, H. R. Fetterman, and D. D. Peck, “Tunable submillimeter sources applied IO the excited state rotational spectroscopy and kinetics of CH,rF,” Appl. Phys. Lett., vol. 35, no. 8, pp. 582–585, 1979. [8] J. Farhoomand, G. A. Blake, M. A. Frerking, and H. A. Pickett, “Generation of tunable laser sidebands in the far-infrared region,” J. Appl. Phys., vol. 57, no. 5, pp. 1763–1766, 1985. [9] G. A. Blake, K. B. Laughlin, R. C. Cohen, K. L. Busarow, D.-H. Gwo, C. A. Schmuttenmaer, D. W. Steyert, and R. J. Saykally, “The Berkeley tunable far infrared laser spectrometer,” Rev. Sci. Instrum., vol. 62, no. 7, pp. 1701–1716, 1991. [10] E. R. Mueller and J. Waldman, “Power and spatial mode measurements of sideband generated, spatially filtered, submillimeter radiation,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1891–1895, Oct. 1994. [11] D. S. Kurtz, J. L. Hesler, T. W. Crowe, and R. M. Weikle II, “Submillimeter-wave sideband generation using varactor Schottky diodes,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2610–2617, Nov. 2002. [12] P. S. Henry, “An efficient microstrip upconverter for band,” in IEEE MTT-S Int. Microw. Symp. Dig., 1975, pp. 137–139. [13] A. J. Kelly, “Fundamental limits on conversion loss of double sideband resistive mixers,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 11, pp. 867–869, Nov. 1977. [14] G. S. Schoenthal, “Integrated diode circuits for greater than 1 THz,” Ph.D. dissertation, Dept. Phys., Univ. Virginia, Charlottesville, VA, Jan. 2003. [15] J. L. Hesler, “Planar Schottky diodes in sub-millimeter wavelength waveguide receivers,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. of Virginia, Charlottesville, VA, Jan. 1996. [16] R. F. Pierret, Semiconductor Device Fundamentals. Reading, MA: Addison-Wesley, 1996. [17] H. Xu, G. S. Schoenthal, J. L. Hesler, R. M. Weikle II, and T. W. Crowe, “High power tunable millimeter wave sources using fixed-tuned planar varactor sideband generators,” in 27th Int. Infrared Millimeter Waves Conf., Sep. 2002, pp. 129–130. [18] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1994. [19] G. S. Schoenthal, W. L. Bishop, H. Xu, J. L. Hesler, R. M. Weikle II, and T. W. Crowe, “Fabrication of integrated THz sources,” in 27th Int. Infrared and Millimeter Waves Conf., Sep. 2002, pp. 127–128. [20] J. L. Hesler, K. Hui, R. K. Dahlstrom, R. M. Weikle II, T. W. Crowe, C. M. Mann, and H. B. Wallace, “Analysis of an octagonal micromachine horn antenna for submillimeter-wave application,” IEEE Trans. Antennas Propag., vol. 49, no. 6, pp. 997–1001, Jun. 2001. [21] H. Xu, G. S. Schoenthal, J. L. Hesler, T. W. Crowe, and R. M. Weikle II, “1.6 THz frequency upconverter with integrated GaAs diode circuit,” Proc. SPIE, vol. 5592, pp. 350–361, 2005. [22] S. Haykin, Communication Systems. New York: Wiley, 1994. [23] H. Xu, Z. Liu, J. L. Hesler, T. W. Crowe, and R. M. Weikle II, “Planar multi-diode sideband generators for terahertz applications,” in Joint 30th Int. Infrared and Millimeter Waves Conf./13th Int . Terahertz Electron. Conf., Sep. 2005, pp. 634–635.

Ka

Haiyong Xu (S’00–M’06) received the B.S. and M.S. degrees from the University of Science and Technology of China, Hefei, China, in 1995 and 1998, respectively, the M.Eng. degree from the National University of Singapore, Singapore, in 2001, and the Ph.D. degree in electrical and computer engineering from the University of Virginia, Charlottesville, in 2005. From 2005 to October of 2006, he was a Post-Doctoral Research Associate with the Department of Physics, University of Virginia. He is currently with Skyworks Solutions Inc., Woburn, MA. His research interests include microwave and millimeter-wave circuit analysis and design, novel device development, and system testing.

Gerhard S. Schoenthal (M’99) was born in Harrrisonburg, VA, on September 18, 1970. He received the B.S. degree in physics from the United States Naval Academy, Annapolis, MD, in 1992, and the Ph.D. degree in physics from the University of Virginia, Charlottesville, in 2002. From 1992 to 1997, he was an officer in the U.S. Navy. From 2003 to 2004, he was a Senior Process Engineer for the Process and Test Development Group, Intel Corporation, Portland, OR. Since 2004, he has been a Senior Research Scientist with Virginia Diodes Inc. Charlottesville, VA. His principal research interests include process development of terahertz capable semiconductor devices.

XU et al.: NONOHMIC CONTACT PLANAR VARACTOR FREQUENCY UPCONVERTERS FOR TERAHERTZ APPLICATIONS

Jeffrey L. Hesler (S’88–M’89) was born in Seattle, WA, on July 8, 1966. He received the B.S.E.E. degree from the Virginia Polytechnic Institute and State University, Blacksburg, in 1989, and the M.S.E.E. and Ph.D. degrees from the University of Virginia, Charlottesville, in 1991 and 1996, respectively. He is currently a member of the Board of Directors and shareholder of Virginia Diodes Inc., Charlottesville, VA, as well as the corporation’s Vice President. He is also affiliated with the Department of Electrical and Computer Engineering, University of Virginia, as a Visiting Research Assistant Professor. His research interests include millimeter- and submillimeter-wave device and circuit design, modeling, and testing. His current research interests include the development of compact terahertz sources and mixers. He has authored over 70 technical papers in refereed international conferences and journals.

Thomas W. Crowe (S’82–M’82–SM’95) received the B.S. degree in physics from Montclair State College, Montclair, NJ, in 1980, and the M.S.E.E. and Ph.D. degrees from the University of Virginia, Charlottesville, in 1982 and 1986, respectively, both in electrical engineering. In March 1986, he joined the faculty of the University of Virginia, as a Research Assistant Professor of electrical engineering, and became a Research Professor in August 1997. From 1987 to 2003, he was the Director of the Semiconductor Device Laboratory. He is also a founder and President of Virginia Diodes Inc. (VDI), Charlottesville, VA. His career has focused on the creation of the technology necessary to open the terahertz portion of the electromagnetic spectrum for routine scientific and commercial exploitation. He has led the research team that has developed and fabricated many of the best GaAs Schottky barrier diodes for terahertz applications including radio astronomy, plasma diagnostics, and studies of the chemistry of the upper atmosphere. Integrated diode circuits now being developed by VDI Inc. are opening the way for greater levels of system integration and increased reliability, making possible a host of new applications in this critical frequency range. He has authored over 50 journal publications. He has directed 12 doctoral dissertations.

655

Robert M. Weikle II (S’90–M’91–SM’05) was born in Tacoma, WA, in 1963. He received the B.S. degree in electrical engineering and physics from Rice University, Houston, TX, in 1986, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 1987 and 1992, respectively. During 1992, he was a Post-Doctoral Research Scientist with the Department of Applied Electron Physics, Chalmers University of Technology, Göteborg, Sweden. In 1993, he joined the faculty of the University of Virginia, Charlottesville, where he is currently an Associate Professor of electrical engineering. His current research interests include submillimeter electronics, high-frequency instrumentation and measurement systems, and quasi-optical techniques for millimeter-wave power combining, imaging, and beam forming.

656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides Yuguang Zhao, Member, IEEE, and Daniel R. Grischkowsky, Fellow, IEEE

Abstract—2-D metallic photonic crystals without defects, with point defects, and with a Fabry–Perot (F–P) defect are characterized by terahertz time-domain spectroscopy. The metal parallelplate waveguide (PPWG) with single TEM-mode propagation is used as a tool to simulate 2-D photonic crystals in free space. The 2-D metallic photonic-crystal structures were fabricated by coating Au on an SU-8 polymer cylinder array. Wide terahertz bandgaps were observed in the photonic crystals within the PPWG. The experimental measurements have excellent agreement to 2-D photonic-crystal theory without defects. Defect modes are observed in the samples with defects and show the F–P defect has a strong localization effect. Index Terms—Photonic crystals, submillimeter-wave spectroscopy, terahertz, waveguides.

I. INTRODUCTION

S

TARTING WITH the pioneering work of Yablonovitch [1] and John [2], photonic crystals in both the microwave and terahertz range have been studied experimentally [3]–[40] for over a decade. It has become especially important to connect the recent terahertz work to the extensive microwave literature because all of the terahertz guided wave demonstrations have their microwave counterparts and because, for both terahertz and microwaves, the real part of metal conductivity can be considered to be frequency independent and to be equal to the handbook dc value, in contrast to metallic conductivity at optical frequencies. Compared to microwaves, terahertz phenomena occur at a smaller spatial scale proportional to the shorter wavelengths. The corresponding frequency-dependent losses are higher and the skin depths are smaller, but the basic phenomena are the same. The smaller terahertz spatial scale is especially convenient for the use of quasi-optics and associated techniques. Microwave engineers are well trained to handle terahertz propagation and interconnect design issues on the smaller single chip scale. The growing interest in terahertz applications presents a unique opportunity for the microwave community. In contrast to the optical frequency range [41], [42], where submicrometer technology is needed to fabricate photonic structures, the microwave range allows for precise hand assembly of photonic crystals composed of identical millimeterManuscript received May 18, 2006; revised December 20, 2006. This work was supported in part by the National Science Foundation. The authors are with the School of Electrical and Computer Engineering, Oklahoma State University, Stillwater, OK 74078 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.892798

to centimeter-sized components on repetitive lattice spacings with similar dimensions [3]–[40]. Most microwave photonic crystals have consisted of arrays of dielectric or metal spheres, arrays of cylinders, or arrays of square rods, sometimes supported by dielectric templates. These techniques have enabled the construction and experimental characterization of both 2-D [5]–[10], [17], [20], [23]–[26], [28], [29], [31] and 3-D [11], [12], [14]–[16], [18], [19], [22], [27], [30], [37] photonic crystals. Typical photonic crystals are of the order of ten lattice spacings long by 20 spacings wide with lattice spacings of the order of the wavelength. Although point source excitation and detection of the transmitted microwaves through a 3-D photonic crystal was initially used with excellent results [4], the crystals were more commonly characterized by input microwave beams with diameters smaller than the input face of the photonic crystal and radii of curvatures of several times the beam diameters. An early 3-D terahertz photonic crystal utilized the orderly stacking of dielectric rods and showed a 30-dB photonic bandgap from 0.37 to 0.52 THz [13]. A subsequent 2-D metallic photonic crystal, consisting of a 2-D honeycomb of metal 80- m-diameter cylinders threaded through two supporting meshes separated by 3 cm, showed strong 10-dB frequency dependence from 0.8 to 1.7 THz [23]. Ten-period-long metal 2-D photonic crystals, constructed with nickel-coated 50- m-diameter silica cylinders arrayed between parallel 70- m-square meshes, showed a 90-dB photonic bandgap at 2.2 THz [32]. Deep reactive ion etching has been used to etch 80- m-square holes on a 100- m-square lattice through a 500- m-thick high-resistivity Si wafer [34], which showed a 13-dB photonic bandgap from 0.9 to 1.2 THz [34]. Terahertz time-domain spectroscopy (THz-TDS) transmission studies from 0.2 to 0.4 THz of a hexagonal array of 360- m-diameter air holes with a 400- m lattice constant in a 300- m-thick high-resistivity Si slab within a parallel-plate metal waveguide showed photonic-bandgap features together with an observable defect mode [36]. An air-spaced modular parallel-plate structure with one plate having periodic grooves was developed for biosensing from 0.3 to 0.6 THz [43], and showed sharp transmission features and a value defect resonance. More recently, asynchronous optical sampling for such sensors was demonstrated [44]. With respect to our goal of eliminating the above-described arrays of long cylinders to achieve 2-D photonic crystals, we have experimentally demonstrated that the parallel-plate waveguide (PPWG) can be generalized to enable effectively 2-D terahertz embodiments within the bounded space formed by the narrow separation between the two metal plates [45]–[48].

0018-9480/$25.00 © 2007 IEEE

ZHAO AND GRISCHKOWSKY: 2-D TERAHERTZ METALLIC PHOTONIC CRYSTALS IN PPWGs

The usual formulation of the electromagnetic wave equation in rectangular coordinates, as applied to the PPWG with the plate separation along the -axis, shows that TEM mode propagation is preserved with included 2-D-shaped ( ) components [45]–[48]. Within this bounded space, 2-D reflective [47], refractive, and diffractive [48] quasi-optical components have been experimentally demonstrated. The resulting TEM wave propagation is analytically described as 2-D waves (with no dependence) propagating in the – -plane; 2-D circular waves are analogous to 3-D spherical waves, and 2-D line waves are analogous to 3-D plane waves. This situation allows for the effective experimental realization of 2-D situations that can only be approximated in free space. Here, we report on the adaptation of the above-described cylindrical photonic-crystal microwave structures to 2-D photonic-crystal terahertz structures within the bounded space of the PPWG. These terahertz metallic 2-D photonic crystals were realized by using semiconductor lithographic technology. For our experiments, the previously handcrafted macroscopic photonic-crystal microwave cylindrical structures were transformed into microscopic 2-D photonic-crystal terahertz structures using cleanroom-based lithography to reduce the spatial dimensions by as much as 1/100, corresponding to the increased terahertz frequency. The consequent 70- m-diameter 80- m-high metal-coated dielectric cylinders standing on a 160- m periodic square lattice were ideal for microscopically precise lithographic processing, as shown in Fig. 1. Our observations of the strongly varying frequency-dependent terahertz transmission through the resulting metallic 2-D photonic-crystal structures were fit with excellent agreement by the transfer matrix method (TMM) theory [49]–[51] for 3-D infinite cylindrical geometry photonic-crystal structures, scaled down in size for terahertz frequencies. The software used for the TMM calculation can only treat nondefect metallic structures [51]. Due to the 2-D embodiment within the bounded space, no correction was needed due to the finite thickness. The excellent agreement also confirms the fabrication quality of the 2-D photonic crystals. One set of applications of the work presented here would be based on the ability to engineer parallel-plate components with desired transmission properties. These components could be used as narrowband filters with selective transmission or rejection properties. An important application of such highfiltering is for biological [43] and chemical sensing. In addition, 2-D photonic-crystal structures within the confines of the PPWG could possibly be used as a high-performance interconnect layer based on 2-D TEM propagation [45]–[48] or a 2-D guided-wave network. II. FABRICATION AND EXPERIMENT For fabricating high-quality terahertz 2-D metallic photonic-crystal structures, microelectromechanical systems (MEMS) [52] and metallization technologies were employed [36], [38]. First, SU-8 2025 negative photoresist from MicroChem Inc., Newton, MA, was spun onto a 3-in Al coated silicon wafer to form an 80- m-thick film. With a custom designed lithographic mask, the SU-8 film was exposed and developed. After the lithography, the soft SU-8 structures on

657

Fig. 1. (a) Not-to-scale schematic diagram of the samples. (b) SEM image of Sample B, where the total length across the 5-C array is m m : mm. The sample chip size is 25-mm wide 10-mm long (terahertz path) 0.4-mm thick. The centered 5-C arrays of the samples extend the full 25-mm width of the sample chip and are 0.71-mm long. The 2.5-mm-wide 10-mmlong spacer arrays, best shown on the reference chip, have the same pattern and 80-m height as the sample arrays.

42160

2

0 71 2

+70

=

2

Fig. 2. Cross-sectional view of the PPWG assembly. The centered 5-C’s of the 10-mm-long sample chip are shown together with the two silicon lenses used to couple the terahertz pulse into and out of the PPWG formed by the top metal plate and the top metallized surface (Au on Al) of the sample or reference chip, separated by the 80-m height of the cylinders. There is no terahertz propagation through the Si substrate of the sample or reference chip. The length of the entire structure along the terahertz beam direction is [6.56 mm (input lens) 10.00 mm (sample chip) 6.56 mm (output lens)] : mm long. The height of assembly is [8 mm (top metal plate) 80 m (column height) 0.4 mm (sample chip thickness) 8 mm (bottom metal plate)] : mm. The lenses extend 15.0 mm perpendicular to the plane of the figure and the metal plates and the sample plates extend 25.0 mm.

+

+

+ +

+

= 23 12 = 16 48

the wafer were baked at 150 C, which transformed them into permanent hard polymer structures. The 0.4-mm-thick wafer was diced into 25-mm-wide 10.00-mm-long chips to fit the PPWG setup, as shown in Fig. 2. The 400-nm-thick Au film metallization of the chips was then conducted in an Au sputter coater. The good step coverage property of sputtering provided the required Au film quality on the sidewall of the cylinders.

658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

The metallic photonic crystals consist of a square array of rows and columns of cylinders in air with lattice constant m, diameter m, and height m. The number of rows in the columns was mm m . Three different samples with five-column (5-C) arrays of cylinders were fabricated. As shown in Fig. 1(a), Sample A has 5-C cylinders without any defects, Sample B has 5-C cylinders with the removal of one cylinder every five rows from the center column, and Sample C has 5-C cylinders with the removal of the center column to form the Fabry–Perot (F–P) defect cavity. Fig. 1(b) shows a scanning electron microscope (SEM) image of Sample B. The structures on the two sides of the chips were used as the spacers, which have the same height as the cylinders in the center. The reference chip has the same spacer structures, but no cylinders in the center [see Fig. 1(a)]. The 2-D terahertz photonic crystals were completed by sandwiching a sample chip between the two polished Al plates of the original PPWG. The 80- m spacers separated the top Al plate and the regions of unstructured metal surface of the sample or reference chip. As shown in Figs. 1 and 2, the terahertz pulse propagation was perpendicular to the columns of cylinders, with the polarization parallel to the cylinders. The resulting 2-D photonic crystals, centered within the 10.00-mm-long waveguide assembly, were characterized by the THz-TDS system [53]. To initiate the THz-TDS characterization, the terahertz reference pulse transmitted through the waveguide assembly with the reference chip installed was measured. The terahertz reference pulse propagated in the TEM mode through the 10.00-mm-long 80- m air-spaced PPWG formed by the top metal plate and the metallized unstructured surface of the reference chip. The reference chip was then replaced by the sample chip and the transmitted terahertz sample pulse was measured. For THz-TDS, the terahertz sample pulses are quantitatively compared to the terahertz reference pulse. From the comparison of the corresponding complex amplitude spectra, the linear transfer function describing the complex filtering effect of the sample is obtained. In the measurement, the in-coupled terahertz pulse first propagates through 4.65 mm of the unstructured PPWG before entering the 5-C pattern of the sample chip. After traversing the 0.71-mm path length of the 5-C sample pattern, the terahertz pulse traverses another 4.65-mm length of the unstructured PPWG before out-coupling to the receiver. The terahertz receiver is polarization sensitive with an amplitude rejection ratio of approximately 5 : 1. Two plano-cylindrical high-resistivity uncoated silicon lenses (Fig. 2) were used to couple the terahertz pulse into and out of the PPWG structures of reference or samples. The lenses are 15 mm 10 mm 6.56 mm with a 5-mm radius of curvature. The input Si lens focuses the incoming terahertz pulse to an elliptical spot with the minor axis of 150 m, perpendicular to the waveguide plates, and the linearly wavelength-dependent major axis of 9 mm at 1 THz, parallel to the waveguide plates, compared to the 20-mm clear width of the sample chips. The quasi-optical coupling of a freely propagating terahertz beam into the PPWG at the beam waist of a confocal terahertz system is surprisingly efficient over the entire bandwidth. Compared to the free-space system, the insertion of only the two cylindrical lenses separated by their focal lengths reduces the

amplitude of the transmitted terahertz pulse by the multiplicative factor , where 0.5 is the Fresnel transmission through the uncoated Si lenses (due to the reflective losses of four surfaces), and 0.8 is the quasi-optical amplitude coupling (transmission) through the two confocal lenses. In the initial demonstration [45], when the foci of the two lenses were separated by a 12.6-mm-long 108- m air-spaced Cu PPWG, the amplitude transmission was reduced to , similar to the situation for the experiment described here. The additional factor of 0.8 is mainly due to the waveguide coupling loss with a smaller loss due to waveguide absorption. In comparison to the received terahertz power of the free-space system, the waveguide assembly of Fig. 2 with the reference plate installed reduces the received power by 10 dB, where 6 dB is due to the Fresnel reflection losses from the lenses and the remaining 4 dB is the quasi-optical coupling loss. Clearly, reducing the reflective losses would be helpful. As suggested by one of the referees of this paper, a thin parylene antireflection coating on the lenses could prove effective to reduce these losses [54]. At the confocal beam waist of the employed THz-TDS system, there are 30 wavelengths across the 9-mm-diameter beam at 1 THz, similar to the 30–50 in the microwave experiments [9]. The frequency-independent 2-D THz beam divergence is 0.033 rad, which is also the angular acceptance of the terahertz receiver. This narrow acceptance angle of the terahertz receiver is important for accurate measurements because the forward (zeroth order) transmission through the photonic crystals is expected to be sharply angular dependent, similar to a transmission grating, requiring good angular resolution to obtain the proper on-axis signal strength. III. RESULTS AND DISCUSSION Fig. 3 shows the transmitted TEM-mode terahertz pulse with the reference chip installed in the waveguide assembly and the corresponding amplitude spectrum, which are similar to the terahertz pulse and spectrum in free space. The reference pulse is only shown to 10 ps to detail the pulse shape; the complete scan extended to 30 ps. As discussed above, the incoming terahertz beam, focused by the cylindrical Si lens, has been shown to couple with exceptional efficiency to the TEM mode of the PPWG [45]–[48]. This -mode selectivity is due to the following properties. For the linear terahertz polarization perpendicular to the waveguide plates, coupling is possible only to the TM modes. For the 80- m plate separation, the cutoff frequencies of the and modes are 1.88, 3.75, and 5.62 THz, respectively. By symmetry arguments, the overlap integral of the focused incoming terahertz beam with the mode at the waveguide face is zero, thereby giving no coupling. Furthermore, the terahertz beam at focus is broad enough so that the overlap integral is very small for the mode, and coupling is negligible. Finally, our spectral range is below cutoff for the mode. For completeness and comparison, we note the comprehensive work on micromachined rectangular waveguides for millimeter-wave and terahertz frequencies [55], [56]. Fig. 4(a) and (b) shows the transmitted terahertz pulse with the Sample A chip and the corresponding amplitude spectrum. The transmitted pulse from the sample has a ringing structure

ZHAO AND GRISCHKOWSKY: 2-D TERAHERTZ METALLIC PHOTONIC CRYSTALS IN PPWGs

659

Fig. 3. Transmitted terahertz pulse with the reference chip in place and the corresponding amplitude spectrum. The measured terahertz pulse amplitude expressed in picoamperes [53] is linearly proportional to the electric field amplitude.

extending to 25 ps. There is a small 0.2-ps propagation delay of the sample pulse with respect to the reference pulse. From the spectrum, it is clear that the first two bandgaps extend from low frequency to 1.0 THz and from 1.2 to 1.6 THz. To enable our theoretical modeling of these results, we will now show that within the approximations of the simple Drude model, the real part of the dielectric constant of metal is a negative constant, while the much larger imaginary part is, to a good first-order approximation, proportional to the wavelength. The simple Drude model [57] treats the free carriers in a metal as classical point charges subject to random collisions for which the collision damping is independent of the carrier velocity. According to the model, the frequency-dependent complex dielectric constant (the square of the complex index of refraction ) is given in SI units as

Fig. 4. (a) Transmitted terahertz pulse with the Sample A chip in place. (b) Amplitude spectrum of transmitted terahertz pulse. (c) Power transmission in decibels with Sample A (dots) and numerical simulation (solid line). The power transmission is the square of the ratio of the measured spectral amplitude of (b) to the measured spectral amplitude of the reference pulse of Fig. 3(b), i.e., Fig. 4(b)/Fig. 3(b).

The above expression for can be rewritten in the form (3)

(1) where the corresponding Drude complex conductivity is given by

For the microwave and terahertz frequency ranges, the ratio , and the above expression for is, to a good first-order approximation, given by

(2)

(4)

is the contribution of the bound For the above relationships, electrons, and is the damping rate, where is the average collision time. The plasma angular frequency is defined by , where is the number density of carriers, is the electronic charge, is the free-space permittivity, and is the effective carrier mass. The dc conductivity is given by N with the mobility .

For the case of conducting metals proximation, be further reduced to

can, to an excellent ap-

(5) It is important to note that even though the conductivity is considered to be frequency independent and equal to the dc

660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

value , the above argument shows in (5) that the resulting dielectric constant for conducting metals has a negative constant real part and a much larger frequency-dependent imaginary part. It is possible to consider microwave and terahertz pulse propagation within a conducting metal, by rewriting the dielectric constant in the equivalent form involving the complex index of refraction as follows: (6) Within the approximation, good for microwave and terahertz frequencies, that , (5) yields the relationship (7) which is consistent with the similar result for metals obtained from optical theory [58]. The standard Fresnel relations in complex form describe the reflection and transmission coefficients for the metal [58]. Propagation within the metal is described by the simple relationship , where . The skin depth is seen in the relationship . Using (7), the skin depth is obtained as (8) which is the usual microwave result [59], [60]. To perform the TMM numerical simulation [49]–[51], we need the plasma frequency and the damping rate , which are related to by . Given the measurement of THz for gold [61], and the handbook Au conductivity of m [60], is calculated by the relationship to be THz. Fig. 4(c) compares the measured power transmission for Sample A and the simulated power transmission of a 5-C, infinitely long, and wide array of solid Au cylinders similar to Sample A. Beyond 1 THz, the results fit very well. However, below 1 THz, there is significant difference considered to be due to the 40-dB dynamic range capability of the THz-TDS measurement. If we take 30 dB as the threshold, the measured bandgaps are from 0.25 to 1.04 THz and from 1.20 to 1.62 THz. In comparison, the calculated bandgaps are from 0 to 1.03 THz and from 1.19 to 1.60 THz. The corresponding positions of the dips and peaks of the experimental results are in good agreement with the theory. Fig. 5(a) and (b) shows the transmitted terahertz pulse and the corresponding amplitude spectrum with Sample B. Fig. 5(c) compares the power transmission for Sample B with Sample A. The transmissions are similar, except that for Sample B, the power transmission at 1.1 THz has been reduced by approximately the multiplicative factor 0.2 compared to Sample A. This reduction is considered to be due to scattering by the point defects of Sample B. The defect of Sample B is responsible for the relatively weak peak (defect mode) at 1.42 THz in the second bandgap from 1.2 to 1.6 THz. The peak has 0.2% power transmission. This small value is considered to be caused by the point symmetry of the defect not being compatible with the propagating line wave. Similar metallic photonic structures (solid copper rods, ) have been theoretically studied using

Fig. 5. (a) Transmitted terahertz pulse with the Sample B chip in place. (b) Amplitude spectrum of transmitted pulse. (c) Power transmission with Sample B (solid line) compared to the power transmission with Sample A (dotted line).

the finite-difference time-domain method [62]. In [62], the resonant frequency for one defect mode is given by the numerical result (c/L), where c is the speed of light. For our case, the corresponding theoretical frequency is 1.412 THz, which is in good agreement with our observation of 1.42 THz (gold coated rods, ). To gain higher transmission of the defect mode, Sample C with the F–P defect was measured. Fig. 6(a) and (b) shows the transmitted terahertz pulse and the corresponding amplitude spectrum for Sample C. Here, the peak pulse amplitude and peak transmitted spectra are clearly larger than for Sample A, demonstrating the transmission enhancement of the F–P defect. Fig. 6(c) shows the comparison of the power transmission for Sample A and Sample C. Compared to the power transmission peak of Sample A centered at 1.13 THz with a full width at half maximum (FWHM) of GHz and a peak value of 5%, for Sample C, this peak has shifted to 1.10 THz, narrowed to GHz and increased to 18.5%. The value of this transmission maximum is evaluated as THz/0.020THz . Similar to Sample B, there is a peak

ZHAO AND GRISCHKOWSKY: 2-D TERAHERTZ METALLIC PHOTONIC CRYSTALS IN PPWGs

Fig. 6. (a) Transmitted terahertz pulse with the Sample C chip in place. (b) Amplitude spectrum of transmitted pulse. (c) Power transmission with Sample C (solid line) compared to the power transmission of Sample A (dotted line). (d) Power transmission in decibels with Sample C.

(defect mode) in the second bandgap from 1.2 to 1.6 THz of Sample C. The position of this peak at 1.46 THz has shifted approximately 0.04 THz from Sample B because of mode coupling effects [24] and experimental variation. The peak with 17% power transmission shows very strong localization compared with the point defect of Sample B. This peak at 1.46 THz has a FWHM linewidth of GHz, corresponding to the relatively high- value of 133. The high strength and high

661

of this F–P defect is thought to arise from the compatible symmetry with the propagating 2-D line wave. We consider that the value may be limited by the small number (only two) of columns on either side of the defect. One can also observe a sharp transmission minimum centered at 1.69 THz with a FWHM linewidth of 30 GHz and with the peak power transmission falling from 33% to 1% on the line center. In order to present the dynamic range of the transmission resonances for Sample C, the observations were replotted in decibels in Fig. 6(d), showing the 24-dB transmission peak at 1.10 THz, the 20-dB F–P defect transmission resonance peak at 1.46 THz, and the 17-dB transmission minimum at 1.69 THz. The defect mode frequency in the F–P defect can be calculated by the F–P resonance condition , where is the mode number, is the total phase contribution of two mirrors, and is the separation between the mirrors [16]. Here, the separation m is the width of one column spacing. There is no separation for the full column structure (Sample A). When one column is removed, the separation is equal to the column spacing. For the defect mode at 1.46 THz, is evaluated as 2 and as 159 . For completeness, we compare these results with our unsuccessful first attempt to create and characterize 2-D metallic photonic crystals [38]. Initially, we used a 2-D photonic crystal of sputtered metal coated cylinders, 70- m tall, 70- m diameter, and arranged on a 160- m-square lattice, lithographically fabricated on a 25.4-mm-square Si plate, which filled the space between the plates of the longer PPWG. However, due to the large number of metal coated columns , there was no observable terahertz transmission through this structure. In order to obtain some transmission, we broke the 2-D symmetry by increasing the space between the waveguide plates to let the tops of the metal coated cylinders form a 100- m airgap to the second plate. This (theoretically undescribed) photonic waveguide showed strong photonic transmission effects, with stopbands or transmission features having instrument-limited 40-dB contrasts [38]. We then studied the more transparent dielectric 2-D photonic crystals incorporated into the PPWG [40]. The dielectric 2-D crystals consisted of 65- m-diameter 70- m-high dielectric cylinders standing on a 160- m-square lattice and were characterized by THz-TDS from 0.2 to 3 THz. These dielectric 2-D photonic crystals of four-, eight-, and 60-column arrays of cylinders (with no defects) showed high dynamic range, and complex frequency response, including well-formed 40-dB photonic bandgaps in exceptional agreement with 2-D theory [51]. IV. CONCLUSION The measurements and characterizations of effective 2-D metallic photonic crystals have been presented in the terahertz range. Wide bandgaps from 0 to 1.0 THz and from 1.2 to 1.6 THz were realized in the metallic photonic crystals, which fit well with the simulation results. Strong localizations of the point defect mode and the F–P defect were also observed. The convenient fabrication method and powerful metal PPWG setup may enable applications, such as terahertz metallic photonic-crystal cavities and photonic networks.

662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

The fact that these 2-D photonic-crystal structures were lithographically fabricated using custom designed lithographic masks demonstrates that any 2-D photonic-crystal geometry consistent with the broad applicability of lithography can be achieved. Furthermore, the fact that the theoretical simulations agree with experiment will allow for accurate simulations of proposed structures before mask design and fabrication. Consequently, this study has shown the possibility of design and fabrication of 2-D photonic crystals within the PPWG with specified frequency-dependent transmission. ACKNOWLEDGMENT The authors thank M. Gong, Oklahoma State University, Stillwater, for technical support and A. Bingham, Oklahoma State University, and N. Laman, Oklahoma State University, for helpful discussions. REFERENCES [1] E. Yablonovitch, “Inhibited spontaneous emission in solid-state physics and electronics,” Phys. Rev. Lett., vol. 58, no. 20, pp. 2059–2062, May 1987. [2] S. John, “Strong localization of photons in certain disordered dielectric superlattices,” Phys. Rev. Lett., vol. 58, no. 23, pp. 2486–2489, Jun. 1987. [3] E. Yablonovitch, T. J. Gmitter, and K. M. Leung, “Photonic band structure: The face-centered-cubic case employing nonspherical atoms,” Phys. Rev. Lett., vol. 67, no. 17, pp. 2295–2298, Oct. 1991. [4] E. Yablonovitch, T. J. Gmitter, R. D. Meade, A. M. Rappe, K. D. Brommer, and J. D. Joannopoulos, “Donor and acceptor modes in photonic band structure,” Phys. Rev. Lett., vol. 67, no. 24, pp. 3380–3383, Dec. 1991. [5] S. L. McCall, P. M. Platzman, R. Dalichaouch, D. Smith, and S. Schultz, “Microwave propagation in two-dimensional dielectric lattices,” Phys. Rev. Lett., vol. 67, no. 15, pp. 2017–2020, Oct. 1991. [6] W. M. Robertson, G. Arjavalingam, R. D. Meade, K. D. Brommer, A. M. Rappe, and J. D. Joannopoulos, “Measurement of photonic band structure in a two-dimensional periodic dielectric array,” Phys. Rev. Lett., vol. 68, no. 13, pp. 2023–2026, Mar. 1992. [7] D. R. Smith, R. Dalichaouch, N. Kroll, S. Schultz, S. L. McCall, and P. M. Platzman, “Photonic band structure and defects in one and two dimensions,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 10, no. 2, pp. 314–321, Feb. 1993. [8] W. M. Robertson, G. Arjavalingam, R. D. Meade, K. D. Brommer, A. M. Rappe, and J. D. Joannopoulos, “Measurement of the photon dispersion relation in two-dimensional ordered dielectric arrays,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 10, no. 2, pp. 322–327, Feb. 1993. [9] D. R. Smith, S. Schultz, N. Kroll, M. Sigalas, K. M. Ho, and C. M. Soukoulis, “Experimental and theoretical results for a two-dimensional metal photonic bandgap cavity,” Appl. Phys. Lett., vol. 65, no. 5, pp. 645–647, Aug. 1994. [10] S. Y. Lin and G. Arjavalingam, “Photonic bound states in two-dimensional photonic crystals probed by coherent-microwave transient spectroscopy,” Opt. Soc. Amer. B, Opt. Phys., vol. 11, pp. 2124–2127, Oct. 1994. [11] E. Ozbay, E. Michel, G. Tuttle, R. Biswas, M. Sigalas, and K.-M. Ho, “Micromachined millimeter-wave photonic bandgap crystals,” Appl. Phys. Lett., vol. 64, no. 16, pp. 2059–2061, Apr. 1994. [12] E. Ozbay, A. Abeyta, G. Tuttle, M. Tringides, R. Biswas, C. T. Chan, C. M. Soukoulis, and K. M. Ho, “Measurement of a three-dimensional photonic bandgap in a crystal structure made of dielectric rods,” Phys. Rev. B, Condens. Matter, vol. 50, no. 3, pp. 1945–1949, Jul. 1994. [13] E. Ozbay, E. Michel, G. Tuttle, R. Biswas, K. M. Ho, J. Bostak, and D. M. Bloom, “Terahertz spectroscopy of three-dimensional photonic bandgap crystals,” Opt. Lett., vol. 19, no. 15, pp. 1155–1157, Aug. 1994. [14] E. R. Brown and O. B. McMahon, “Large electromagnetic stop bands in metallodielectric photonic crystals,,” Appl. Phys. Lett., vol. 67, no. 15, pp. 2138–2140, Oct. 1995. [15] E. Ozbay, G. Tuttle, M. Sigalas, C. M. Soukoulis, and K. M. Ho, “Defect structures in a layer-by-layer photonic bandgap crystal,” Phys. Rev. B, Condens. Matter, vol. 51, no. 20, pp. 13961–13965, May 1995.

[16] E. Ozbay and B. Temelkuran, “Reflection properties and defect formation in photonic crystals,” Appl. Phys Lett., vol. 69, no. 6, pp. 743–745, Aug. 1996. [17] S.-Y. Lin, V. M. Hietala, S. K. Lyo, and A. Zaslavsky, “Photonic bandgap quantum well and quantum box structures: A high resonant cavity,” Appl. Phys. Lett., vol. 68, no. 23, pp. 3233–3235, Jun. 1996. [18] J. S. McCalmont, M. M. Sigalas, G. Tuttle, K.-M. Ho, and C. M. Soukoulis, “A layer-by-layer metallic photonic bandgap structure,” Appl. Phys. Lett., vol. 68, no. 19, pp. 2759–2761, May 1996. [19] E. Ozbay, B. Temelkuran, M. Sigalas, G. Tuttle, C. M. Soukoulis, and K. M. Ho, “Defect structures in metallic photonic crystals,” Appl. Phys. Lett., vol. 69, no. 25, pp. 3797–3799, Dec. 1996. [20] F. Gadot, A. Chelnokov, A. De Lustrac, P. Crozat, J. M. Lourtioz, D. Cassagne, and C. Jouanin, “Experimental demonstration of complete photonic bandgap in graphite structure,” Appl. Phys. Lett., vol. 71, no. 13, pp. 1780–1782, Sep. 1997. [21] M. C. Wanke, O. Lehmann, K. Muller, Q. Wen, and M. Stuke, “Laser rapid prototyping of photonic bandgap microstructures,” Science, vol. 275, pp. 1284–1286, Feb. 1997. [22] A. Kao, K. A. McIntosh, O. B. McMahon, R. Atkins, and S. Verghese, “Calculated and measured transmittance of metallodielectric photonic crystals incorporating flat metal elements,” Appl. Phys. Lett., vol. 73, no. 2, pp. 145–147, Jul. 1998. [23] C. Jin, B. Cheng, Z. Li, D. Zhang, L. M. Li, and Z. Q. Zhang, “Two dimensional metallic photonic crystal in the THz range,” Opt. Commun., vol. 166, pp. 9–13, Aug. 1999. [24] F. Gadot, A. de Lustrac, J.-M. T. Brillat, A. Ammouche, and E. Akmansoy, “High-transmission defect modes in two-dimensional metallic photonic crystals,” J. Appl. Phys., vol. 85, no. 12, pp. 8499–8501, Jun. 1999. [25] G. Guida, T. Brillat, A. Ammouche, F. Gadot, A. De Lustrac, and A. Priou, “Dissociating the effect of different disturbances on the bandgap of a two-dimensional photonic crystal,” J. Appl. Phys., vol. 88, no. 8, pp. 4491–4497, Oct. 2000. [26] H. Kitahara, N. Tsumura, H. Kondo, M. W. Takeda, J. W. Haus, Z. Yuan, N. Kawai, K. Sakoda, and K. Inoue, “Terahertz wave dispersion in two-dimensional photonic crystals,” Phys. Rev. B, Condens. Matter, vol. 64, pp. 045202-1–045202-4, Jun. 2001. [27] B. Temelkuran, M. Beyindir, E. Ozbay, J. P. Kavanaugh, M. M. Sigalas, and G. Tuttle, “Quasimetallic silicon micromachined photonic crystals,” Appl. Phys. Lett., vol. 78, no. 3, pp. 264–266, Jan. 2001. [28] M. Bayindir, E. Cubukcu, I. Bulu, T. Tut, E. Ozbay, and C. M. Soukoulis, “Photonic band gaps, defect characteristics, and waveguiding in two-dimensional disordered dielectric and metallic photonic crystals,” Phys. Rev. B, Condens. Matter, vol. 64, pp. 195113-1–195113-7, Oct. 2001. [29] N. Katsarakis, M. Bender, L. Singleton, G. Kiriakidis, and C. M. Soukoulis, “Two-dimensional metallic photonic bandgap crystals fabricated by LIGA,” Microsyst. Tech., vol. 8, no. 2–3, pp. 74–77, May 2002. [30] A. Serpenguzel, “Transmission characteristics of metallodielectric photonic crystals and resonators,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 4, pp. 134–136, Apr. 2002. [31] P. Gay-Balmaz, C. Maccio, and O. J. F. Martin, “Microwire arrays with plasmonic response at microwave frequencies,” Appl. Phys. Lett., vol. 81, no. 15, pp. 2896–2898, Oct. 2002. [32] S.-W. Wang, W. Lu, X.-S. Chen, Z.-F. Li, X.-C. Shen, and W. Wen, “Two-dimensional photonic crystal at THz frequencies constructed by metal-coated cylinders,” J. Appl. Phys., vol. 93, no. 11, pp. 9401–9403, Jun. 2003. [33] D. Wu, N. Fang, C. Sun, X. Zhang, W. J. Padilla, D. N. Basov, D. R. Smith, and S. Schultz, “Terahertz plasmonic high pass filter,” Appl. Phys. Lett., vol. 83, no. 1, pp. 201–203, Jul. 2003. [34] N. Jukam and M. S. Sherwin, “Two-dimensional terahertz photonic crystals fabricated by deep reactive ion etching in Si,” Appl. Phys. Lett., vol. 83, no. 1, pp. 21–23, Jul. 2003. [35] T. D. Drysdale, R. J. Blaikie, and D. R. S. Cumming, “Calculated and measured transmittance of a tunable metallic photonic crystal filter for terahertz frequencies,” Appl. Phys. Lett., vol. 83, no. 26, pp. 5362–5364, Dec. 2003. [36] Z. Jian, J. Pearce, and D. Mittleman, “Defect modes in photonic crystal slabs studied using terahertz time-domain spectroscopy,” Opt. Lett., vol. 29, no. 17, pp. 2067–2069, Sep. 2004. [37] M. Golosovsky, Y. Neve-Oz, D. Davidov, and A. Frenkel, “Phase shift on reflection from metallodielectric photonic bandgap materials,” Phys. Rev. B, Condens. Matter, vol. 70, pp. 115105-1–115105-10, Sep. 2004. [38] A. Bingham, Y. Zhao, and D. Grischkowsky, “THz parallel plate photonic waveguides,” Appl. Phys. Lett., vol. 87, Jul. 2005, 051101.

Q

ZHAO AND GRISCHKOWSKY: 2-D TERAHERTZ METALLIC PHOTONIC CRYSTALS IN PPWGs

[39] Z. Jian, J. Pearce, and D. M. Mittleman, “Two-dimensional photonic crystal slabs in parallel-plate metal waveguides studied with terahertz time-domain spectroscopy,” Semiconduct. Sci. Technol., vol. 20, pp. S300–S306, Jun. 2005. [40] Y. Zhao and D. Grischkowsky, “Terahertz demonstrations of effectively two-dimensional photonic bandgap structures,” Opt. Lett., vol. 31, no. 10, pp. 1534–1536, May 2006. [41] J. D. Joannopoulos, P. R. Villeneuve, and S. Fan, “Photonic crystals: Putting a new twist on light,” Nature, vol. 386, pp. 143–149, Mar. 1997. [42] I. El-Kady, M. M. Sigalas, R. Biswas, K. M. Ho, and C. M. Soukoulis, “Metallic photonic crystals at optical wavelengths,” Phys. Rev. B, Condens. Matter, vol. 62, no. 23, pp. 15299–15302, Dec. 2000. [43] M. Nagel, P. H. Bolivar, and H. Kurz, “Modular parallel-plate THz components for cost-efficient biosensing systems,” Semiconduct. Sci. Technol., vol. 20, pp. S281–S285, Jun. 2005. [44] C. Janke, M. Först, M. Nagel, H. Kurz, and A. Bartels, “Asynchronous optical sampling for high-speed characterization of integrated resonant terahertz sensors,” Opt. Lett., vol. 30, no. 11, pp. 1405–1407, Jun. 2005. [45] R. Mendis and D. Grischkowsky, “Undistorted guided wave propagation of sub-picosecond THz pulses,” Opt. Lett., vol. 26, no. 11, pp. 846–848, Jun. 2001. [46] R. Mendis and D. Grischkowsky, “Terahertz interconnect with low loss and low group velocity dispersion,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 11, pp. 444–446, Nov. 2001. [47] S. Coleman and D. Grischkowsky, “A THz TEM-mode two dimensional interconnect layer incorporating quasi-optics,” Appl. Phys. Lett., vol. 83, no. 18, pp. 2841–2843, Nov. 2003. [48] J. Dai, S. Coleman, and D. Grischkowsky, “Planar THz quasi-optics,” Appl. Phys. Lett., vol. 85, no. 6, pp. 884–886, Aug. 2004. [49] J. B. Pendry and A. MacKinnon, “Calculation of photon dispersion relations,” Phys. Rev. Lett., vol. 69, no. 19, pp. 2772–2775, Nov. 1992. [50] J. B. Pendry, “Calculating photonic band structure,” J. Phys., Condens. Matter, vol. 8, pp. 1085–1108, Feb. 1996. [51] A. L. Reynolds, Translight Software, Based on the Transfer Matrix Method (TMM). ver. Pre-Beta, Univ. Glasgow, Glasgow, U.K., Sep. 2000. [52] H. Lorenz, M. Despont, N. Fahrni, N. LaBianca, P. Renaud, and P. Vettiger, “SU-8: A low-cost negative resist for MEMS,” J. Micromech. Microeng., vol. 7, pp. 121–124, Apr. 1997. [53] M. van Exter and D. Grischkowsky, “Characterization of an optoelectronic terahertz beam system,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1684–1691, Nov. 1990. [54] A. J. Gatesman, J. Waldman, M. Ji, C. Musante, and S. Yngvesson, “An anti-reflection coating for silicon optics at terahertz frequencies,” IEEE Microw. Guided Wave Lett., vol. 10, no. 7, pp. 264–266, Jul. 2000. [55] J. W. Digby, C. E. McIntosh, G. M. Parkhurst, B. M. Towlson, S. Hadjiloucas, J. W. Bowen, J. M. Chamberlain, R. D. Pollard, R. E. Miles, D. P. Steenson, L. S. Karatzas, N. J. Cronin, and S. R. Davies, “Fabrication and characterization of micromachined rectangular waveguide components for use at millimeter wave and terahertz frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1293–1303, Aug. 2000. [56] S. Hadjiloucas, R. K. H. Galvao, J. W. Bowen, R. Martini, M. Brucherseifer, H. P. M. Pellemans, P. H. Bolivar, H. Kurz, J. Digby, G. M. Parkhurst, and J. M. Chamberlain, “Measurement of propagation constant in waveguide with wideband coherent terahertz spectroscopy,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 20, no. 2, pp. 391–401, Feb. 2003.

663

[57] M. Van Exter and D. Grischkowsky, “Carrier dynamics of electrons and holes in moderately doped silicon,” Phys Rev. B, Condens. Matter, vol. 41, no. 17, pp. 12140–12149, Jun. 1990. [58] M. Born and E. Wolf, Principles of Optics. Cambridge, U.K.: Cambridge Univ. Press, 1999. [59] P. A. Rizzi, Microwave Engineering: Passive Circuits. Englewood Cliffs, NJ: Prentice-Hall, 1988. [60] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics. New York: Wiley, 1994. [61] M. A. Ordal, L. L. Long, R. J. Bell, S. E. Bell, R. W. Alexander, Jr., and C. A. Ward, “Optical properties of the metals Al, Co, Cu, Au, Fe, Pb, Mo, Ni, Pd, Pt, Ag, Ti, and W in the infrared and far infrared,” Appl. Opt., vol. 22, no. 7, pp. 1099–1119, Apr. 1983. [62] M. Qiu and S. He, “Numerical method for computing defect modes in two-dimensional photonic crystals with dielectric or metallic inclusions,” Phys. Rev. B, Condens. Matter, vol. 61, no. 19, pp. 12871–12876, May 2000.

Yuguang Zhao (M’06) received the B.S. degree from Northwest Polytechnic University, Shaanxi Province, China, in 1993, the M.S. degree from Rensselaer Polytechnic Institute, Troy, NY, in 2002, and the Ph.D. degree from Oklahoma State University, Stillwater, in 2006. His current research interests are terahertz devices, ultrafast opto-electronics, generation and applications of terahertz radiation, and ultrashort electrical pulse propagation in photonic crystals, and broadband terahertz waveguides.

Daniel R. Grischkowsky (SM’90–F’92) received the B.S. degree from Oregon State University, Corvallis, in 1962, and the Ph.D. degree from Columbia University, New York, NY, in 1968. In 1969, he joined the IBM T. J. Watson Research Center, Yorktown Heights, NY. In 1993, he joined Oklahoma State University, Stillwater, where his research has concentrated on unique applications of THz-TDS, including waveguides, the Sommerfeld wave, surface waves, hole arrays, and photonic crystals. Dr. Grischkowsky is a Fellow of the Optical Society of America (OSA) and the American Physical Society. He was the recipient of the 1985 Boris Pregel Award presented by the New York Academy of Sciences, the OSA 1989 R. W. Wood Prize, and the OSA 2003 William F. Meggers Award.

664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET Hiroshi Mizutani, Member, IEEE, Naotaka Iwata, Senior Member, IEEE, Yoichiro Takayama, Member, IEEE, and Kazuhiko Honjo, Fellow, IEEE

Abstract—The circuit design considerations for the traveling-wave switch (TWSW) single-pole double-throw (SPDT) monolithic microwave integrated circuit (MMIC) utilizing a fully distributed FET (FD-FET) are presented here for the first time. The normalized length of the impedance transformer for a single-pole multithrow TWSW using the FD-FET is found to be less than a quarter-wavelength at the operating frequency. Unlike the TWSW using lumped FETs, the TWSW with the FD-FET offers the advantage of no design limits regarding such frequency characteristics as bandwidth and group delay. The newly developed SPDT TWSW MMIC using the 400- m-gate finger FD-FET delivers broadband characteristics over more than an octave frequency range with highly reliable MMIC technology. The newly developed SPDT MMIC switch provides low insertion loss of less than 2.1 dB and high isolation of over 25.5 dB from 38 to 80 GHz, coupled with the benefit of very small size. Index Terms—Distributed FET, millimeter wave, monolithic microwave integrated circuit (MMIC), single-pole double-throw (SPDT) switch, traveling wave.

I. INTRODUCTION HE DEMANDS for millimeter-wave monolithic microwave integrated circuits (MMICs) have been increasing rapidly with the emergence of such millimeter-wave applications as high-speed wireless local area network (LAN) systems and automotive radar systems [1]. For these systems, such MMIC switch functions as single-pole multithrow (SPnT), especially single-pole double-throw (SPDT), play very important roles. Thus, a number of SPDT MMIC switches have been reported for millimeter-wave applications [2]–[13]. Usually, GaAs MESFETs or heterojunction FETs (HJFETs) are predominantly employed as switching devices below 60 GHz. Conversely, p-i-n diodes have been commonly used above 60 GHz. As long as the devices are employed in the switches, it is essential to reduce both the ON resistance and OFF capacitance in obtaining low insertion loss while retaining high isolation. From this standpoint, p-i-n diodes with optimized junction areas are

T

Manuscript received August 7, 2006; revised November 6, 2006. H. Mizutani and N. Iwata are with the Compound Semiconductor Device Division, NEC Electronics Corporation, Kawasaki 211-8668, Japan (e-mail: [email protected]; [email protected]). Y. Takayama is with the Electrical Engineering Department, University of Hyogo, Himeji 671-2280, Japan (e-mail: [email protected]). K. Honjo is with the Information and Communication Engineering Department, The University of Electro-Communications, Chofu 182-8585, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892801

preferable. However, unlike FET switches, p-i-n diodes havesuch disadvantageous features as high dc power dissipation in the control bias circuitry and incompatibility with MMIC fabrication technologies. Therefore, efforts have been made to develop the most suitable switching device and circuit technologies for millimeter-wavefrequencies exceeding 60 GHz in order to overcome the weak points of p-i-n diodes. Among the ongoing efforts to develop the optimum structure, we have proposed traveling-wave switch (TWSW) technology using the fully distributed FET (FD-FET) [14]. The proposed technology has successfully demonstrated the broadest bandwidth characteristics ranging from dc to 110 GHz for an SPST switch with low insertion loss and high isolation, while retaining small chip size. Following the initial introduction of TWSW technology [14], which is considered the most suitable switching device structure for millimeter-wave frequencies, many SPDT MMIC switches utilizing TWSW technology have been reported. Moreover, the broadband characteristics at millimeter-wave frequencies have been demonstrated [11]–[13] using lumped-element FETs and transmission lines so as to realize quasi-distributed transmission line structures for the ON state. However, quasi-distributed transmission lines that do not use the FD-FET have a significant disadvantage—a cutoff frequency due to the low-pass filter type of equivalent circuit employed—when used at millimeter-wave frequencies. Therefore, the cutoff frequency has restricted the previous MMIC switch design without the FD-FET. On the other hand, the FD-FET functions as an ideal distributed transmission line for the pinched-off state, where there is no cutoff frequency. Thus, the TWSW employing the FD-FET has the advantage of no frequency restrictions on MMIC design. Consequently, the TWSW technology utilizing FD-FET can be considered having a great capability for design in millimeterwave SPnT MMIC switches. The MMIC consists only of the FD-FET, whose finger length is as long as 400 m, without any internal matching circuits or resonant circuits. This results in a small chip size for SPnT MMIC switches with broadband characteristics. In Section II, the circuit design considerations for the SPDT TWSW MMIC using the FD-FET is presented. Section III describes the measured RF performance of the newly developed MMIC in comparison with circuit model calculations. Section IV discusses the advantages of the TWSW utilizing the FD-FET as compared to conventional quasi-distributed transmission line-based switches using lumped FETs.

0018-9480/$25.00 © 2007 IEEE

MIZUTANI et al.: DESIGN CONSIDERATIONS FOR TRAVELING-WAVE SPnT MMIC SWITCH USING FD-FET

665

For the OFF state,

(3) (4) Fig. 1. Schematic view of FD-FET (with source electrode grounded elsewhere).

Forward transmission coefficient expressed as

of the FD-FET is then

(5) and input reflection coefficient

=

Fig. 2. Equivalent circuit of FD-FET with lossy transmission line model (G dx C dx for on-state, G dx; C C dx for ;C C G off-state).

0

=

+

=

=

II. CIRCUIT DESIGN CONSIDERATIONS A. Outline of SPST TWSW Utilizing FD-FET [14] The FD-FET is a one-finger FET for which the source electrode is grounded elsewhere, and the drain electrode has an input/output port at each end, as shown in Fig. 1. The distributed circuit effect is thus exhibited for a finger length longer than 1/16 of propagating wavelength at the operating frequency. The FD-FET is attached with an input/output port at both ends of the drain electrode functions as the SPST switch. By applying 5 or 0 V through the gate bias supplying circuit, the switch ON state or switch OFF state can be realized, respectively. Since the FD-FET is used in a shunt configuration, the FD-FET open channel state caused by a gate bias voltage of 0 V results in the OFF state for the SPST switch. Conversely, if the gate bias turns to 5 V, the FD-FET enters the pinched-off state. This condition turns the switch on. Fig. 2 shows an equivalent circuit of the FD-FET in the shunt configuration. For the switch ON state, shunt conductance is equal to 0; shunt capacitance is equal to for one-segment length of the drain electrode as the transmission line, where and are the incremental transmission line capacitance, FD-FET off-capacitance, and transmission line inductance, respectively. For the switch OFF state, equals the inverse of ON resistance , where is the incremental resistance of the FD-FET. In this case, only equals . For the sake of simplicity, the FD-FET can be aptly described as a lossless transmission line model for the ON state, and as a lossy transmission line model for the OFF state [15]. The following equations express the RF characteristics of the SPST TWSW using the FD-FET. For the ON state, propagation constant and characteristic impedance of the FD-FET are expressed as follows:

(1) (2)

is then expressed as (6)

is the load impedance (usually 50 ). where If characteristic impedance in (2) equals load impedance for the ON state, the insertion loss of the FD-FET in the pinched-off state would be 0. In order to obtain higher isolation, it is clear that a lower resistance and longer finger length will be needed for the FD-FET in the open-channel state. B. Design Considerations for SPDT TWSW Utilizing FD-FET In a conventional SPnT switch design approach for the shunt FET configuration, quarter-wavelength transmission lines are used between a T-junction branch dividing point and shunt FETs. These quarter-wavelength lines operate as impedance transformers from low impedance (nearly short circuit) of the open-channel state shunt FET in the OFF branch to high impedance (nearly open circuit) at the T-junction. Unlike a switch design using lumped-element FETs, an SPDT TWSW design using the FD-FET requires transmission lines of less than a quarter-wavelength. This is because the FD-FET exhibits a distributed circuit effect over the frequency where the FD-FET itself can be regarded as more than 1/16 of the propagation wavelength . As will be discussed later, no design considerations regarding the upper band edge are needed since the FD-FET has no cutoff frequency, just like ideal transmission lines. Design considerations for the SPDT TWSW using the FD-FET will be made precisely using the lossy transmission line model, as shown in Fig. 2. Fig. 3 shows a schematic diagram of the developed SPDT TWSW using the FD-FET with a finger length of , indicated by dashed lines. Port 2 is attached to the “ON branch” and Port 3 comprises the input/output port for the “OFF branch” in this figure. Thus, a simplified “OFF branch” schematic diagram of the SPDT TWSW with the FD-FET can be drawn as shown in Fig. 4. Equation (6) expresses the input reflection coefficient of the FD-FET at point A of the “OFF branch” in Fig. 3, and can be modified as (7) and , defined in where and in (6) are replaced by (1) and (2), respectively, and is the load impedance corre-

666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 3. Schematic diagram of developed SPDT MMIC switch.

Fig. 6.

Fig. 4. Simplified OFF branch schematic diagram of SPDT TWSW circuit using FD-FET.

l dependence of l =(3=4).

Phase decreases with respect to finger length . Since the phase value extrapolated to m is 180 , the distributed circuit effect for the finger length of the FD-FET reduces the phase value. Furthermore, at any frequencies, there is a threshold value of the finger length that makes the phase value constant since the shunted FD-FET in the open-channel state can be regarded as a lossy transmission line. For instance, at 10 GHz, threshold finger length is 600 m, at 40 GHz, is 300 m, and at more than 80 GHz, is 200 m. The value is derived from in (7). For the region of , (9) Thus, we obtain (10)

Fig. 5. Measured input reflection coefficient S m FD-FET from dc to 110 GHz.

of open-channel state 400-

sponding to 50 . If is short enough as compared to a quarterwavelength at the operating frequency, will have only real part for all frequencies. For a larger has an imaginary part with respect to the frequencies. As shown in Fig. 5, the measured phase for the input reflection coefficient increases as the frequency increases up to 110 GHz due to the distributed circuit effect. For conventional SPDT switch circuits using lumped FETs, the length of the impedance transformer is a quarter-wavelength at the operating frequency. However, when using the FD-FET, the length of the transmission line used for impedance transformation is shortened due to the existence of the imaginary part in the input reflection coefficient. This section discusses the length of transmission lines connected to the FD-FET using phase of the input reflection coefficient at point A shown in Figs. 3 and 4 of the shunted FD-FET in the open-channel state. The frequency dependence of phase can be expressed as follows: (8)

From these equations, the shunt FD-FET in the open-channel state with a finger length greater than makes its impedance the same constant value as characteristic impedance independent of . Due to the dependence of finger length on , the real and imaginary parts approach 1.0 and 0, respectively, for increased values of . In the SPnT switch circuit design, the impedance of the “OFF branch” at the T-junction (point B shown in Figs. 3 and 4) should be set as high as possible. For the SPDT TWSW using lumped FETs, a quarter-wavelength transmission line realizes a quasi-open state at the T-junction. When using the FD-FET, the transmission line with electric length corresponding to should be inserted between the FD-FET and T-junction. Thus, normalized by can be expressed as (11) Fig. 6 shows the calculated results of (11) as the dependence of ; Fig. 7 shows the results as the frequency dependence of . approaches the constant value for an FD-FET finger length more than , as seen in . That is to say, for the FD-FET with a finger length greater than , the transmission line length is always the same for any FD-FET length . The calculated constant value of is 0.94 at 10 GHz and for more than 600 m, and 0.88, 0.83, and 0.80 for more

MIZUTANI et al.: DESIGN CONSIDERATIONS FOR TRAVELING-WAVE SPnT MMIC SWITCH USING FD-FET

667

Fig. 8. Top view of developed SPDT MMIC switch.

Fig. 7. Frequency dependence of l =(3=4).

than 300 m at 40, 80, and 110 GHz, respectively. For example, is 0.835 at 76 GHz and for the calculated value of 400 m. For a GaAs substrate thickness of 40 m, the physical length of a quarter-wave microstrip line would be approximately 338 m at 76 GHz for a 40- m linewidth. Thus, is around 282 m at 76 GHz. As described above, for the FD-FET with more than , the impedance of the FD-FET in the “OFF branch” is always set to , which is independent of length . The impedance of would then be transformed to at point B via the transmission line with a length of , wave constant , and the characteristic impedance of (12)

Fig. 9. Frequency dependence of insertion loss (IL) and isolation (Iso) of developed SPDT MMIC switch from dc to 110 GHz. (Solid and dotted curves indicate calculated and measured data, respectively).

III. MMIC PERFORMANCE A. Device Performance The newly developed SPDT MMIC switch was fabricated with highly reliable MMIC technology using 0.18- m WSi/Au gate AlGaAs/InGaAs pseudomorphic heterojunction FETs (PM-HJFETs) [1]. The PM-HJFET typically shows of 200 GHz, breakdown voltage of 12 V, and maximum drain current of 550 mA/mm. The PM-HJFET also exhibits high reliability with a mean time to failure of more than 1E6 h at a channel temperature of 403 K assuming activation energy of 1.51 eV under the typical bias condition, and of more than 1E7 h assuming activation energy of 1.4 eV under a high-temperature storage condition. B. MMIC Performance Fig. 8 shows a top view of the developed SPDT TWSW MMIC using the FD-FET. The chip size is as small as 1.9 0.8 mm . Since the FD-FET is composed of only one distributed FET with a 400- m-gate finger, the newly developed SPDT MMIC switch could be obtained in a small chip area. In this case, was shortened to 230 m because the impedance of the ON branch with the OFF branch at the T-junction was matched to the impedance of the common port via microstrip line with 60- m widths and 130- m lengths. The small-signal characteristics of the newly developed SPDT MMIC switch were measured from dc to 110 GHz by

using the Agilent 8510XF vector network analyzer. Fig. 9 shows the insertion loss and isolation of the developed SPDT MMIC switch with respect to the frequencies. The solid curves indicate the calculated data; the dotted curves indicate measured data. The gate bias condition of the FD-FET was 5 V for the ON branch, and 0 V was applied to the gate of the OFF branch. One of the output ports was terminated at 50 . Both the measured and calculated data are in good agreement. The measured broadband characteristics were achieved from 38 to 80 GHz, just as designed. The measured insertion loss was better than 2.1 dB, and the measured isolation was more than 25.5 dB from 38 to 80 GHz. At 38 GHz, the insertion loss was 2.1 dB and the isolation was 25.5 dB. At 76 GHz, insertion loss of 1.7 dB and isolation of 32.3 dB were obtained. Thus, the TWSW technology utilizing the FD-FET achieved broadband characteristics over more than an octave frequency range without any design restrictions. Fig. 10 shows the return loss for the ON state of the newly developed SPDT MMIC switch. The measured data were in good agreement with the calculated data. The measured return loss of Port 1 was more than 10 dB from 38 to 82 GHz. The measured return loss of Port 2 was more than 10 dB from 38 to 80 GHz, except near 60 GHz. The maximum return loss of 8.4 dB was measured at 60 GHz. For the SPST MMIC switch, insertion loss of less than 1.52 dB was obtained below 76 GHz with more than 10 dB return loss. It is noted that in the lossless circuit, return loss

668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 11. ON state equivalent circuit of nth-order quasi-distributed switch.

The matrix for the unit circuit of the th-order quasi-distributed switch can be described as follows:

(13) Fig. 10. Frequency dependence of return loss (RL) for on state of developed SPDT MMIC switch from dc to 110 GHz. (Solid and dotted curves indicate calculated and measured data, respectively.)

(14)

of more than 10 dB only causes transmission loss of less than 0.45 dB. As with the SPST switch, loss values in excess of 1 dB were mainly induced by impedance mismatching, and partially by the resistance from the skin effect of microstrip lines [14]. As mentioned above, the measured insertion loss for the developed SPDT MMIC switch indicated less than 2.1 dB from 38 to 80 GHz. The increased values of insertion loss compared with the SPST TWSW can be derived from the loss of the OFF branch. As shown in Fig. 5, an impedance locus for the input reflection coefficient of the FD-FET in the “OFF branch” indicates that resistance values increase with an increase in frequency. Therefore, the optimum impedance condition prepared for the upper band edge is not retained at the lower band edge. In this design, the upper band edge regarding a low-pass filter type characteristic was determined by the cutoff frequency. The cutoff frequency can be calculated from a circuit model of a transmission line with several parasitic elements such as bonding pads and a T-junction in the OFF branch. The wider bandwidth can be obtained by optimizing the line configuration between the branch port and the FD-FET, and between the T-junction and the common port.

(16)

IV. DISCUSSION Recently, several MMIC switches utilizing the traveling-wave concept have been reported [11]–[13]. These TWSWs consist of quasi-distributed FET switches, the so-called “artificial transmission line.” The different circuit characteristics between the quasi-distributed switch circuit and fully distributed switch circuit are discussed here. The circuit configuration of the quasi-distributed switch circuit consists of shunted lumped FETs or p-i-n diodes and the transmission lines that operate as the artificial transmission line for the ON state. Fig. 11 shows the equivalent circuit of the quasi-distributed switch utilizing the artificial transmission line, just like that of the th-order low-pass filter. The transmission and reflection coefficient of this artificial transmission line can be derived from the matrix of a T-type unit circuit indicated by the dashed line in Fig. 11.

(15)

is the wave constant, is the length of the transmiswhere sion lines connecting the adjacent shunt FETs, is the angular frequency, is the capacitance of the OFF-state FET in the unit circuit, and is the characteristic impedance of the transmission line, as expressed by the following equation:

(17) The total matrix of the th-order T-type low-pass filter-like quasi-distributed switch can be written as expressed in the following equations: (18) (19) (20) (21) (22)

indicates the load impedance (usually 50 ). Fig. 12 where shows the calculated insertion loss up to 200 GHz for several transmission line lengths in the quasi-distributed switch circuit configurations, along with the insertion loss of the TWSW using the FD-FET. For the quasi-distributed switch circuit, the dependence on insertion loss was studied for an artificial transmission line composed of three shunt FETs (in this case, ). This figure shows that cutoff frequencies exist for the quasi-distributed switch circuits utilizing artificial transmission lines, but the TWSW using the FD-FET has no cutoff frequency. The cutoff frequency for the quasi-distributed switch circuit might be defined by the OFF capacitance of the

MIZUTANI et al.: DESIGN CONSIDERATIONS FOR TRAVELING-WAVE SPnT MMIC SWITCH USING FD-FET

669

Fig. 12. Frequency response of calculated insertion loss for quasi-distributed switches with several transmission line lengths in contrast to 400-m FD-FET.

Fig. 13. Frequency response of group delay for both quasi-distributed and fully distributed SPST switch circuits.

shunt lumped FETs, the inductance part, and capacitance part of the transmission line connecting adjacent FETs. If the artificial transmission line could be modeled by using a constantT-type low-pass filter based on the image parameter method, cutoff frequency would be expressed as follows:

For the ON-state TWSW using FD-FET, as follows [15]:

(26) (27)

(23) The lower cutoff frequency will be obtained for a longer transmission line length due to the much larger inductance. To realize a higher cutoff frequency, it is important to minimize the length of the transmission line. Thus, the artificial transmission line has a significant disadvantage for use at millimeter-wave frequencies; i.e., it has a cutoff frequency derived from a T-shape low-pass filter-like equivalent circuit consisting of periodically connected OFF capacitances of the FETs and transmission lines. Since the artificial transmission line is not a completely ideal transmission line, it is restricted to use for realizing a broadband MMIC switch only below the cutoff frequency. Conversely, because the FD-FET functions as a completely ideal transmission line for the pinched-off state, it alone has no cutoff frequency. The TWSW employing the FD-FET offers the significant advantage of no frequency restrictions on MMIC design. The fully distributed switch circuit using the FD-FET can be considered an ultimate feature whereby the length of the transmission line is zero in the artificial transmission line because it has no cutoff frequency, as shown in Fig. 12. The ripple for the curve of the FD-FET is caused by impedance mismatching with the port impedance. From the standpoint of group-delay characteristics, the TWSW using the FD-FET has yet another advantage. Group-delay is calculated as follows: (24) where

is defined as (25)

can be expressed

can then be expressed as follows:

(28) Thus, group-delay as

of the TWSW utilizing FD-FET is derived

(29)

When the characteristic impedance of the FD-FET roughly equals the load impedance, i.e., , group-delay can be easily written as follows: (30) This equation indicates that the group delay of the TWSW employing the FD-FET shows a constant value that is independent of frequencies. Conversely, the group delay of the quasidistributed switches is not constant. Fig. 13 shows the calculated frequency responses of group delay for the quasi-distributed and fully distributed switch circuits in a frequency range from dc to 200 GHz. Two types of typical features can be seen in this figure. The first type is the quasi-distributed switch feature, where significant changes are found in group delay around the cutoff frequency. However, the second type, representing the fully distributed switch circuit using the FD-FET, shows a constant value of group delay at all frequencies, under the condition that the FD-FETs impedance is equal to the load impedance.

670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

TABLE I

COMPARISON OF

Ka–W -BAND SPDT MMIC SWITCHES

Table I summarizes the previously reported characteristics of the SPDT MMIC switches from - to -band. As mentioned above, the TWSW technology utilizing the FD-FET offers great capability in terms of no cutoff frequency and a constant group delay throughout the frequencies for such broadband applications as UWB systems. V. CONCLUSION This paper has presented the design considerations for the SPnT TWSW using the FD-FET. The length of the impedance transformer for the SPnT TWSW using the FD-FET was found to be less than a quarter-wavelength at the operating frequency. The TWSW with the FD-FET offers the significant advantage of having no design limits, unlike the TWSW using lumped FETs. The newly developed SPDT TWSW MMIC using the 400- m-gate finger FD-FET delivered broadband characteristics over more than an octave frequency range with the highly reliable MMIC technology. The newly developed SPDT MMIC switch provided low insertion loss of less than 2.1 dB and high isolation of more than 25.5 dB from 38 to 80 GHz, along with the benefit of very small size. The TWSW technology using the FD-FET can thus provide high-performance SPnT MMIC switches for most millimeter-wave broadband applications, such as UWB systems. ACKNOWLEDGMENT The authors would like to acknowledge the encouragement of H. Hirayama and K.Onda, both with the NEC Electronics Corporation, Kawasaki, Japan.

REFERENCES [1] H. Mizutani, N. Shida, T. Saryo, T. Kuwabara, T. Eda, T. Matsumura, and M. Funabashi, “76-GHz MMIC chip set for compact, low cost and highly reliable automotive radar system,” in IEEE RF IC Symp. Dig., Jun. 1999, pp. 91–94. [2] G. L. Lan, D. L. Dunn, J. C. Chen, C. K. Pao, and D. C. Wang, “A high performance -band monolithic FET transmit–receive switch,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Jun. 1988, pp. 99–101. [3] A. Klaassen and J.-M. Dieudonne, “77 GHz monolithic MMIC Schottky- and p-i-n-diode switches based on GaAs MESFET and silicon SIMMWIC technology,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1995, pp. 1631–1634. [4] D. L. Ingram, K. Cha, K. Hubbard, and R. Lai, “ -band high isolation GaAs HEMT switches,” in IEEE GaAs IC Symp. Dig., Nov. 1996, pp. 289–292. [5] E. Alekseev, D. Pavlidis, V. Ziegler, M. Berg, and J. Dickmann, “77 GHz high-isolation coplanar transmit-receive switch using InGaAs/InP p-i-n diodes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 177–180. [6] M. Madihian, L. Desclos, K. Maruhashi, K. Onda, and M. Kuzuhara, “A sub-nanosecond resonant-type monolithic T/R switch for millimeter-wave system applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 7, pp. 1016–1019, Jul. 1998. [7] Y. J. Wang, K. Y. Lin, D. C. Niu, and H. Wang, “A -band MMIC SPDT passive HEMT switch using impedance transformation networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2001, pp. 253–256. [8] T. Shimura, Y. Mimino, K. Nakamura, Y. Aoki, and S. Kuroda, “High isolation -band SPDT switch MMIC for high power use,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2001, pp. 245–248. [9] J. Svedin and A. Gustafsson, “A compact MMIC SPDT switch for 60 GHz applications,” in Asia–Pacific Microw. Conf. Dig., Dec. 2001, vol. 1, pp. 303–305. [10] Y. Tsukahara, T. Katoh, Y. Notani, T. Ishida, T. Ishikawa, M. Komaru, and Y. Matsuda, “Millimeter-wave MMIC switches with PHEMT cells reduced parasitic inductance,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1295–1298.

V

Q

V

V

MIZUTANI et al.: DESIGN CONSIDERATIONS FOR TRAVELING-WAVE SPnT MMIC SWITCH USING FD-FET

[11] J. Kim, W. Ko, S. H. Kim, J. Jeong, and Y. Kwon, “A high-performance 40–85 GHz MMIC SPDT switch using FET-integrated transmission line structure,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 505–507, Dec. 2003. [12] K. Y. Lin, W. H. Tu, P. Y. Chen, H. Y. Chang, H. Wang, and R. B. Wu, “Millimeter-wave MMIC passive HEMT switches using travelingwave concept,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1798–1808, Aug. 2004. [13] S. F. Chang, W. L. Chen, J. L. Chen, H. W. Kuo, and H. Z. Hsu, “New millimeter-wave MMIC switch design using the image-filter synthesis method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 103–105, Mar. 2004. [14] H. Mizutani and Y. Takayama, “DC–110-GHz MMIC traveling wave switch,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 5, pp. 840–845, May 2000. [15] H. Mizutani and Y. Takayama, “A DC–60 GHz GaAs MMIC switch using novel distributed FET,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 439–442. Hiroshi Mizutani (M’97) received the B.S. and M.E. degrees from Kyoto University, Kyoto, Japan, in 1986 and 1988, respectively, and is currently working toward the Ph.D. degree in electrical engineering at The University of Electro-Communications, Tokyo, Japan. In 1988, he joined the NEC Corporation, Kawasaki, Japan, where he was engaged in the development of GaAs process technology, development of GaAs power MESFETs, and research and development of millimeter-wave GaAs MMICs. He is currently a Manager with Compound Semiconductor Device Division, NEC Electronics Corporation, Kawasaki, Japan. His current interest includes millimeter-wave MMICs, especially novel switch circuits for millimeter-wave UWB applications. Mr. Mizutani is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Naotaka Iwata (A’93–SM’00) received the B.E. degree from The University of Electro-Communications, Tokyo, Japan, in 1981, and the M.E. and D.E. degrees from the University of Tsukuba, Tsukuba, Japan, in 1983 and 1999, respectively. In 1983, he joined Fundamental Research Laboratories, NEC Corporation, Kawasaki, Japan, where he was engaged in the characterization and growth of III–V compound semiconductors. Since 1989, he has been engaged in the research and development of high-power FETs utilizing III–V compound semiconductor heterojunctions for mobile communication systems with the Microelectronics Research Laboratories and Kansai Electronics Research Laboratories, NEC Corporation. From 1993 to 1994, he was a Visiting Scholar with Stanford University, where he studied III–V compound semiconductor HFETs. He is

671

currently a Manager with the NEC Electronics Corporation, where he is responsible for the development of semiconductor devices for wireless communication systems. He is also a Visiting Professor with The University of Electro-Communications, Tokyo, Japan. Dr. Iwata is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the Ichimura Prizes in Industry-Meritorious Achievement Prize (2002), the Commendation by the Minister of Education, Culture, Sports, Science and Technology as a Person of Scientific and Technological Research Merits (2003), and The University of Electro-Communications Alumni Prize (2004).

Yoichiro Takayama (M’72) received the B.S., M.S., and Dr.Eng. degrees from Osaka University, Osaka, Japan, in 1965, 1967, and 1973, respectively. In 1967, he joined the NEC Corporation, Kawasaki, Japan, where he was engaged in research and development of microwave semiconductor devices and their circuit technologies. In 2001, he became a Professor with the Graduate School of Engineering, Himeji Institute of Technology (which, in 2004, became the University of Hyogo), Himeji, Japan. His current research interests include device and circuit technologies for microwave amplifiers, oscillators, and switches. Dr. Takayama was the recipient of a 1983 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Prize.

Kazuhiko Honjo (M’82–SM’88–F’97) received the B.E. degree from The University of Electro-Communications, Tokyo, Japan, in 1974, and the M.E. degree and D.E. degree from the Tokyo Institute of Technology, Tokyo, Japan, in 1976 and 1983, respectively. From 1976 to 2001, he was with the NEC Corporation, Kawasaki, Japan. In 2001, he joined The University of Electro-Communications, as a Professor with the Information and Communication Engineering Department. He has been involved in research and development of device technology for GaAs FETs, HBTs, and their microwave and digital circuit applications, as well as filters and antennas for UWB. Prof. Honjo served as a Technical Program Committee (TPC) member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave and Millimeter-Wave Monolithic Circuit Symposium and International Microwave Symposium (IMS) (1988–1992 and 1999–2003, respectively). He served as an elected Administrative Committee (AdCom) member of the IEEE MTT-S (1998–2003). He also served as chairman of the IEEE MTT-S Transnational Committee for five years. He was the recipient of both the 1983 IEEE MTT-S Microwave Prize and 1988 IEEE MTT-S Microwave Prize. He was also the recipient of the 1999 Institute of Electronics, Information and Communication Engineers (IEICE), Japan, Electronics Award and the 1980 IEICE Young Engineer Award.

672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals Beatriz Martínez, Iñigo Ederra, Ramón Gonzalo, Member, IEEE, Byron Alderman, Luis Azcona, Peter G. Huggard, Bas de Hon, Ali Hussain, Steve R. Andrews, Laurent Marchand, and Peter de Maagt, Senior Member, IEEE

Abstract—The sensitivity of the characteristic band edge frequencies of three different 500-GHz electromagnetic-bandgap crystals to systematic variations in unit cell dimensions has been analyzed. The structures studied were square bar woodpiles made with diand and two wide bandgap electric having crystals designs proposed by Fan et al. and Johnson values correspond to high-resistivity and Joannopoulos. These silicon and a zirconium–tin–titanate ceramic, respectively. For the woodpiles, the fractional frequency bandgap varied very little for dimensional deviations of up to 5 from the optimum. The bandgaps of the Fan and Johnson and Joannopoulos structures were affected to a greater extent by dimensional variations, particular sensitivity being exhibited to the air-hole radius. For all crystals, the effect of increasing the amount of dielectric in the unit cell was to shift the bandgap edges to lower frequencies. Both silicon and ceramic woodpiles, along with a ceramic Fan structure, were fabricated and dimensionally characterized. Mechanical processing with a semiconductor dicing saw was used to form the woodpiles, while the Fan structure required both dicing and UV laser drilling of circular thru-holes. Good agreement with predicted normal incidence transmissions were found on the low-frequency side of the bandgap in all cases, but transmission values above the upper band edge were lower than expected in the ceramic structures.

= 37 5

12

= 37 5 %

Index Terms—Electromagnetic-bandgap (EBG) materials, fabrication technologies, sub-millimeter-wave devices.

I. INTRODUCTION

T

HE PAST decade has seen an explosion of interest in electromagnetic-bandgap (EBG) materials [1], [2] for frequencies ranging from the microwave to the visible. Applications of Manuscript received September 8, 2006; revised December 1, 2006. This work was supported by the European Space Agency under Contract 15632/01/NL/JA. B. Martínez was with the Electrical and Electronic Engineering Department, Public University of Navarra, E-31006 Pamplona, Spain. She is now with the Signal Theory and Telecommunication Area, Electric, Electronic, Computer and System Department, Oviedo University, E-33003 Oviedo, Spain. I. Ederra and R. Gonzalo are with the Electrical and Electronic Engineering Department, Public University of Navarra, E-31006 Pamplona, Spain (e-mail: [email protected]). B. Alderman, L. Azcona, and P. G. Huggard are with the Millimetre Wave Technology Group, Rutherford Appleton Laboratory, Chilton, Didcot OX11 0QX, U.K. B. de Hon is with the Faculty of Electrical Engineering, Eindhoven University of Technology, 5600 MB Eindhoven, The Netherlands. A. Hussain and S. R. Andrews are with the Physics Department, University of Bath, Bath BA2 7AY, U.K. L. Marchand and P. de Maagt are with European Space Research and Technology Centre, 2201 AG Noordwijk, The Netherlands (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892803

these artificial materials range from planar antenna substrates through planar filters to optical waveguides. A relatively little explored spectral region for EBG structures is at sub-millimeter wavelengths, where the characteristic 10–100- m unit cell feature dimension lies in a transition region between conventional precision machining techniques for microwave frequencies and the microstructuring and nanostructuring approaches used for infrared and visible EBG crystals. This paper treats the fabrication of several structures for a central bandgap frequency of 500 GHz, mm, using silicon/air and high dielectric constant ceramic/air dielectric combinations. Although some results on ceramic structures has been previously reported [3], [4], the work was focused on using intermediate dielectric constant materials at lower or higher frequencies than the one considered herein. The method presented in [4] is limited to feature sizes of the order of 300 m in the transverse dimensions with layers of 50.8 m in the stacking direction. The work described in this paper represents the first realization of some of these crystal and material combinations in sub-millimeter-wave frequencies. More generally, the effects of manufacturing tolerances have been considered during the design process. Calculations of the effects of systematic variations in the structure parameters have been used to help select the manufacturing process, and in one case, to slightly modify the structure from the ideal so as to ease fabrication. Undertaking such a tolerance analysis beforehand means that effort need not be devoted to achieving an unnecessary high accuracy during processing. To complement this economy of effort, the aspects of the structure that most influence the bandgap are revealed during the analysis, thereby guiding the manufacturer as to where the most accurate realization is required. The structures investigated were a square bar woodpile [5], [6], and wide bandgap crystal structures first reported by Fan et al. [7] and Johnson and Joannopoulos [8]. The materials used for their construction were high-resistivity silicon, , and a zirconium–tin–titanate (ZTT) ceramic, at 500 GHz. Terahertz properties of the latter material have been previously characterized [9], [10]. Incorporating this high dielectric constant material along with air in the latter two structures is predicted to deliver a bandgap of over 33% of the central frequency [11]. After calculating the electromagnetic behavior, a tolerance analysis was performed by varying individual unit cell parameters in turn. Effects of structural variations in woodpile EBGs were previously reported [12], [13]. However, the introduced variations were hypothetical and not directly related with the

0018-9480/$25.00 © 2007 IEEE

MARTÍNEZ et al.: MANUFACTURING TOLERANCE ANALYSIS, FABRICATION, AND CHARACTERIZATION OF 3-D SUBMILLIMETER-WAVE EBG CRYSTALS

tolerances of the fabrication methods. Moreover, the analyses only showed the response of the normalized limit frequencies of the gap and the gap displacement in an EBG designed for a set frequency was not explicitly given. Section II of this study first introduces the design process, describes the EBG crystals, and gives unit cell parameters for bandgaps centred on 500 GHz. It also includes the manufacturing tolerance analysis. Section III reports upon the distinct manufacturing processes and the EBG assembly procedures. The unit cell parameters achieved in the fabricated 3-D crystals are given in Section IV and they are compared with the requirements delivered by the tolerance analyses. Part IV also presents EBG transmission spectra, as measured by either conventional Fourier transform spectroscopy or the wider dynamicrange time-domain terahertz technique. A comparison is made with the predictions of the models used, and possible reasons for discrepancies in calculated and measured transmissions are discussed.

673

Fig. 1. Woodpile structure. The parameters a and c are, respectively, the horizontal and vertical periods; d and d are the bar heights and widths, which are equal in the square bar case.

II. EBG DESIGN AND TOLERANCE ANALYSIS Several different software tools were used to design the 500-GHz 3-D EBG structures. Dispersion diagrams were computed by preconditioned conjugate-gradient minimization of the block Rayleigh quotient in a plane-wave basis [14]. The transmission properties of the EBG structures were either calculated by finite-element analysis using Ansoft’s High Frequency Structure Simulator (HFSS)1 or by custom code [15] based on the domain integral equations. The square bar woodpiles and wider bandgap crystals were modeled assuming constituent dielectrics to be high-resistivity silicon and for the former, or a high dielectric constant ceramic and [9], [10]. This ZTT ceramic is available commercially for lower frequency applications, e.g., microwave resonator fabrication. Such materials were introduced as previous work has shown that the bandgap increases with increasing [16], and for most applications, it is desirable to maximize the bandgap. The woodpile structure is shown in Fig. 1. The 2-D repeated unit cell consists of four layers of square dielectric bars of equal width and height having a horizontal period of . By optimizing the different dimensions of the structure, a maximum fractional bandwidth, defined as (full gapwidth)/(gap center frequency), of 21% was calculated for . The frequency independent structure parameters are related to the vertical lattice constant as follows: . For a bandgap frequency centred on 500 GHz, is determined to be 232 m and m. Four different systematic variations from the optimum values were considered: a change in the bar height , in the bar width , a simultaneous change in the bar height and width , and equal and opposite changes in the bar heights in successive layers . The latter variation means that both vertical and horizontal periodicities of the structure are unchanged. Given an a priori knowledge of manufacturing tolerances achievable for silicon (see below), the effects of varying 1Ansoft Corporation, Pittsburgh, PA. [Online]. Available: www.ansoft.com/ products/hf/hfss/

Fig. 2. Silicon woodpile upper and lower bandgap limits and gap relative bandwidth versus manufacturing tolerances. In this and the following plots, the dots are the results of calculations and the lines are added as a guide to the eye.

each parameter by up to 5 m were considered. Fig. 2 shows the predicted variation in the position of the bandgap edges, as calculated from the dispersion diagram. There is a systematic trend towards lower band edge frequencies as the bar dimensions are increased, but that the overall bandgap is only slightly affected by the variations. The slope of the band edges, in terms of , where is the dimension change in any of the parameters studied, is typically 3 GHz/ m. It is observed that changes in affect the band edges the most. This is not surprising as, when compared with the other parameters, approximately twice the volume of dielectric is removed or added when is changed by a given amount. In contrast, changing from the optimum value affects the band edges and center frequency less than increases in the other parameters. This is consistent with the fact that equal and opposite changes to successive layer thicknesses mean that the total silicon content in the structure is unchanged, in contrast to the effect of variations in the other parameters. Due to the symmetrical nature of the parameter, the resulting variations are symmetric.

674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 4. Fan structure with air channels, showing structure parameters. Fig. 3. Ceramic woodpile upper and lower bandgap limits and gap relative bandwidth versus manufacturing tolerances.

The stability of the fractional bandgap for changes in the structure parameters is shown clearly in Fig. 2. The bandgap is almost constant for the whole 5- m variation that was considered, although a slight decrease is found at the highest and lowest values of . Interpreting this from a manufacturing perspective, variations in the layer thickness about the mean will not tend to shift the center frequency, but will serve to narrow the overall bandgap. For one polarization, the gap moves to lower frequencies, while for the other one, the gap moves upwards in frequency. As the full gap is fixed by the separation of these values and the central frequency is unaffected, the bandwidth is reduced. It is can be seen that the maximum bandwidth is very slightly larger than the optimized one for an increase in from the design parameter. This happens because rectangular bars are obtained by this variation, whereas the structure was optimized for the case of square bars: the rectangular bar woodpile is found to exhibit a slightly larger bandgap, as shown in Fig. 2, when the parameter is varied. Considering now the ceramic woodpile with square bars, the predicted fractional bandgap rises to 32% for a material with . The characteristic structure dimensions are slightly more than half the corresponding silicon values with m and m. Other unit cell parameters scale as for the silicon woodpile. Fig. 3 shows the calculated variation in the band edges as the structure parameters are again varied by 5 m. Quantitatively similar behavior to that observed for the silicon woodpile is predicted, in that changes in affects the edge frequencies the most, while the structure exhibits the least sensitivity to varying . For this material, the sensitivity of the band edges to manufacturing tolerances is approximately three times that of silicon. This is due to the smaller structure dimensions, a consequence of the higher dielectric constant. The variation of the fractional bandwidth with the structure parameters is also presented in Fig. 3. Similar behavior to that found in the silicon case can be observed, although in this case, the absolute changes of bandwidth are larger (due to the larger relative deviations). The gap bandwidth is increased by changes

in some parameters, i.e., those resulting in rectangular bars, and the central frequency moves at the same time from 500 GHz. The wide-bandgap Fan structure (Fig. 4) is formed from two materials with a large dielectric constant ratio [7]. Staggered rectangular channels of the low dielectric material, here selected to be air, pass horizontally through the higher dielectric component, and a perpendicular triangular lattice of cylindrical air holes penetrates both materials. The fractional frequency bandgap was calculated as 37% for an optimized structure made from ceramic. The horizontal period is found to be 243 m for a center frequency of 500 GHz. The other structural parameters are the air channel width , layer separation , and the hole diameter . These are specified by the relations and , yielding a layer thickness, of 155 m. If such an optimum design could be realized, it would be very fragile, as the minimum hole separation is 19 m. Preliminary laser ablation experiments revealed that it was very difficult to drill the ceramic to leave walls of this thickness. As a result, the radius was reduced to satisfy , decreasing the fractional bandwidth to 32.5%, but increasing the minimum wall thickness to 34 m. The new lattice constant needed to maintain the gap center at 500 GHz was m, and the layer thickness moved to 170 m. The wall thickness/layer thickness ratio went from 0.16 to 0.22: other structure parameters were unchanged. The sensitivity of the calculated band edges of the Fan structure to variations in and is shown in Fig. 5. In contrast to the woodpiles, increasing or decreasing any of the parameters apparently results in higher, or respectively, lower band edges. This is an artefact of the way that the parameters have been labelled, for increasing any one of or means that there is less high dielectric material in the unit cell, whereas the opposite is true parameters used in the analysis of the silicon and ceramic woodpiles. Fig. 5 indicates that the band edges generally vary at a rate of approximately 3 GHz/ m when any of the parameters and are changed. The exception is the upper band edge dependence on diameter, which shifts by approximately 6 GHz/ m. Comparative variations in the fractional bandgap are also shown

MARTÍNEZ et al.: MANUFACTURING TOLERANCE ANALYSIS, FABRICATION, AND CHARACTERIZATION OF 3-D SUBMILLIMETER-WAVE EBG CRYSTALS

675

Fig. 5. Ceramic Fan upper and lower bandgap limits and gap relative bandwidth versus manufacturing tolerances.

in Fig. 5. The structure design is relatively robust to changes in and , especially when compared with the ceramic woodpile, but the bandgap does exhibit a strong and linear dependence on hole size. The reason for the apparent increase in bandgap above lies in the choice to the nominal design value for positive reduce from the optimum value in order to make manufacture feasible. A second wide bandgap structure, first proposed by Johnson and Joannopoulos [8], has also been studied. This 3-D EBG is made from two different 2-D layers: one is a triangular lattice of air holes in the dielectric slab and the other is a triangular lattice of pseudohexagonal dielectric pillars (see Fig. 6). The distance between an arbitrary element and the first nonadjacent element is the lattice constant . There are different degrees of freedom involved in optimizing this Johnson structure: the distance between two adjacent holes in the same layer , the radius of the holes , the height of the holes , and the height of two layers (one of dielectric and one ceramic, the optimum radius of pillars) . For yields a predicted 36.6% bandwidth. The structure sizes m, m, m, m, and are m. The main parameter determining the electromagnetic behavior of the Johnson structure is the cylinder radius. This determines not only the radius of the air holes, but also the width of the dielectric pillars. As no data has been published on the tolerance sensitivity, the effect of varying the radius has been studied: results are presented for variations up to 5 m in Fig. 7. in The effect of changing the cylinder radius is quantitatively similar to the Fan structure, the upper band edge varying more rapidly than the lower. Respective rates are slightly higher at approximately 8 and 6 GHz/ m. For increasing radius, the gap moves to higher frequency due to the decreasing dielectric fill factor. However, the bandgap variation is lower than the Fan EBG (see Figs. 5 and 7), as the slopes of the band edge variations with diameter are closer.

Fig. 6. (a) 3-D view of the Johnson structure. (b) Side view.

Fig. 7. Full bandgap limits and gap relative bandwidth of the ceramic Johnson EBG as a function of hole diameter 2r tolerance.

III. EBG MANUFACTURE Initial considerations of methods for the realization of all the EBG structures have been previously reported [17]. Necessary modifications to these previously proposed approaches for realizing the woodpiles and the Fan structure are now presented. These EBG crystals were all fabricated from a series of processed layers that were stacked in the correct orientation with

676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

respect to each other and then fastened together along the exposed edges. A. Silicon Woodpile Silicon woodpile EBGs have been successfully fabricated for 500 GHz by using either a semiconductor dicing saw to define the bars [18] or by KOH etching [19]. For this study, deep reactive ion etching (DRIE) [20] was selected due to the greater flexibility in the structures that are achievable. Other advantages of this technique include a low surface roughness, high reproducibility and processing speed, the ability to processing several layers in parallel and possibility of etching isolated arbitrary shapes instead of only blind or through grooves. The latter feature was used to etch circular thru-holes for alignment purposes and to add an identifying code to each silicon part. Other advantages of DRIE are that the achievable surface roughness is below 2 m and the defined pattern accuracy is better than 1 m when a suitable mask is used. Initial studies have focused on forming layers, half a unit cell in thickness, which contained two layers of perpendicular bars surrounded by a supporting frame. These should be more robust than single bar layer structures. Accordingly, double side polished -oriented -k cm silicon wafers, with a thickness of 142 2 m were obtained. Etching through half the thickness of the wafer was carried out from each side in turn with a double-sided mask aligner being used to ensure good alignment of the etch resist patterns. However, it was found that the etching of the second side proceeded unevenly, and that significant localized overetching occurred, leading to bars with the correct width, 71 m, but with a thickness reduced by approximately 16 m. The double-sided approach was, therefore, replaced by single-sided DRIE on wafers with a thickness of 71 2 m. Due to the presence of the surrounding frame, the individual silicon pieces are surprisingly robust and springy. The fabricated silicon parts were cleaned, dimensionally characterized, and then stacked in the correct order on the assembly jig, which has been described elsewhere [17]. A slight compressive load was placed on the top tile and the edges were then coated with epoxy resin. Several silicon woodpiles containing three and four structure periods in the stacking direction were made by this method. These EBG structures have a volume of 50 50 4 periods, which corresponds to a woodpile size of 11.6 11.6 1.136 mm . B. Ceramic ZTT Woodpile EBG Structure All ceramic EBG structures were fabricated from commercial D36 ceramic,2 a Zr/Sn titanate (ZTT) with in the submillimeter range [9], [10]. The method used to form the ceramic woodpile EBG has previously been applied to the manufacture of silicon woodpiles and is described elsewhere [17]. A precision automated saw, Disco model DAD321,3 designed for the industrial dicing of processed semiconductor wafers, was used for the fabrication of the double-sided layers. Specific blades for cutting ceramic materials were used, in particular Disco types 2MorganElectroCeramics, Ruabon, U.K. [Online]. Available: www.morganelectroceramics.com/microwave/D36.htm 3Disco

Corporation, Tokyo, Japan. [Online]. Available: www.disco.co.jp

Fig. 8. Top and side views of parts of the assembled ceramic woodpile EBG structure. Bars have cross sections measuring 43 m 43 m.

2

27HEAD and 27EAG. The dicing saw’s step precision is specified as 0.2 m in horizontal directions and 0.1 m along the -axis and it is, therefore, able to fulfil the tolerance requirements determined by the work discussed above. The fabricated EBG structure has a volume of 25 25 4 periods, which corresponds to a woodpile size of 3.525 3.525 0.688 mm . Some ceramic is left to form a frame around the EBG so that the external dimensions of the component are 6.5 6.5 mm . A top and side view of parts of the assembled structure are shown in Fig. 8. C. Ceramic Fan EBG Structure The Fan structure can be fabricated by stacking layers in either vertical or horizontal planes, where orientations are referenced to Fig. 4. If the layers are chosen to be vertical, both the rods and holes can be diced if the blade profile and/or step pattern produces a semicircular kerf cross section. However, if horizontal layers are selected to define the structure, parallel grooves are required in tiles that are penetrated by an array of circular holes. Using horizontal layers, it is possible to build the structure with only one type of tile, whereas in the case of vertical stacking, two types of tiles are needed. Due to the difficulties of forming semicircular grooves, it was decided to pursue the vertically stacked approach using pieces of 155 5 m in thickness. The processing methods selected to realize the designed structure were to use a dicing saw to define the channels and to apply laser ablation4 5 for drilling the holes. Initial drilling attempts were carried out on ceramic that had been previously thinned and grooved using the dicing saw. The output of an excimer laser, nm, was passed through thru-holes in a metal mask and was then imaged onto the ceramic. It was found that previously thinned and grooved ceramic invariably 4Exitech 5Oxford

lasers.com

Ltd., Oxford, U.K. [Online]. Available: www.exitech.co.uk Lasers Ltd., Oxford, U.K. [Online]. Available: www.oxford-

MARTÍNEZ et al.: MANUFACTURING TOLERANCE ANALYSIS, FABRICATION, AND CHARACTERIZATION OF 3-D SUBMILLIMETER-WAVE EBG CRYSTALS

Fig. 9. Ceramic Fan EBG layer: micrograph of a drilled and grooved layer.

677

Power transmission measurements were carried out using a polarized Fourier transform spectrometer [21] arranged in the Martin–Puplett interferometer configuration. A high-pressure Hg arc lamp was the radiation source and detection was by means of a liquid helium cooled InSb hot-electron bolometer. The measurement resolution of the system was 1 GHz and the noise level was approximately 1% in each spectrum, resulting in a dynamic range of 17 dB. This equipment sufficed for characterizing the bandgap edges of relatively large area silicon woodpiles. For measuring the smaller ceramic EBGs, a pulsed time-domain terahertz system was used [22]. In this approach, femtosecond laser pulses drive a biased photoconductive switch. The radiated quasi-single field cycle is detected by a dipole antenna, gated by a second femtosecond pulse after a variable delay. Fourier analysis techniques are used to extract the spectral amplitude and phase information. This low noise approach gives a spectral coverage from 100 GHz to several terahertz, and a dynamic range of several tens of decibels. The terahertz beams propagated in an enclosure purged by dry air so the transmission data is free from unwanted features arising from water vapor lines. A. Silicon Woodpile

Fig. 10. Ceramic Fan: Side view of the assembled four-period prototype.

fractured once the fluence was increased to a level sufficient to ablate the dielectric. In an alternative approach, an array of holes was ablated in ceramic that had been thinned, but not grooved. Care was taken to optimize the laser fluence to minimize drilling time, surface roughness, and the degree of hole taper. After drilling, the ceramic part was then fixed to a support wafer, the holes were filled with a structural wax, and the grooves were cut on the dicing saw. An image of the drilled and grooved ceramic is shown in Fig. 9: it is estimated that the surface finish roughness is approximately 3 m. The identical layers were then stacked and fastened using the same method and jig as were used for the ceramic woodpile. A view of the structure, showing the edges of the assembled layers before gluing, is shown in Fig. 10. IV. EBG CHARACTERIZATION Manufactured EBG structures were characterized both dimensionally and electromagnetically, the latter by means of a normal incidence transmission measurement. The dimensions were verified by means of a noncontacting SmartScope,6 which combines a charge-coupled device (CCD) equipped microscope with a computer to deliver automatic 3-D inspection of a sample with an accuracy of 2 m over a volume of approximately 10 cm . 6Optical Gaging Products Inc., Rochester, NY. [Online]. Available: www. ogpnet.com/index.jsp

The physical dimensions of the silicon woodpile parameters measured with the SmartScope are practically identical to the nominal values (Table I). The bar width is slightly narrower than the optimum value, but the tolerance study predicts that this will affect the bandgap little. It is possible that this width reduction has arisen from slight undercutting of the mask layer during the DRIE processing of the wafer. The normal incidence transmitted data match well with the simulations for -field polarized parallel and perpendicular to the bars in the top layer (see Fig. 11). The response for both polarizations is nearly identical. The slope at the gap edges is also similar in simulations and measurements and the Fabry–Perot resonances in the low-frequency transmission region are well reproduced. A measured transmission peak at 558 GHz is an artefact arising from the atmospheric water vapor absorption [23]. The apparent transmission at frequencies between 430–650 GHz is a further artefact arising from the noise floor of the spectrometer. The predicted transmission in this frequency region is of order less than 50 dB. The transmission of the same woodpile, as measured by high dynamic range time domain terahertz spectroscopy, is also shown in Fig. 11. The transmission agrees well with simulation and Fourier transform measurements below the bandgap. However, above the bandgap, there is a discrepancy of order 15 dB. This effect has been observed elsewhere in a normal incidence transmission measurement on a sawn silicon woodpile [24], where the transmission above the bandgap reached a maximum value of only 12 dB. The reason for this discrepancy lies in the unique nature of the dispersion of EBGs, compared with conventional dielectric samples, and the nature of the time domain terahertz measurement. Normally, all the energy transmitted by a nonresonant dielectric sample will be collected in a period of approximately 20 ps after the exciting terahertz pulse. However, EBGs feature a rapid increase in the phase as the frequency passes through

678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

TABLE I COMPARISON OF MEASURED AND DESIGN DIMENSIONS FOR THE 500-GHz SILICON WOODPILE EBG STRUCTURE

TABLE II COMPARISON OF MEASURED AND DESIGN DIMENSIONS FOR THE 500-GHz CERAMIC WOODPILE EBG STRUCTURE

Fig. 11. Transmission measurements made by Fourier transform spectrometer (FTS) and pulsed time-domain system (TDS) for orthogonal incident polarizations, and corresponding simulation. The water vapor absorption feature at 558 GHz is a measurement artefact.

the gap [25] with the result that high-frequency components are transmitted with a phase delays that extends to and pass 100 ps [24], [25]. In other words, the energy transmitted at high frequencies arrived later than the 20-ps time capture window of our measurements and, thus, was unintentionally unrecorded. Thus, in contrast to the higher resolution Fourier transform spectrometer measurements where the transmitted phase delay is not of any relevance, the presented transmission spectra obtained by the time-domain technique feature a strong high-frequency transmission suppression.

Fig. 12. Transmission of the ceramic woodpile EBG. Measurements for two orthogonal linear incidence polarizations and simulations are shown.

EBG exhibiting a greater high-frequency phase delay due to the higher dielectric constant of the constituent ceramic.

B. Ceramic Woodpile The measured mean period and the bar heights of the assembled structure are within 1 m of the values specified in the optimized design, although the width of the bars is 5 m larger (Table II). This difference is due to the range of fixed thicknesses available for the dicing saw blades. Perfect alignment was not achieved between the bars in different tiles, and the unwanted horizontal misalignment has a typical value of 3 m. The effects of this nonsystematic misalignment on the bandgap properties were not quantified by modeling. Time-domain terahertz results for -fields polarized parallel and perpendicular to the first layer of bars are shown in Fig. 12. The data show that the measured and predicted transmissions agree reasonably well for frequencies in the region of the lower bandgap edge, but at high frequencies, the transmission does not recover to the degree predicted. The reasons for this are understood to be the same as for the silicon woodpile, with the

C. Ceramic Fan Structure The Fan EBG structure was the most challenging to realize due to the requirement for two distinct processing techniques, the small feature sizes, and the brittle nature of the ceramic. Results of dimensional characterizations, presented in Table III, show that, in general, excellent agreement was achieved between design and realized values. Slight deviations arising from the dicing saw processing gave bars that were on average 4 m narrower and 5 m higher than the specified values. The laser ablation yielded an incidence side hole radius that was typically 4 m below the desired value, 51 m. In addition, as the drilling delivers a slight degree of hole taper, the exit side hole radii were approximately 3 m smaller than the entrance side. Finally, a typical misalignment between layers of 10 m was measured. These EBGs yielded low transmitted signal levels due to their small area. Nevertheless, it was possible to characterize their

MARTÍNEZ et al.: MANUFACTURING TOLERANCE ANALYSIS, FABRICATION, AND CHARACTERIZATION OF 3-D SUBMILLIMETER-WAVE EBG CRYSTALS

679

TABLE III COMPARISON OF MEASURED AND DESIGN DIMENSIONS FOR THE 500-GHz CERAMIC FAN EBG STRUCTURE

(a)

higher above this point. The theoretical transmission, calculated to allow for the material dispersion and dielectric loss and average manufacture dimensions, hole taper included, is shown by the dashed line. It is clear that the lower band edge is shifted upwards in frequency by some 30 GHz from the predicted line, and that the above bandgap transmission does not recover as expected. However, the transition slopes are very similar and the degree of midbandgap attenuation is very high. The shift in lower band edge may arise from the unintentional misalignment between layers, while reasons for the failure of the transmission to recover are probably similar to those discussed in respect to the ceramic woodpile. In the tolerance analysis, the effects of changes in each parameter were considered independently, whereas in the fabricated structure, the effects of deviations from the design values of more than one parameter combine to affect adversely the electromagnetic performance. Turning now to the orthogonal polarization transmission [see Fig. 13(b)], it can be seen that the lower bandgap edge is clearly defined and agrees reasonably well with the modeled results. In contrast with the previous polarization, the simulated bandgap is characterized by a sharp line centred on 500 GHz. The corresponding four-period EBG structure shows an expected low bandgap transmission, which also features a line close to 500 GHz. The origin of this line, affecting transmission of one polarization only, was found to lie in the air gaps between the assembled tiles. The size of these gaps is, on average, 8 m. At higher frequencies, the transmission of both EBGs decreases further, with a minimum in transmission being reached for both calculated and measured spectra close to 600 GHz. V. CONCLUSION

(b) Fig. 13. Transmission of ceramic Fan EBG: Measurements versus simulations for polarizations: (a) parallel and (b) perpendicular to the surface grooves.

transmission with a dynamic range above 30 dB. One feature that distinguishes the Fan structure from the woodpiles is that normal incidence transmission depends strongly on the incident polarization. The transmission of the four period structure is shown in Fig. 13(a) for an -field polarized parallel to the surface grooves and lines of holes. Measured transmission for the four-period structure overlaps well up with the displayed curve to the designed midgap frequency, but is typically 10 dB

Woodpile, Fan, and Johnson and Joannopoulos EBG structures have been optimized for 500 GHz and the designs have undergone a dimensional tolerance analysis. Constituent dielectrics of fabricated EBGs were high-resistivity silicon for a square bar woodpile and ZTT ceramic for a second woodpile and the Fan structures. The tolerance analysis showed that bandgap properties of the structures were all reasonably robust for systematic deviations of a few micrometers, and the systematic trend was that adding (removing) a dielectric from the unit cell caused the bandgap edges and center frequencies to decrease (increase). All structures were fabricated from patterned layers that were assembled in jigs and then fastened together. The silicon woodpile components were prepared from pre-polished wafers using DRIE. A precision dicing saw was used to thin and groove the layers of the ceramic woodpile and Fan EBG, and laser ablation

680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

was additionally used for drilling the required hole pattern for the Fan structure. Transmission measurements on both the silicon and ceramic woodpiles were in good agreement with the predicted results in terms of polarization properties, below bandgap transmission, and band edge position and slope. Above bandgap transmissions are much lower than expected, especially when measured by time-domain spectroscopy, and a reason for this discrepancy has been presented. For the Fan structure, transmission properties are expected and observed to be strongly anisotropic. For the polarization parallel to the rectangular air channels, the lower band edge is downshifted by some tens of gigahertz from the predicted position, while the position for the orthogonal polarization is close to the prediction. An unexpected midgap peak is also observed in this case only. This peak has been found to be caused by the air gap between the EBG layers, which is a consequence of the manufacturing approach. REFERENCES [1] J. D. Joannopoulos, R. D. Meade, and J. N. Kinn, Photonic Crystals: Molding the Flow of Light. Princeton, NJ: Princeton Univ. Press, 1995. [2] P. de Maagt, R. Gonzalo, J. C. Vardaxoglou, and J. M. Baracco, “Photonic bandgap antennas and components for microwave and (sub)millimeter wave applications,” IEEE Trans. Antennas Propag. (Special Issue), vol. 51, no. 10, pp. 2667–2677, Oct. 2003. [3] G. Kiriakidis and N. Katsarakis, “Fabrication of 2-D and 3-D photonic bandgap crystals in the GHz and THz regions,” Mater. Phys. Mech., vol. 1, pp. 20–26, 2000. [4] W. J. Chappell, C. Reilly, J. Halloran, and L. P. B. Katehi, “Ceramic synthetic substrates using solid freeform fabrication,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 752–760, Mar. 2003. [5] K. M. Ho, C. T. Chan, C. Soukoulis, R. Biswas, and M. Sigalas, “Photonic band gaps in three dimensions: New layer-by-layer periodic structure,” Solid State Commun., vol. 89, pp. 413–416, 1994. [6] H. S. Sözuer and J. P. Dowling, “Photonic band calculations for woodpile structure,” J. Mod. Opt., vol. 41, pp. 231–234, 1994. [7] S. Fan, P. R. Villeneuve, R. D. Meade, and J. D. Joannopoulos, “Design of three-dimensional photonic crystals at submicron length scales,” Appl. Phys. Lett., vol. 65, pp. 1466–1468, 1994. [8] S. G. Johnson and J. D. Joannopoulos, “Three dimensionally periodic dielectric layered structure with omnidirectional photonic bandgap,” Appl. Phys. Lett., vol. 77, pp. 3490–3493, 2000. [9] P. Haring Bolivar, M. Brucherseifer, J. G. Rivas, R. Gonzalo, I. Ederra, A. L. Reynolds, M. Holker, and P. de Maagt, “Measurement of the dielectric constant and loss tangent of high dielectric-constant materials at terahertz frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1062–1066, Apr. 2003. [10] K. Berdel, J. G. Rivas, P. H. Bolivar, P. de Maagt, and H. Kurz, “Temperature dependence of the permittivity and loss tangent of high-permittivity materials at terahertz frequencies,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1266–1271, Apr. 2005. [11] L. Azcona, B. Alderman, P. Huggard, R. Gonzalo, B. Martínez, C. Del Rio, I. Ederra, B. de Hon, M. van Beurden, L. Marchand, and P. de Maagt, “EBG technology for imaging arrays at the sub-mm range: Designs, materials and precision micromachining techniques,” presented at the IEE Metamater. for Microw. and (Sub) Millimetre Wave Applicat. Seminar, London, U.K., Nov. 2004. [12] A. Chutinan and S. Noda, “Effects of structural fluctuations on the photonic bandgap during fabrication of a photonic crystal,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 16, no. 2, pp. 240–244, Feb. 1999. [13] A. Chutinan and S. Noda, “Effects of structural fluctuations on the photonic bandgap during fabrication of a photonic crystal: A study of a photonic crystal with a finite number of periods,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 16, no. 9, pp. 1398–1402, Sep. 1999. [14] S. G. Johnson and J. D. Joannopoulos, “Block-iterative frequency-domain methods for Maxwell’s equations in a planewave basis,” Opt. Express, vol. 8, no. 3, pp. 173–190, 2001. [15] B. de Hon, M. C. van Beurden, R. Gonzalo, B. Martínez, I. Ederra, C. del Río, L. Azcona, B. Alderman, P. G. Huggard, L. Marchand, and P. de Maagt, “Domain integral equations for electromagnetic bandgap slab simulations,” presented at the URSI EMTS: Electromagn. Symp., Pisa, Italy, May 2004.

[16] R. Gonzalo, B. Martínez, and P. de Maagt, “The effect of dielectric permittivity on the properties of photonic bandgap devices,” Microw. Opt. Technol. Lett., vol. 23, pp. 92–95, 1999. [17] B. Alderman, L. Azcona, D. Matheson, P. Huggard, B. de Hon, M. van Beurden, B. Martínez, I. Ederra, C. Del Rio, R. Gonzalo, L. Marchand, and P. de Maagt, “Micromachined electromagnetic bandgap crystals as antenna substrates for 500 GHz imaging arrays,” presented at the 4th Micro/Nano Technol. for Space Round Table, Noordwijk, The Netherlands, May 2003. [18] R. Gonzalo, B. Martínez, C. M. Mann, H. Pellemans, P. Haring Bolivar, and P. de Maagt, “A low cost fabrication technique for symmetrical and asymmetrical layer by layer photonic crystal at submillimeter wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2384–2393, Oct. 2002. [19] E. Öbay, E. Michel, G. Tuttle, R. Biswas, K.-M. Ho, J. Bostak, and D. M. Bloom, “Terahertz spectroscopy of three-dimensional photonic bandgap crystals,” Opt. Lett., vol. 10, no. 15, pp. 1155–1157, Aug. 1994. [20] F. Laermer and A. Urban, “Challenges, developments and applications of silicon deep reactive ion etching,” Microelectron. Eng., vol. 67–68, no. 1, pp. 349–355, Jun. 2003. [21] J. Lesurf, Millimetre-Wave Optics, Devices and Systems. Bristol, U.K.: Adam Hilger, 1990. [22] P. Haring Bolívar, M. Brucherseifer, H. P. M. Pellemans, and H. Kurz, “Time domain terahertz spectroscopy and sensing,” in Terahertz Sources and Systems, R. E. Miles, Ed. Norwell, MA: Kluwer, 2001, pp. 315–328. [23] M. van Exter, C. Fattinger, and D. Grischkowsky, “Terahertz time-domain spectroscopy of water vapor,” Opt. Lett., vol. 14, pp. 1128–1130, 1989. [24] A. Chelnokov, S. Rowson, J.-M. Lourtioz, L. Duvillaret, and J.-L. Coutaz, “Terahertz characterization of a mechanically machined 3-D photonic crystal,” Electron. Lett., vol. 33, pp. 1981–1982, 1997. [25] J. Gómez Rivas, A. Farré Benet, J. Niehusmann, P. Haring Bolivar, and H. Kurz, “Time-resolved broadband analysis of slow-light propagation and superluminal transmission of electromagnetic waves in three-dimensional photonic crystals,” Phys. Rev. B, Condens. Matter, vol. 71, pp. 155110-1–155110-6, 2005. Beatriz Martínez was born on October 29, 1973, in Tudela, Navarra, Spain. She received the M.Sc. and Ph.D. degrees from the Public University of Navarra, Pamplona, Spain, in 1997 and 2004, respectively, both in telecommunication engineering. From October 1997 to April 2005, she was with the Antenna Group, Electrical and Electronic Department, Public University of Navarra, initially as a Research Fellow (first year) and then as an Associate Professor. From June 1999 to January 2000, she was with the Payload, Equipment, and Technology Section, Payload Systems Division, European Space Agency–European Space Research and Technology Centre (ESA–ESTEC), Noordwijk, The Netherlands, under a Spanish grant. From April 2005 to August 2005 she was a Research Fellow with the Millimetre Wave Group, The Space Science Department, Rutherford Appleton Laboratory, Chilton, Didcot, U.K. She is currently with the Signal Theory and Telecommunication Area, Electric, Electronic, Computer and System Department, Oviedo University, Oviedo, Spain. Her current areas of research are in the field of electromagnetic crystals and metamaterials for antenna applications. Iñigo Ederra was born in Isaba, Navarra, Spain, in 1972. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Pública de Navarra, Pamplona, Spain, in 1996 and 2004, respectively. In 1997, he joined the Microwave and Millimetre Wave Group, Universidad Pública de Navarra, where he was involved in the study of high-power millimeter-wave components. From 1999 to 2000, he was with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved with EBG materials and their applications in the field of antennas. Since 2001, he has been with the Antenna Group, Universidad Pública de Navarra. From June to October 2002, he was a Visitor Scientist with the Rutherford Appleton Laboratory, Chilton, Didcot, U.K., during which time he participated in the Startiger Project. His research interests are EBG materials and metamaterials and their applications in microwave and millimeter-wave components and antennas.

MARTÍNEZ et al.: MANUFACTURING TOLERANCE ANALYSIS, FABRICATION, AND CHARACTERIZATION OF 3-D SUBMILLIMETER-WAVE EBG CRYSTALS

Ramón Gonzalo (S’95–M’02) was born in Logroño, La Rioja, Spain, on July 15, 1972. He received the M.Sc. (with honors) and Ph.D. degrees from the Public University of Navarra (UPNa), Navarra, Spain, in 1995 and 2000, respectively, both in ingeniero de telecomunicación, and is currently working toward the Ph.D. degree in photonic-bandgap structures for antenna applications in cooperation with the European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands. Since October 1995, he has been a Research Assistant with the Microwave Section, Electrical and Electronic Department, UPNa. His current area of research is in the field of photonic band structures with emphasis on space antenna applications, design of waveguide transmission lines, and corrugated horn antennas. Mr. Gonzalo was the recipient of a 1997 grant presented by the Spanish Government to work at the European Space Agency (ESA)–ESTEC.

Byron Alderman was born in Bournemouth, U.K., on May 31, 1976. He received the M.Phys. degree (with first-class honors) in physics from the University of Warwick, Warwick, U.K., in 1998, and the Ph.D. degree from The University of Leeds, Leeds, U.K., in 2001. His doctoral dissertation was entitled “A Micromachined Heterostructure Barrier Varactor Tripler for THz Frequency Applications.” He is currently with the Rutherford Appleton Laboratory, Chilton, Didcot, U.K. His research interests are in the area of millimeter and sub-millimeter heterodyne technology.

Luis Azcona, photograph and biography not available at time of publication.

Peter G. Huggard received the B.A. (Mod) and Ph.D. degrees in physics from the University of Dublin, Dublin, U.K., in 1986 and 1991, respectively. He is currently a member of the Millimetre Wave Technology Group (a part of the Space Science and Technology Department), Rutherford Appleton Laboratory, Chilton, Didcot, U.K. His research interests include photonic techniques for generating millimeter waves, the provision of high-performance terahertz filters, and the development of instrumentation for remote sensing of the atmosphere and astronomy at millimeter and submillimeter wavelengths. He was as a Research Fellow with the Universität Regensburg, Regensburg, Germany, and the University of Bath, Bath, U.K. Dr. Huggard is a member of the Optical Society of America (OSA) and the Institute of Physics (IOP).

681

Bas de Horn was born in Amstelveen, The Netherlands, in 1966. He received the M.Sc. and Ph.D. degrees (both cum laude) in electrical engineering from the Delft University of Technology, Delft, The Netherlands, in 1991 and 1996, respectively. Since 1996, he has been with the Faculty of Electrical Engineering, Electromagnetics Group, Eindhoven University, Eindhoven, The Netherlands (from 1996 to 2000, on a fellowship awarded by the Royal Netherlands Academy of Arts and Sciences). He has been a summer student with the CERN, Geneva, Switzerland, and with Schlumberger Cambridge Research, Cambridge, U.K. He has been a Visiting Scientist with the University of Tel Aviv, Tel Aviv, Israel, and the University of Glasgow, Glasgow, U.K. His research interests include theoretical and numerical aspects of electromagnetic, acoustic, and elastic wave propagation. Dr. de Horn was the recipient of the 1998 Steven Hoogendijk Award for his doctoral thesis.

Ali Hussain, photograph and biography not available at time of publication.

Steve R. Andrews, photograph and biography not available at time of publication.

Laurent Marchand, photograph and biography not available at time of publication.

Peter de Maagt (S’88–M’88–SM’02) was born in Pauluspolder, The Netherlands, in 1964. He received the M.Sc. and Ph.D. degrees from the Eindhoven University of Technology, Eindhoven, The Netherlands, in 1988 and 1992, respectively, both in electrical engineering. He is currently with the European Space Research and Technology Centre (ESTEC), European Space Agency, Noordwijk, The Netherlands. His research interests are in the area of millimeter and submillimeter-wave reflector and planar integrated antennas, quasi-optics, photonic-bandgap antennas, and millimeter- and sub-millimeter-wave components. Dr. de Maagt serves as an associate editor for the IEEE TRANSACTION ON ANTENNAS AND PROPAGATION. He was a corecipient of the H. A. Wheeler Award presented by the IEEE Antennas and Propagation Society (IEEE AP-S) for the Best Applications Paper of 2001. He was the recipient of a European Space Agency Award for innovation in 2002. He was also corecipient of the Louborough Antenna and Propagation Conference (LAPC) 2006 Best Paper Award.

682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications Ilku Nam, Member, IEEE, Kyudon Choi, Joonhee Lee, Student Member, IEEE, Hyouk-Kyu Cha, Student Member, IEEE, Bo-Ik Seo, Student Member, IEEE, Kuduck Kwon, and Kwyro Lee, Senior Member, IEEE

Abstract—In this paper, a low-power low-IF receiver and a direct-conversion transmitter (DCT) suitable for the IEEE standard 802.15.4 radio system at the 2.4-GHz band are presented in 0.18- m deep n-well CMOS technology. By using vertical NPN (V-NPN) bipolar junction transistors in the baseband analog circuits of the low-IF receiver, the image rejection performance is improved and the power consumption is reduced. In addition, by applying the V-NPN current mirrored technique in a DCT, the carrier leakage is reduced and the linearity performance is improved. The receiver has 10 dB of noise figure, 15 dBm of third-order input intercept point, and 35 dBc of image rejection. The transmitter has more than 2 dBm of transmit output power, 35 dBc of local oscillator leakage, and 46 dBc of the transmit third harmonic component. The receiver and transmitter dissipate 6 and 9 mA from a 1.8-V supply, respectively. Index Terms—CMOS, low power, low rate, receiver, RF, transmitter, 2.4 GHz, vertical NPN (V-NPN), wireless personal area network (WPAN).

I. INTRODUCTION ECENTLY, IEEE 802.15.4 (ZigBee), which is an IEEE standard for low rate wireless personal area networks (LR-WPANs) with a high density of nodes and simple protocol, has been established for low-complexity, low-cost, and low-power short-range wireless connectivity among inexpensive fixed, portable, and mobile devices in unlicensed radio bands (868 MHz/915 MHz/2.4 GHz) [1]. Since it is designed

R

Manuscript received August 14, 2006; revised December 18, 2006. This work was supported by the Micro Information and Communication Remote ObjectOriented Systems Research Center. I. Nam was with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea, and the Micro Information and Communication Remote Object-Oriented Systems Research Center, Daejeon 305-701, Korea. He is now with the School of Electronic, Electrical and Communication Engineering, Pusan National University, Busan 609-735, Korea (e-mail: [email protected]). K. Choi was with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305701, Korea. He is now with the Samsung Advanced Institute of Technology, Gyeonnggi 449-711, Korea. J. Lee, H.-K. Cha, B.-I. Seo, and K. Kwon are with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea. K. Lee is with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea, and also with the LG Electronics Institute of Technology, Seoul 137-140, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893646

to be a short-range service without an infrastructure and is able to support very large networks, the radio for this standard must consume only a little power in both the receive and transmit modes and must be implemented at a low cost [2]. Bluetooth (IEEE 802.15.1) and wireless local area networks (WLANs) (IEEE 802.11 series) are unsuitable for LR-WPAN applications, as they are relatively expensive, complex, and power consuming. Therefore, a low-power low-cost CMOS ZigBee transceiver that can be integrated with a digital modem is necessary for this application. Though a low-power LR-WPAN transceiver has appeared in the literature [3], it satisfies a preliminary IEEE 802.15.4 standard. In this paper, a low-IF receiver and direct-conversion transmitter (DCT), which are suitable for low-cost and low-power ZigBee radio and satisfy the IEEE 802.15.4 standard, are designed and implemented in 0.18- m deep n-well CMOS technology. The performance of the low-IF receiver and DCT is enhanced by combining the CMOS and vertical NPN (V-NPN) transistor. Section II describes the radio architecture, and the detailed circuit designs are discussed in Sections III and IV. Section V reports the measurement results of the proposed receiver and transmitter and Section VI concludes this paper. II. RECEIVER AND TRANSMITTER ARCHITECTURE From the integration viewpoint, a direct-conversion receiver (DCR) and low-IF receiver are favorable to a single-chip radio [4], [5]. As is already known, the DCR has an advantage of having the simplest architecture and some disadvantages such as dc offset, In-phase Quadrature-phase mismatch, evenorder distortion, noise, and local oscillator (LO) leakage [6]. Most drawbacks can be relieved by implementing various methods [7]–[9]. However, the noise is a nuisance to the CMOS DCR because the MOSFET device has a very large noise in itself. Consequently, a passive mixer, which shows excellent lowfrequency noise performance, is often adapted to CMOS DCRs [10], [11]. Though the passive mixer draws no power from the supply voltage, the power consumption in the LO buffer, which provides large LO signals to the mixer’s switching pair, cannot be neglected since the passive mixer usually requires relatively large LO signals. An alternative is to adopt parasitic V-NPN transistors, available in deep n-well CMOS processes, as the switching pair [12]. However, the base capacitance

0018-9480/$25.00 © 2007 IEEE

NAM et al.: 2.4-GHz LOW-POWER LOW-IF RECEIVER AND DCT

683

Fig. 1. Blocking profile in the 2.4-GHz band for ZigBee radio.

is so large that the LO buffer circuit requires more power consumption. Alternatively, the architecture of a low-IF receiver is similar to that of a DCR. However, because it shows better frequency isolation characteristics due to the small frequency separation between the LO and RF carrier, it does not exhibit either a severe dc offset or an LO re-radiation that vexes the DCR [13]. However, a low-IF receiver still possesses the drawback of a restricted image rejection. In general, the image rejection is limited due to the mismatch between the - and -path. Fig. 1 illustrates the blocking profile in the 2.4-GHz band for the IEEE 802.15.4 standard. As shown in Fig. 1, because the interferers surrounding the desired signal are relatively weak compared with the environment of other radio technologies, i.e., WLAN, global system for mobile communications (GSM), and wideband code division multiple access (WCDMA), etc., the specifications of the image rejection and filter attenuation are very loose in the ZigBee receiver. Therefore, a low-IF receiver architecture may be very suitable for the ZigBee receiver. Note that the null-to-null spectrum of the offset quadrature phase-shift keying (OQPSK) modulated signal with a half-sinusoidal pulse shaping for the 2.4-GHz ZigBee is 3 MHz, which is a bandwidth with more than 99% energy containment [14]. Therefore, a 3-dB bandwidth in the receiver is chosen as 3 MHz. When the 3-dB bandwidth of the 3 MHz in the low-IF receiver is used, the simulated bit error rate (BER) performance is not affected by the 3-dB bandwidth. An IF frequency of 2 MHz is chosen in the low-IF receiver when considering power consumption, dc offset cancellation, 3-dB bandwidth, filtering requirements in the receiver, and so on. In the transmitter, a higher integration level can be achieved in the direct-conversion architecture by eliminating the external components. However, for applications requiring a wide gain control range, a severe drawback of the DCT is carrier leakage, which is dominated by offset and matching [15]. Since the MOSFET inherently has offset and mismatching characteristics, a DCT implemented in CMOS technology can have a serious carrier leakage and, thus, degrade the error vector magnitude (EVM) performance. However, because the gain control range is approximately 20 dB and the specification of the EVM is less than 30% in the case of the 2.4-GHz ZigBee transmitter, the DCT architecture is suitable for the ZigBee transmitter. Therefore, the transmitter adopts a direct modulation with up-conversion mixers. Through simulation, the number of

Fig. 2. (a) ZigBee signal spectrum after 4-bit DAC and first LPF with 3-dB bandwidth of 3 MHz. (b) Simulated BER results under ideal demodulation environment when 4-bit DAC and first LPF are used.

the digital-to-analog converter (DAC) bit is chosen as 4 and a first order low-pass filter (LPF) is employed. Fig. 2 shows the ZigBee signal spectrum after the 4-bit DAC and LPF with 3-dB bandwidth of 3 MHz, and the simulated BER results when the 4-bit DAC and first LPF are used. III. DESIGN OF THE LOW-IF RECEIVER FOR THE 2.4-GHz ZIGBEE Fig. 3 shows the block diagram of the proposed low-IF receiver; it consists of a low-noise amplifier (LNA), an downconversion mixer, a divide-by-two circuit with an LO buffer, a complex bandpass filter, programmable gain amplifiers (PGAs), and 4-bit analog-to-digital converters (ADCs) for the - and -path. The 2.4-GHz RF input signal is firstly amplified by the LNA and then down-converted to 2-MHz signals by two identical mixers driven by quadrature LO signals. The quadrature LO signals are internally generated by the divide-by-two circuits with an external 4.8-GHz signal. In the first IF stage, a fourth-order active RC complex filter performs the channel selection, signal amplification, and image rejection simultaneously. To perform complex signal processing and digital demodulation in the digital domain, the signal is amplified in the PGAs and quantized by the ADCs. The sensitivity specification of the 2.4-GHz ZigBee receiver is less than 85 dBm [1]. The NF of the receiver can be

684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 3. Block diagram of the presented low-IF receiver.

Fig. 5. Simplified schematic of LNA with a resistive load.

Fig. 4. Estimated intermodulation requirement. Fig. 6. Simplified schematic of I=Q down-conversion mixer.

expressed as [6] sensitivity

dBm/Hz (1)

is the channel bandwidth of 3 MHz and is where the signal-to-noise ratio required at the modem. If the is approximately 5 dB considering the margin when the packet error rate (PER) is 1% [16], the noise figure (NF) of the receiver must be less than 19 dB to satisfy the sensitivity specification. However, there is no intermodulation specification at 2.4 GHz in the IEEE802.15.4 standard; but the inferred third-order input intercept point (IIP3) is required for the receiver design. The inferred IIP3 can be calculated from the blocking profile in Fig. 1. As shown in Fig. 4, IIP3 can be given as dBm

(2)

As shown in Figs. 5 and 6, the receiver front-end adopts a conventional common-source low noise amplifier (CS-LNA) with an inductive source degeneration and an Gilbert down-conversion mixer. To reduce the silicon area, the LNA employs a resistive load instead of an inductive load. The optimum (the quality factor of an LNA input) exists and the value of the is approximately known as 4 [17]. However, the required device size in the value is so large (e.g., the width is approximately 700 m in 0.18- m CMOS technology) that we cannot actually use the device size in power conscious design due to requirements such as linearity. To maintain the value and obtain linearity performance simultaneously, the device size must

must be included. The addibe reduced and the additional tional alleviates the input matching condition. Also, even though the additional causes the LNA gain to degrade, the use of the additional is advantageous because the degree of NF improvement is higher than that of the gain degradation by the additional [18]. Therefore, the metal–insulator–metal (MIM) capacitor is added for low-power consumption and input matching. The input impedance of the CS-LNA in Fig. 5 is given by [18] (3) To create a 50- resistive input impedance, the imaginary term in (3) should be removed by external matching components at the operating frequency and should be set at 50 . To ensure the correct operation of the receiver in strong input signal conditions, the specifications provide a maximum acceptable input level that must not cause an excessive BER. The 2.4-GHz ZigBee receiver must receive a signal input of at least 20 dBm without any unacceptable BERs [1]. Therefore, the LNA has both a high- and low-gain mode. In the low-gain mode, the RF signal bypasses through a switch. Also, the Gilbert downconversion mixer in Fig. 6 has both a high- and low-gain with the load resistance changing by the control signal. The RF front-end circuits have approximately 30 dB of gain control range. The 2.4-GHz differential LO signals are generated by a divide-by-two current-mode-logic (CML) circuit with an external

NAM et al.: 2.4-GHz LOW-POWER LOW-IF RECEIVER AND DCT

685

Fig. 7. Block diagram of a fourth-order complex bandpass filter.

Fig. 8. Block diagram of the proposed DCT.

4.8-GHz input signal. The signals, which are amplified by the inverter-type LO buffers with a low-power consumption and good slew-rate performance, drive the switching pair of the downconversion mixer in Fig. 6 [19]. The designed complex bandpass filter is shown in Fig. 7. The fourth-order complex bandpass filter is designed using an active RC for good linearity, channel selection, and image rejection. The complex bandpass filter centered at is designed by moving the low-pass filter pole of to a complex pole location at [20]–[22]. The deviation of the center frequency and bandwidth due to the process variation is compensated by the digital trimming of a switched capacitor array. To improve the dc offset, matching, and noise performance, a V-NPN operational amplifier (op-amp) is used because the noise and dc offset depend on the input differential pair [12]. The V-NPN op-amp gain is also larger than that of the MOS op-amp at the same power consumption. Therefore, it contributes to the low-power consumption of the filter circuits and prevents the image rejection performance from being degraded by an active device mismatch. To perform the complex signal processing in the digital domain, the received signal is quantized by an ADC. A PGA is usually placed in front of the ADC, adapting the loss variation of the transmission channel in order to ease the dynamic range requirements for the ADC. The gain of the PGA is digitally controlled by an automatic gain control (AGC) loop. A different gain is realized by switching the feedback resistors and the resistors in the forward path. Here, instead of the AGC loop, the 6-bit switches are controlled by the serial interfaces for measurement. A high linearity can be achieved if the open loop gain

Fig. 9. Simplified schematic of a current steering DAC with V-NPN diodeconnected load.

Fig. 10. Current mirrored I=Q up-conversion mixer with V-NPN input stage.

Fig. 11. Simplified schematic of a drive amplifier.

of the op-amp used in the PGA is large and the resistor network is linear. Therefore, a two-stage V-NPN op-amp is employed to

686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 15. Output products dependence on transmitted output power level. Fig. 12. Chip photograph.

Fig. 16. Measured transmitter output spectrum. Fig. 13. Measured IIP3 plot. TABLE I MEASURED PERFORMANCE SUMMARIES

Fig. 14. PGA gain versus control word.

obtain a large open loop gain. As a result, the power consumption of the PGA can be greatly reduced. If the channel selection filter in Fig. 7 does not exist, the dynamic range of the ADC is approximately 42 dB. In this case, the total number of required bits for the ADC is seven. However, if the channel selection filter rejects the incoming interferers, the dynamic range of the ADC is approximately 12 dB and the required bits for the ADC is two. Obviously, the bits of the ADC depend on the performance of the modem: therefore, the use of a 4-bit flash ADC was decided through the modem simulation.

Current consumption includes all blocks in the I - and Q-path and divide-by-two with LO buffers.

The sampling frequency is 16 MHz and the full scale of the differential input voltage is 1 V. IV. DESIGN OF THE DCT FOR THE 2.4-GHz ZIGBEE Fig. 8 shows the block diagram of the designed DCT, which consists of DACs, LPFs, an up-conversion mixer, a di-

NAM et al.: 2.4-GHz LOW-POWER LOW-IF RECEIVER AND DCT

687

TABLE II PERFORMANCE COMPARISON OF THE RECEIVER AND TRANSMITTER WITH OTHER PUBLISHED ZIGBEE RECEIVERS AND TRANSMITTERS

vide-by-two circuit with an LO buffer, and a drive amplifier. In general, the converters in the baseband analog circuits and the up-conversion mixer cause nonlinearity in the DCT. Here, the 2.4-GHz direct-conversion ZigBee transmitter is proposed for linearity, low offset, and low carrier leakage based on the current mirrored structure, which enables the converters to be eliminated in the baseband analog circuits and up-conversion mixer. Fig. 9 shows the simplified schematic of the proposed 4-bit current steering DAC with a V-NPN diode-connected load. As mentioned in Section II, the 4-bit DAC with 16-MHz input signals is sufficient through simulation with a modem. The incoming 16-MHz input and data are changed to differential data and ( ) with internal inverters. The transistors below the switching transistors (from to ) are employed to reduce glitches generated by the switching operation [23]. To minimize the feedthrough to the output lines, the drain of the switching transistors is isolated from the output lines by adding cascaded transistors with identical dimensions to the switching transistors. To achieve current mirroring, a V-NPN diode connected load is adopted. The switched resistors are used for gain control. The dc current must flow in the diode connected V-NPN transistors to not distort the output current of the DAC. The flowing currents in the DAC are expressed as

duce the mismatch current [24]. Since the output current from the DAC is mirrored through the V-NPN current mirror, the linearity, as well as the matching performance, can improve [25]–[27]. Assuming that the LO signal is sufficiently large, the gain from the DAC to the up-conversion mixer load can be expressed as (5) where is the current gain from the DAC load to the up-conversion mixer’s input stage and is the magnitude of the load impedance in the up-conversion mixer. The simplified schematic of the drive amplifier is illustrated in Fig. 11. It consists of a one-stage driver stage for the differential signal, a power control block, and a bias generator. The driver stage employs a cascade amplifier structure for better isolation between the input and output ports and uses an external inductor load for the silicon area reduction and power consumption. Essentially, the architecture adopts differential stages. A balanced structure can not only reduce the second harmonics, but also increase the maximum output power by 3 dB with the additional power consumption. This drive amplifier has approximately 10 dB in its gain control range with a 2-bit control for transmit power saving. V. EXPERIMENTAL RESULTS

(4) is the current that flows to the DAC load when the where least significant bit (LSB) data is high. Fig. 10 shows the simplified schematic of the proposed current mirrored up-conversion mixer with a V-NPN input stage and a first-order RC LPF. The circuit has a stronger linearity performance: i.e., a harmonic distortion of approximately 50 dBc, which is much less than the 30 dBc needed to satisfy the transmit mask. The first-order RC filter, of which 3-dB bandwidth is 3 MHz, is enough to remove the glitches and harmonic signals generated by the DAC data signal (16 MHz). The resistors below the input V-NPN transistors are employed to re-

The proposed low-IF receiver and DCT were implemented in a 0.18- m deep n-well CMOS process and measured in a MicroLeadFrame (MLF) package form. The chip photograph is shown in Fig. 12. The die area is 1.9 mm 1.9 mm. To generate 4.8-GHz differential LO signals to measure the receiver and transmitter, a 180 microstrip ring hybrid was used [28]. The NF of the receiver measured using the -factor method shows less than 10 dB throughout the entire band [29]. The input return loss of the receiver is lower than 9 dB within the entire band. Fig. 13 plots the IIP3 measurement results of the RF front-end under a high-gain mode when the two tones at 2444 and 2445.5 MHz are mixed with the LO frequency of 2440 MHz. The IIP3 was measured as 14.5 dBm. The IIP3 of the receiver was more than 15 dBm over the entire band.

688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 14 shows the PGA gain versus the control word. The PGA has a dynamic range of more than 60 dB. The error of the gain is less than 0.5 dB. While the output power level is 2 dBm in the 2.445-GHz channel, the image rejection is 33 dBc. Moreover, both the second-order and third-order products are less than 40 dBc, and the LO leakage is less than 35 dBc. The dependence of the output products on the output power level is shown in Fig. 15. The transmitter output spectrum is shown in Fig. 16. It satisfies the IEEE 802.15.4 transmit spectrum mask and its channel power is approximately 1.3 dBm. Table I presents the measured performance summaries. It shows that the receiver has 10 dB of NF, 15 dBm of IIP3, and 35 dBc of image rejection with a 6-mA current at a 1.8-V supply voltage. The transmitter consumes 9 mA of current at a 1.8-V supply voltage for 2-dBm output power. Table II compares the receiver and transmitter with other recently published ZigBee receivers and transmitters. VI. CONCLUSIONS A low-power low-IF receiver and DCT, which are suitable for IEEE 802.15.4 radio systems in a 2.4-GHz band and are fabricated in 0.18- m deep n-well CMOS technology, have been presented. By using V-NPN transistors in the baseband analog circuits of the low-IF receiver, the image rejection performance is improved and the power consumption is reduced. In addition, by applying the V-NPN current mirrored technique to the DCT, the carrier leakage is reduced and the linearity performance is improved. ACKNOWLEDGMENT The authors appreciate J. Gil, RadioPulse Inc., Seoul, Korea, for his balun implementation for measurements. The authors thank the reviewers for valuable comments. REFERENCES [1] IEEE Standard Part 15.4: Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specification for Wireless Personal Area Networks (WPANs), IEEE Standard 802.15.4-2003, 2003. [2] J. A Gutierrez, E. H. Callaway, and R. L. Barrett, Low-Rate Wireless Personal Area Networks—Enabling Wireless Sensors with IEEE 802.15.4TM. Piscataway, NJ: IEEE Press, 2004. [3] P. Choi, H. Park, S. Kim, S. Park, I. Nam, T. Kim, S. Park, S. Shin, M. Kim, K. Kang, Y. Ku, H. Choi, S. M. Park, and K. Lee, “An experimental coin-sized radio for extremely low-power WPAN (IEEE802.15.4) application at 2.4 GHz,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2258–2268, Dec. 2003. [4] G. Chang, L. Jansson, K. Wang, J. Grilo, R. Montemayor, C. Hull, M. Lane, A. X. Estrada, M. Anderson, I. Galton, and S. V. Kishore, “A direct-conversion single-chip radio-modem for Bluetooth,” in IEEE Int. Solid-State Circuits Tech. Conf. Dig., San Francisco, CA, Feb. 2002, pp. 88–89. [5] T. Cho, E. Dukatz, M. Mack, D. Macnally, M. Marringa, S. Mehta, C. Nilson, L. Plouvier, and S. Rabii, “A single-chip CMOS direct-conversion transceiver for 900 MHz spread-spectrum digital cordless phones,” in IEEE Int. Solid-State Circuits Tech. Conf. Dig., San Francisco, CA, Feb. 1999, pp. 228–229. [6] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [7] K. Lee, J. Park, J.-W. Lee, S.-W. Lee, H. K. Huh, D.-K. Jeong, and W. Kim, “A single-chip 2.4-GHz direct-conversion CMOS receiver for wireless local loop using multiphase reduced frequency conversion technique,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 800–809, May 2001.

[8] Z. Zhang, Z. Chen, L. Tsui, and J. Lau, “A 930 MHz CMOS DC-offsetfree direct-conversion 4-FSK receiver,” in IEEE Int. Solid-State Circuits Tech. Conf. Dig, San Francisco, CA, Feb. 2001, pp. 290–291. [9] I. Bouras, S. Bouras, T. Georgantas, N. Haralabidis, G. Kamoulakos, C. Kapnistis, S. Kavadias, Y. Kokolakis, P. Merakos, J. C. Rudell, S. Plevridis, I. Vassiliou, K. Vavelidis, and A. Yamanaka, “A digitally calibrated 5.15 GHz–5.825 GHz transceiver for 802.11 a wireless LANs in 0.18 m CMOS,” in IEEE Int. Solid-State Circuits Tech. Conf. Dig., San Francisco, CA, Feb. 2003, pp. 352–353. [10] D. Leenaerts and W. Redman-White, “1=f noise in passive CMOS mixer for low and zero IF integrated receivers,” in Proc. 27th Eur. Solid-State Circuits Conf., Villach, Austria, 2001, pp. 103–107. [11] M. Valla, G. Montagna, R. Castello, R. Tonietto, and I. Bietti, “A 72-mW CMOS 802.11 a direct conversion front-end with 3.5-dB NF and 200-kHz 1=f noise corner,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 970–977, Apr. 2005. [12] I. Nam and K. Lee, “High performance RF mixer and operational amplifier BiCMOS circuits using parasitic vertical bipolar transistor in CMOS technology,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 392–402, Feb. 2005. [13] C. Chien, Digital Radio System on a Chip: A System Approach. Norwell, MA: Kluwer, 2001. [14] F. Amoroso, “The bandwidth of digital data signals,” IEEE Commun. Mag., vol. 18, no. 6, pp. 13–24, Nov. 1980. [15] G. Brenna, D. Tschopp, J. Pogin, I. Kouchev, and Q. Huang, “A 2-GHz carrier leakage direct-conversion WCDMA transmitter in 0.13-m CMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1253–1262, Aug. 2004. [16] S. Park, D. Park, H. Park, and K. Lee, “Low-complexity frequencyoffset insensitive detection for orthogonal modulation,” Electron. Lett., vol. 41, pp. 1226–1227, Oct. 2005. [17] K. Han, J. Gil, S.-S, Song, J.-H. Han, H. Shin, K. Lee, and C. Kim, “Complete high-frequency thermal noise modeling of short-channel MOSFETs and design of 5.2-GHz low noise amplifier,” IEEE J. SolidState Circuits, vol. 40, no. 3, pp. 726–735, Mar. 2005. [18] P. Andreani and H. Sjoland, “Noise optimization of an inductively degenerated CMOS low noise amplifier,” IEEE Trans. Circuits Syst. II., Analog Digit. Signal Process., vol. 48, no. 9, pp. 835–841, Sep. 2001. [19] B. A. Floyd, S. K. Reynolds, T. Zwick, L. Khuon, T. Beukema, and U. R. Pfeiffer, “WCDMA direct-conversion receiver front-end comparison in RF-CMOS and SiGe BiCMOS,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1181–1188, Apr. 2005. [20] A. S. Sedra, W. M. Snelgrove, and R. Allen, “Complex analogue bandpass filters design by linear shifting real low-pass prototypes,” in Proc. IEEE Circuits Syst. Symp., 1985, pp. 1223–1226. [21] J. Crols and M. Steyart, “Low-IF topologies for high-performance analog front ends of fully integrated receivers,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 45, no. 3, pp. 269–282, Mar. 1998. [22] F. Behbahani, Y. Kishigami, J. Leete, and A. A. Abidi, “CMOS mixers and polyphase filters for large image rejection,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 873–887, Jun. 2001. [23] J. Bastos, A. M. Marques, M. S. J. Steyart, and W. Sansen, “A 12-bit intrinsic accuracy high-speed CMOS DAC,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 1959–1969, Dec. 1998. [24] P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed. New York: Wiley, 2001. [25] C. Tomazau, F. J. Lidgey, and D. G. Haigh, Analogue IC Design: The Current-Mode Approach. London, U.K.: Peregrinus, 1991. [26] P. Wambacq and W. Sansen, Distortion Analysis of Analog Integrated Circuits. Boston, MA: Kluwer, 2001. [27] P. R. Kinget, “Device mismatch and tradeoffs in the design of analog circuits,” IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1212–1224, Jun. 2005. [28] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [29] “Noise figure measurements accuracy—The Y -factor method,” Agilent Technol., Palo Alto, CA, Applicat. Note, , 2001. [30] W. Kluge, F. Poegel, H. Roller, M. Lange, T. Ferchland, L. Dathe, and D. Eggert, “A fully integrated 2.4 GHz IEEE 802.15.4 compliant transceiver for ZigBee applications,” in IEEE Int. Solid-State Circuits Tech. Conf. Dig., San Francisco, CA, Feb. 2006, pp. 1470–1471. [31] I. Kwon, Y. Eo, S.-S. Song, K. Choi, H. Lee, and K. Lee, “A fully integrated 2.4-GHz CMOS RF transceiver for IEEE 802.15.4,” in IEEE Radio Freq. Integrated Circuits Symp. Dig., San Francisco, CA, Jun. 2006, pp. 275–278.

NAM et al.: 2.4-GHz LOW-POWER LOW-IF RECEIVER AND DCT

Ilku Nam (S’02–M’06) received the B.S. degree in electronics engineering from Yonsei University, Seoul, Korea, in 1999, and the M.S. and Ph.D. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2001 and 2005, respectively. From 2005 to 2007, he was a Senior Engineer with Samsung Electronics, Gyeonggi, Korea, where he was involved in the development of mobile digital TV tuner integrated circuits(ICs). In 2007, he joined the School of Electronic, Electrical and Communication Engineering, Pusan National University, Busan, Korea, where he is currently an Assistant Professor. His research interests include CMOS RF/mixed-mode IC and RF system design, and interfaces between RF and modems.

Kyudon Choi received the B.S. degree from Korea University, Seoul, Korea, in 2003, and the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2005. He is currently an RF and Mixed Signal Circuit Designer with the Samsung Advanced Institute of Technology, Gyeonggi, Korea.

Joonhee Lee (S’05) received the B.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 2003, and the M.S. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2005. He is currently with the Department of Electrical Engineering and Computer Science, KAIST. His research interests include CMOS RF/analog integrated circuits and RF system design for wireless communication.

Hyouk-Kyu Cha (S’05) was born in Seoul, Korea, in 1979. He received the B.S. degree in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 2003, and is currently working toward the Ph.D. degree in electrical engineering and computer science at KAIST. His current research activities include CMOS digital TV tuner IC design.

689

Bo-Ik Seo (S’01) was born in Daegu, Korea, in 1977. He received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His research interests include digital system design for wireless communication systems and microprocessors.

Kuduck Kwon was born in Daegu, Korea, in 1981. He received the B.S. degree in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 2004, and is currently working toward the Ph.D. degree in electrical engineering and computer science at KAIST. His current research activities include baseband analog circuits for CMOS digital TV tuner IC design.

Kwyro Lee (M’80–SM’90) received the B.S. degree in electronics engineering from Seoul National University, Seoul, Korea, in 1976, and the M.S. and Ph.D. degrees from the University of Minnesota, Minneapolis–St. Paul, in 1981 and 1983, respectively. While with the University of Minnesota, he was involved with many pioneering studies on the characterization and modeling of AlGaAs/GaAs heterojunction field-effect transistors. From 1983 to 1986, he was an Engineering General Manager with GoldStar Semiconductor Inc., Seoul, Korea, where he was responsible for the development of the first polysilicon CMOS products in Korea. In 1987, he joined the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, as an Assistant Professor with the Department of Electrical Engineering. He is currently a Professor with KAIST. From 1998 to 2000, he was the KAIST Dean of Research Affairs and the Dean of the Institute Development and Cooperation. Since 1997, he has been the Director of the Micro Information and Communication Remote-object Oriented Systems (MICROS) Research Center, an Engineering Center of Excellence supported by the Korea Science and Engineering Foundation. In March 2005, he joined the LG Electronics Institute of Technology, Seoul, Korea, as Executive Vice President. He has authored or coauthored over 150 publications in major international journals and conferences. He authored Semiconductor Device Modeling for VLSI (Prentice-Hall, 1993) and was one of the co-developers of AIM-SPICE, the world’s first SPICE run under Windows. Dr. Lee is a Life Member of the Korean Institute of Electrical and Communications Engineers. From 1990 to 1996, he served as the conference co-chair of the International Semiconductor Device Research Symposium, Charlottesville, VA. From 1998 to 2000, he served as the chairman of the IEEE Korea Electron Device Chapter and currently serves as an elected member of the Administrative Committee (AdCom) of the IEEE Electron Devices Society (IEEE EDS).

690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching Young-Sang Jeon, Member, IEEE, Jukyung Cha, and Sangwook Nam, Member, IEEE

Abstract—A novel bias-switching scheme for a high-efficiency power amplifier is proposed. Two voltage levels for the drain bias of the RF power amplifier are generated using a combination of a class E dc/ac inverter and a class E rectifier with offset voltage. When signal peaks occur, the output of the class E dc/ac inverter is rectified and the rectified dc is added to the offset voltage by the class E rectifier, which boosts the drain bias of the RF power amplifier. Except during peaks, the drain bias of the RF power amplifier is connected to the offset voltage directly. Since the efficiency when there are no peaks is very high due to the direct connection between the offset voltage and drain bias, the overall efficiency of the RF power amplifier can be improved dramatically in high peak-to-average power ratio (PAPR) systems. The measured results show that the drain bias of the RF power amplifier is boosted up to approximately 1.8 times the offset voltage when the RF peaks generate. The overall efficiency of the proposed bias-switching amplifier is improved by 62% compared to that of the fixed bias amplifier in high PAPR systems. Index Terms—Bias switching, efficiency, high peak-to-average power ratio (PAPR), power amplifiers.

I. INTRODUCTION

M

ODERN digital communication systems, such as cellular systems, personal communication systems (PCSs), and satellite communication systems, widely use modulation formats where signal envelopes are not constant. Therefore, linear RF power amplifiers are required for those applications. Unfortunately, conventional linear power amplifiers such as class A and AB have poor efficiency because they usually operate at a significant backoff from the saturation point in order to maintain linearity performance. Efficiency is one of the most important factors for power amplifiers in that it has dominant effects on the talk time of the mobile terminals and performance of power amplifiers due to thermal effects in high-power systems. Various techniques have been proposed for improving the efficiency of linear power amplifiers [1]. The dynamic power supply amplifier is a promising approach to high-efficiency linear amplification [2]. It reduces power consumption and increases efficiency by controlling the drain bias adaptively according to the input signal level. The envelope elimination and Manuscript received October 25, 2006; revised December 17, 2006. This work was supported by the University Information Technology Research Center Project of Korea. Y.-S. Jeon is with the Korean Intellectual Property Office, Daejeon 302-701, Korea (e-mail: [email protected]). J. Cha and S. Nam are with the Applied Electromagnetic Laboratory, School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892804

restoration (EER), known as the Kahn technique, is one of dynamic power supply amplifiers [3], [4]. In the Kahn technique, an RF input is divided into an envelope and a phase-modulated carrier. The phase-modulated carrier is amplified by a class E amplifier. A dc–dc converter causes the supply voltage of the class E drain bias to vary continuously according to the envelope of the RF input. Therefore, the envelope can be restored with ideally 100% efficiency. When a class A power amplifier is used as the RF power amplifier instead of a class E amplifier, a technique known as envelope tracking (ET), the drain bias must be varied with the envelope so that the RF input can be amplified without saturation, regardless of the envelope of the RF input. The efficiency of the ET is limited to 50% due to the class A bias condition. Its linearity, however, is better than that of the EER. Although the efficiency of dynamic power supply schemes can be very high, it is difficult to control the drain bias at high speed because of the narrow bandwidth of the dc–dc converter [5]. Dynamic bias switching is an attractive way to overcome bandwidth problems. It varies the drain bias discretely, unlike ET [6], [7]. There are, however, some problems with its implementation. More than two power supplies are required in order to switch the drain bias effectively. Furthermore, it is difficult to design power supplies, which enable the current to change abruptly. This paper presents a novel dynamic bias-switching technique with only one dc power supply. It steps up the supply voltage by adding rectified dc voltage to an offset voltage during signal peaks. On the other hand, there is no switching loss if no peaks are generated because the offset voltage is connected to the drain bias of the RF power amplifier directly. Therefore, this technique can reduce power consumption considerably, especially in high peak-to-average power ratio (PAPR) systems.

II. HIGH-SPEED DC POWER SUPPLY Fig. 1(a) shows the block diagram of the proposed dynamic bias-switching system. A part of the RF input is coupled and is detected by an envelope detector. The detected envelope is compared to the reference voltage in order to control the high-speed dc power supply according to the envelope. On the other hand, the RF signal connected to the through port of the coupler is delayed and amplified by the RF class A power amplifier. When RF peaks of the system input are detected, the control signal in Fig. 1(a) is “high,” and the drain bias of the RF power amplifier increases to . Except for the peak timing, the control signal is “low,” and the drain bias of the power amplifier

0018-9480/$25.00 © 2007 IEEE

JEON et al.: HIGH-EFFICIENCY POWER AMPLIFIER USING NOVEL DYNAMIC BIAS SWITCHING

691

Fig. 2. Schematic of the proposed high-speed dc bias supply with offset voltage.

Fig. 1. Block diagram of: (a) proposed dynamic bias switching and (b) drain bias waveform of the RF power amplifier according to the RF input signal.

is maintained . The dc bias for the envelope detector, comparator, and high-speed power supply are all set to . Therefore, the proposed high-speed dc power supply can generate two voltage states using only one external dc supply , and can supply them to the RF power amplifier dynamically, according to the envelope of the RF input. Fig. 1(b) shows the drain bias waveform of the RF power amplifier when the RF input is applied to the proposed bias-switching system. Its drain bias changes discretely, depending on the existence of the RF peaks. In high-PAPR systems, the RF power amplifier operates at a drain bias of , mostly because the possibility that the RF peaks are generated is low. On the other hand, the high-speed power supply can be designed so that the RF power amplifier could be biased in class AB instead of class A during the drain bias of . In this case, the RF power amplifier operates in class AB mode only when RF peaks exist. When there are no RF peaks, the drain bias of the RF power amplifier decrease to . The two voltage states above can be generated using a combination of a class E dc/ac inverter and a class E rectifier. Fig. 2 shows the schematic of the proposed high-speed dc bias

supply. It is composed of a class E zero-voltage-switching dc/ac inverter and class E rectifier followed by the dc/ac inverter. The topology is known as a class E–E E resonant dc/dc converter [8], [9]. The proposed high-speed dc power supply, however, is different from the conventional class E dc/dc converter in that the parallel diode in the class E rectifier is connected to the offset voltage instead of to ground. In order to reduce the number of the external dc power supplies, the offset voltage can be equal to the external dc power supply voltage , as shown in Fig. 2. The high-speed dc power supply is controlled by the 1-bit control signal. The RF peaks in Fig. 1(b) generate a “high” control signal, while the control signal is maintained “low” during the RF input, except during peaks. When the control signal is high, both the class E inverter and the class E rectifier operate. The rectified voltage is added to the offset voltage, which boosts the load voltage up to . On the other hand, when the control signal is low, the class E dc/ac inverter is OFF. In this case, as the parallel diode is ON, the offset voltage is connected to the load directly, dropping the load voltage to . III. ANALYSIS OF HIGH-SPEED DC POWER SUPPLY Fig. 3(a) shows the proposed class E rectifier with offset voltage. is the offset voltage of the diode and is equal to the external dc supply , shown in Fig. 2. is the impedance seen toward the drain bias of the class A RF power amplifier. Assuming that the current through the inductor is approximately constant and equal to the dc output current , the output filter and the load resistor can be replaced by a current sink , as shown in Fig. 3(b) and (c), [8], [10]. Fig. 3(b) and (c) shows the proposed rectifier models when the diode is OFF and ON, respectively. Assuming that the rectifier is driven by an ideal sine-wave current source whose frequency is , the driving current can be expressed as follows: (1) where is the amplitude, and is the reference phase angle indicated in Fig. 4. Assume the diode ON switch duty ratio is , and the diode turns off and on at and , respectively. When the diode is OFF, i.e., for ,

692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 4. Simulated current and the voltage waveforms of the proposed class E rectifier with offset voltage. Fig. 3. Proposed class E rectifier with offset voltage. (a) Circuit. (b) Model 2 (1 D )). (c) Model when diode is ON when diode is OFF (0 < !t (2 (1 D ) < !t 2 ).

0

0

the capacitor current

(4), the relationship between

and

is given by (5)

is (2)

The capacitor current at the turn-off point is 0 according to the class E operation condition [8], [9]. Therefore, the capacitor current is 0 at . The relationship among , and is then (3) On the other hand, the voltage across the capacitor

and play the role of a low-pass filter, the average Since value of the voltage across the capacitor must be equal to as follows: (6) By (5) and (6), the values of the parallel capacitor determined, as follows:

can be

is given

by

(7) (4) in (4) is for the initial voltage condition of at . The ideal diode turns on when its voltage reaches , i.e., . Substituting this condition into

On the other hand, when the diode is ON, i.e., for is equal to the offset voltage because the diode turns on, and is connected to the output directly, as shown in Fig. 3(c).

JEON et al.: HIGH-EFFICIENCY POWER AMPLIFIER USING NOVEL DYNAMIC BIAS SWITCHING

The design procedure for the proposed class E rectifier with offset voltage is as follows. is selected to be 25 , considering the drain impedance of the class A RF power ampliis also selected to be 3 V because the controller in fier. Fig. 1(a) and the class E dc/ac inverter in Fig. 2 use 3 V as the external dc supply. The switching frequency of 200 MHz is used, considering the bandwidth of the class E dc/dc converter. Although higher switching frequency increases the bandwidth of the dc/dc converter, it decreases efficiency of the dc/dc converter due to the high-frequency loss. In this design, is 0.5, and it is assumed that is boosted from 3 to 6 V when the control signal in Fig. 2 changes from “low” to “high.” The parallel capacitance and amplitude of the driving current are then determined to be 20.3 pF and 0.45A, respectively, according to (3), (5), and (7). and are to be selected so that the bandwidth of the class E dc/dc converter is wide enough to cover the RF envelope, and its switching harmonics are rejected sufficiently at the same time. and are 100 nH and 200 pF, respectively. Fig. 4 shows the simulated current and voltage waveforms of the class E rectifier designed according to the above values. (5 ns) in Fig. 4 is the switching period of the converter. The high-speed dc power supply is simulated by the Advanced Design System (ADS), and it is assumed that and have no loss, and that the diode has neither a voltage drop, nor parasitic components. The simulation shows that approximately 51% of the total output power is delivered from the driving current , while 49% is delivered from the offset voltage. Though and of the proposed class E dc/dc converter are set to 3 and 6 V, respectively, in case of ideal components, they are somewhat lowered in the practical case due to the diode voltage drop, the switching loss, and the loss from passive elements. As the diode, a Schottky diode is used due to its low forward bias voltage drop. In order to lower the diode voltage drop more, four Schottky diodes are connected in parallel. A silicon MOSFET is also used as the switch of Fig. 2. Simulation results show that and are 2.65 and 5.4 V, respectively, due to the above-mentioned nonideal components. The simulated efficiencies during the low drain bias and the high drain bias are approximately 88% and 67% , respectively. IV. OVERALL EFFICIENCY OF THE POWER AMPLIFIER The power-added efficiency (PAE) of the overall transmission power is calculated, considering the probability density function (PDF) of the RF signal. The average RF input power , RF output power , and average supplied dc input power are expressed as follows by (8)–(10), respectively: (8) (9) (10) where efficiency

is the PDF of the RF signal. Therefore, overall can be determined by (11) as follows: (11)

693

Fig. 5. Overall efficiency enhancement of the proposed bias-switching technique versus  for a high-PAPR signal compared to the fixed bias technique.

In high PAPR systems, the probability that RF peaks are generated is low. That is, the output power is “low” for a long time, and the output power reaches the peak value only for a short time. Therefore, the efficiency when the output signal is low is very important for the overall efficiency of the RF power amplifier. In the proposed bias-switching technique, the overall efficiency of the power amplifier depends on the efficiencies of the proposed high-speed dc power supply. Assume that the efficiencies of the proposed high-speed dc power supply in Fig. 2 are and , when its outputs are and , respectively. Unlike the conventional class E dc/dc converter, it has very high efficiency when the output is low due to the offset voltage. Ideally, is 100% because the offset voltage is connected to the output directly at low output. Therefore, the proposed bias-switching technique can improve the overall efficiency dramatically for high-PAPR systems. Fig. 5 shows the overall efficiency enhancement of the proposed bias-switching technique versus for a high-PAPR signal compared to the fixed bias technique. Two cases, when the low drain voltage is half of the high drain voltage , and when is the square root of , are plotted. An IS-95 forward link signal is used for the high-PAPR signal. The voltage drop of the diode in the proposed high-speed dc power supply in Fig. 2 is not considered; i.e., the is 100%. Though the efficiency of the proposed high-speed dc power supply at is somewhat poor, the overall efficiency can be improved in the high-PAPR systems due to the PDF of the high-PAPR signal. Theoretically, as the ratio of to increases, the overall efficiency is more increased if is 100%. However, on the contrary, it can be decreased as the ratio increases if is very low. The drain bias is maintained relatively for a long time at high . Therefore, has great effects on the overall efficiency on that condition. For instance, the overall efficiency at is higher than that at , when is over approximately 30%. However, the overall efficiency at is lower than that at , when is less than approximately 30%, as shown in Fig. 5.

694

Fig. 6. Measured V offset voltage.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

and 

of the proposed class E dc/dc converter with

The diode voltage drop has more effects on than . If the diode voltage drop is taken into account, the overall efficiency approximately decreases by the ratio of the diode voltage drop to at relatively high . On the other hand, the overall efficiency enhancement when an orthogonal frequency-division modulation (OFDM) signal is applied to the proposed bias-switching technique is nearly the same as that of the IS-95 forward link signal. An ideal bias-switching technique could considerably improve the overall efficiency without degradation of linearity. Practically, however, there is a tradeoff between efficiency improvement and linearity because the gain of the main class A RF power amplifier varies depending on the drain bias. Though a high boosting ratio can enhance the overall efficiency more, it also degrades linearity more due to the large change of the drain bias. On the contrary, the low boosting ratio nearly lowers an adjacent channel power ratio (ACPR), though efficiency improvement is small. On the other hand, the switching frequency of the class E dc/dc converter little affects the ACPR because the upconverted switching harmonic components are located far from an in-band component. The switching harmonic frequency, however, has some effects on the overall efficiency because it affects the efficiency of the class E dc/dc converter and insertion loss of the bandpass filter of Fig. 1. V. MEASURED RESULTS The proposed class E dc/dc converter with offset voltage for the high-speed dc power supply was designed using only one dc supply. Both and in Fig. 2 were set to 3 V, as shown in Section III. in Fig. 2 was implemented as a class A power amplifier whose drain impedance was approximately 25 when the drain bias of the class A power amplifier was . The measured is 2.65 V due to the diode voltage drop. Therefore, the efficiency during the low drain bias is approximately 88% independent of the switching frequency of the proposed class E dc/dc converter. On the other hand, and are varied according to the switching frequency. Fig. 6 shows the measured and of the proposed class E dc/dc converter with offset voltage. The switching frequency considered in Section III (200 MHz) was shifted to 186 MHz due to

Fig. 7. Time-domain waveform of the drain bias of the RF class A power amplifier when two tones are applied to the proposed bias-switching system (f = 1864 MHz, f = 1865 MHz).

the input mismatch of the class E dc/dc converter, and the converter has a small bandwidth due to the high of the class E dc/dc converter. The maximum and are approximately 5.3 V and 60%, respectively, near 186 MHz. Therefore, when the IS-95 forward link signal is applied to the proposed bias-switching system with the class A RF power amplifier, its efficiency can theoretically be enhanced by approximately 62% compared to that of a fixed bias class A power amplifier considering the and PDF of the input signal. On the other hand, the dc current always flows from offset voltage to the load independent of the two drain bias states . Therefore, there are no abrupt current changes in the external dc power supply during bias switching, unlike other conventional bias switching, which uses two dc power supplies. The relatively small current changes in the dc power supply during bias switching can reduce the complexity and the size of the dc power supply. Fig. 7 shows the time-domain waveform of the drain bias of the RF class A power amplifier when two tones are applied to the proposed bias-switching system. The switching frequency of the proposed class E dc/dc converter is 186 MHz, and the two tones are 1864 and 1865 MHz, respectively. The envelope of the two RF tones is arc shaped; thus, the control signal for the bias switching in Fig. 1(a) is the pulse train. Therefore, the drain bias of the class A RF power amplifier is maintained in the form of the pulse train according to the control signal. The measured waveform shows that the drain-bias boost really works for the dynamic signal. The ripple in Fig. 7, when the drain bias is , results from the unfiltered switching frequency of the class E dc/dc converter. The unfiltered switching harmonics are upconverted and generated around the carrier frequency in the bias-switching system. In order to eliminate the switching harmonics, a bandpass filter is required at the output of the RF class A power amplifier. The frequency difference between the carrier and the upconverted switching frequency is approximately 186 MHz. Therefore, the bandpass filter whose bandwidth is less than 20% is necessary. Higher switching frequency of the class E dc/dc converter can reduce insertion loss of the bandpass filter.

JEON et al.: HIGH-EFFICIENCY POWER AMPLIFIER USING NOVEL DYNAMIC BIAS SWITCHING

695

Fig. 8. Output power and gain of the RF class A power amplifier at high (V ) and low (V ) drain biases. Fig. 9. Output spectrums at various drain bias conditions (V proposed dynamic bias).

Fig. 8 shows the output power and the gain of the RF class A power amplifier at the high and low drain biases. A 1.865-GHz single tone is used to measure the output power and the gain of the amplifier. As predicted, the 1-dB saturation point of the amplifier at the high drain bias is higher than that at the low drain bias . By switching the drain bias adaptively, the RF power amplifier is able to avoid being saturated during the peak RF signal. The measured gains at the high and low drain biases are not identical due to the nonideal characteristics of the transistor. Therefore, there is some inherent nonlinearity in the drain bias-switching techniques. The overall efficiency of the class A power amplifier with the fixed drain bias of is approximately 10.2% when the IS-95 forward link signal whose PAPR is high is applied to it. On the other hand, the overall efficiency of the class A power amplifier with the proposed dynamic bias-switching technique increases up to approximately 16.5% due to reduction of dc power consumption. Though the overall efficiency of the proposed bias-switching power amplifier could be lower than that of a fixed-bias class AB power amplifier, this is because the proposed bias-switching power amplifier is operated in class A mode, which is not optimized for the efficiency. The overall efficiency of the proposed bias-switching power amplifier could be higher than that of the fixed-bias class AB power amplifier if the RF power amplifier is designed so that it could be biased in class AB mode instead of class A during RF peaks, as mention in Section II. Fig. 9 shows the output spectrums at various drain bias conditions. The high-PAPR input IS-95 forward link signal is used to verify the effect of the proposed bias-switching technique at high-PAPR systems. The PAPR of the IS-95 forward link is more than 10 dB. The time delay between the drain bias signal and the envelope of the RF input was approximately 20 ns. In the experiment, it was ignored because the bandwidth of the baseband signal is so small that it affects the linearity little. The RF class A power amplifier at low drain bias experiences saturation, which results in spectral regrowth at the output. The proposed dynamic bias-switching technique enables the RF class A power amplifier to get over the saturation problem by stepping up the drain bias adaptively.

;V

;

and the

However, there is slight spectrum regrowth in the proposed bias-switching condition compared to that in the fixed high drain bias condition . This is because the gains of the RF class A power amplifier are not identical at the drain biases and . The spectrum regrowth can be minimized by using a linearizer or decreasing the ratio of to . Even though the spectrums of Fig. 9 do not meet the IS-95 forward link standard ACPR, the spectrums are presented for comparison of the spectrum of the proposed bias-switching power amplifier to those of the fixed-bias power amplifiers. It shows that the proposed technique really works for the high-PAPR dynamic signal with a small ACPR degradation of approximately 2 dB, which is thought to be due to the delay and gain mismatch. VI. CONCLUSION A novel dynamic bias-switching scheme for a high-efficiency power amplifier has been suggested. Two voltage levels for the drain bias of the RF power amplifier have been generated using the combination of a class E dc/ac inverter and a class E rectifier with offset voltage. The design procedure for the high-speed class E dc/dc converter with offset voltage has also been presented. It has very high efficiency when its output is low due to a direct connection between its output and the offset voltage. In addition, when its output is high, the efficiency is also high because of its class E operations. As a consequence, it is possible to switch the drain bias of the RF power amplifier efficiently using only one dc power supply. In particular, the high efficiency at the low drain bias enables the overall efficiency of the RF power amplifier to be dramatically improved in high-PAPR systems. It is expected that this technique can offer a practical efficiency enhancement method for linear power amplifiers that is both simple and inexpensive. REFERENCES [1] F. H. Rabb, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic´ , N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002.

696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[2] G. Hanington, P. F. Chen, P. M. Asbeck, and L. E. Larson, “Highefficiency power amplifier using dynamic power-supply voltage for CDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1471–1476, Aug. 1999. [3] L. R. Kahn, “Single sideband transmission by envelope elimination and restoration,” Proc. IRE, vol. 40, no. 7, pp. 803–806, Jul. 1952. [4] F. H. Rabb, B. E. Sigmon, R. G. Myers, and R. M. Jackson, “L-band transmitter using Kahn EER technique,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2220–2225, Dec. 1998. [5] H. Raab, “Intermodulation distortion in Kahn-technique transmitters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2273–2278, Dec. 1996. [6] C. Buoli, A. Abbiati, and D. Riccardi, “Microwave power amplifier with envelope controlled drain power supply,” in Proc. 25th Eur. Microw. Conf., Sep. 1995, pp. 31–35. [7] A. Khanifar, N. Maslennikov, R. Modina, and M. Gurvichm, “Enhancement of power amplifier efficiency through dynamic bias switching,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 2047–2050. [8] M. K. Kazimierczuk and J. Józ´ wik, “Resonant DC/DC converter with class-E inverter and class-E rectifier,” IEEE Trans. Ind. Electron., vol. 36, no. 4, pp. 468–478, Nov. 1989. [9] W. H. Cantrell and W. A. Davis, “Amplitude modulator utilizing a high-Q class-E DC–DC converter,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 1721–1724. [10] M. K. Kazimierczuk, “Analysis of class E ZVS rectifier,” IEEE Trans. Circuits Syst., vol. 37, no. 6, pp. 747–755, Jun. 1990. Young-Sang Jeon (S’03–M’05) received the B.S., M.S., and Ph.D. degrees from Seoul National University, Seoul, Korea, in 1998, 2000, and 2007, respectively, all in electrical engineering. From 2000 to 2001, he was an Administrative Official with the Ministry of Government Administration and Home Affairs (MOGAHA), Seoul, Korea. Since 2001, he has been with the Korean Intellectual Property Office (KIPO), Daejeon, Korea, where he is currently a Patent Examiner. His research interests include high-efficiency linear power amplifiers and wireless communication systems.

Jukyung Cha received the B.S. degree in electrical engineering from Soongsil University, Seoul, Korea, in 2004, and is currently working toward the M.S. degree at Seoul National University, Seoul, Korea. His research interests include high-efficiency linear power amplifiers.

Sangwook Nam (S’87–M’88) received the B.S. degree from Seoul National University, Seoul, Korea, in 1981, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Seoul, Korea, in 1983, and the Ph.D. degree from the University of Texas at Austin, in 1989, all in electrical engineering. From 1983 to 1986, he was a Researcher with the Gold Star Central Research Laboratory, Seoul, Korea. Since 1990, he has been a Professor with the School of Electrical Engineering and Computer Science, Seoul National University. His research interests include analysis/design of electromagnetic (EM) structures, antennas, and microwave active/passive circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

697

Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm Feng Xu, Member, IEEE, Ke Wu, Fellow, IEEE, and Wei Hong, Member, IEEE

Abstract—An equivalent resonant cavity model is proposed and developed for efficiently and accurately extracting the complex propagation constant of any arbitrary bounded and unbounded periodic guided-wave structures, which is known as a difficult eigenvalue problem with respect to a deterministic or -parameter-based field solver. In this study, this problem is formulated as a standard eigenvalue one, which is made possible by effectively translating the transmission distance-related attenuation part of complex propagation constant into a time-dependent damping factor. This allows the development of an equivalent resonant cavity model to substitute or replace the periodic guided-wave model, leading to a complex frequency simulation model. As a result, the simulation time and storage requirement are then reduced significantly with this complex frequency approach. A finite-difference frequency-domain algorithm combined with this model is used to demonstrate the concept, and the properties of arbitrary complex closed/open periodic guided-wave structures are rigorously investigated. The proposed algorithm has been validated by both simulations and experiments. Index Terms—Equivalent resonant cavity model, finite-difference frequency-domain (FDFD) algorithm, large-scale standard eigenvalue problem, periodic guided-wave structure.

I. INTRODUCTION

T

HE determination and analysis of dispersion characteristics of a periodic guided-wave structure are critical for practical RF and microwave engineering design. In the development of slow-wave structures including backward-wave oscillators, corrugated antennas and antenna feeds, phase shifters and photonic bandgap, and artificially synthesized materials [1]–[10], various periodically loaded transmission lines have been studied and presented in the literature. Recent research in connection with substrate integrated circuits including substrate integrated waveguides is also concerned with a set of periodic structures that demand accurate analyses and efficient design methods [11]–[16]. Accurate prediction of guided-wave behavior of such periodic structures is an essential step toward any successful design of substrate integrated waveguide Manuscript received April 20, 2006; revised January 17, 2007. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada. F. Xu and K. Wu are with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]). W. Hong is with the Center for Computational Electromagnetics, State Key Laboratory of Millimeter Waves, Department of Radio Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893670

circuits and systems. It is known that a variety of numerical techniques have successfully been used to model and analyze periodic guided-wave structures. Those methods can generally be classified into two categories. One is to directly calculate the propagation constant at a given frequency. The other is to extract the eigenfrequency based on a given propagation constant. Unfortunately, the complication of open or unbounded periodic guided-wave structures results in the failure of most existing numerical methods as the complex propagation constant is involved. Among methods of the first category, the finite-difference frequency-domain (FDFD) method [17] can be used to analyze the open periodic guided-wave structures and calculate the attenuation and phase constants. However, a generalized eigenvalue problem must be introduced and a large number of meshes generally lead to a very long simulation time. As for methods of the second category, they cannot usually be used in an open or lossy case because it is difficult to simultaneously give two initial values (phase and attenuation constants) in order to calculate the eigenfrequency. To solve this bottleneck problem, a scheme is proposed and developed in this paper, which translates or reformulates the transmission distance-related attenuation constant into a time-dependent damping factor. Subsequently, an equivalent resonant cavity model is constructed to substitute the periodic guided-wave model. The size of the cavity model is equal to that of one periodic unit or cell. By means of a given propagation constant, one can set up the periodic boundary condition and calculate complex resonant frequency. From the calculated complex resonant frequency, two characteristic parameters can be obtained, namely, the eigenfrequency and the attenuation constant. In other words, the construction of such an equivalent resonant cavity model is able to effectively extend the application range of methods of the second category, as described above. If the guided-wave structure is lossless, the cavity model remains the same as that of methods of the second category. When this scheme is applied into the FDFD method, arbitrarily periodic guided-wave structures can be handled and a standard eigenvalue problem is always formulated. In contrast to previous FDFD counterparts [17], which usually led to a generalized eigenvalue problem, the new technique can significantly reduce both simulation time and storage size in an effective manner. II. EQUIVALENT RESONANT CAVITY MODEL Let us suppose the propagation direction of a guided wave is in the -direction, as shown in Fig. 1(a). According to the Flo-

0018-9480/$25.00 © 2007 IEEE

698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

The following are then obtained:

(5a) (5b) The generalized form of time-varying Maxwell differential equations is written by (6a) (6b) Substituting (5) into (6) yields Fig. 1. Models of periodic guided-wave structure. (a) Building blocks of infinite periodic guided-wave structure, the guided-wave travels towards the z -direction. (b) Single-period unit model, which travels towards the z -direction by a group velocity v . (c) Static single-period unit model.

quet’s theorem for periodic structure [1], the electric and magnetic fields of a periodic guided wave can be formulated by

(1a) (1b) is where is the complex propagation constant the attenuation constant, and is the phase constant. and are periodic field functions of . It is a well-known fact that the Floquet’s theorem allows us to model this guided-wave problem with one periodic unit. As the propagation speed of guided-wave energy equals to the group velocity, the simulation model can be reduced to a single-period unit, which travels or moves towards the -direction at a group velocity, whose attenuation constant is and phase constant is , as shown in Fig. 1(b). Moreover, this model can equivalently be regarded as a static single-period unit whose energy decreases with time , as depicted in Fig. 1(c). Obviously, the model in Fig. 1(a) and the model in Fig. 1(c) are completely equivalent. In other words, the model in Fig. 1(c) can be made use of to resolve such a periodic guided-wave problem, as described in Fig. 1(a). The model in Fig. 1(c) is, in fact, like a resonant cavity so this scheme is called the equivalent resonant cavity model. For the cavity model, the electric and magnetic fields are expressed as

(2a)

(7a) (7b) can also be obtained from From (7), field components the Maxwell differential equations. It is known from the Floquet’s theorem [1] that and are periodic functions of , as discussed earlier. By means of (4), the periodic boundary conditions can be set up for in the -direction. After having formulated other boundary conditions, the equivalent resonant cavity model can be established for any periodic guided-wave structures. Before carrying out a simulation, one should specify an initial or starting value of phase constant to complete the setting up of the periodic boundary conditions, and then the complex eigenfrequency is calculated, which contains two wanted characteristic parameters: the eigenfrequency and attenuation constant. III. FDFD ALGORITHM Although the proposed approach is applicable to a general anisotropic type of periodic guided structure of arbitrary geometry, the following derivation will be limited to the case of electric anisotropic media with a diagonal dielectric constant tensor for simplicity of illustration. From (7), the scalar differential equations of the equivalent resonant cavity model are ig

(8a) (8b) (8c)

(2b) (9a) Let us suppose (3) (4a) (4b)

(9b) (9c)

XU et al.: EQUIVALENT RESONANT CAVITY MODEL OF ARBITRARY PERIODIC GUIDED-WAVE STRUCTURES

699

real part of the complex frequency should be used to calculate the group velocity, which is further used in conjunction with the imagine part of the complex frequency to calculate the attenuation constant. If the guided-wave of interest is a quasi-TEM wave over a certain range of frequency, i.e., the phase velocity , it is convenient to directly obtain the attenuation constant by the following equation:

(16)

Fig. 2. Yee mesh representation of a single period.

The difference equation of (8a) can be derived as

(10) Corresponding difference equations of the remaining equations (8) and (9) are similar to (10), which, for brevity, are not given here. As shown in Fig. 2(a), the periodic boundary conditions of the equivalent resonant cavity model are formulated as follows by (11) according to the Floquet’s theorem and (4) if the periodic length is discretized into segments along the -direction:

Matrix is generally of a large-scale sparse. The number of its nonzero elements is 5 , as suggested from (8)–(10), if it is an matrix. The speed of calculation can significantly be enhanced by using an implicitly restarted Arnoldi (IRA) method [18]–[22] in extracting the eigenvalues of matrix. The Arnoldi method [23] is an efficient procedure for approximating a subset of eigensystem of a large sparse matrix , which can be briefly described in the following. After steps, the algorithm produces an upper Hessenberg matrix of order . As such, the eigenvalues of this small matrix are used to approximate a subset of the eigenvalues of the original large matrix . The matrix is an orthogonal projection of onto a particular Krylov subspace, and the eigenvalues of are usually called Ritz values or Ritz approximations. This method builds up an orthogonal basis for the Krylov space

span

(usually chosen randomly). for generated by the vector After steps, the Arnoldi algorithm calculates a truncated factorization

(11a) (11b) where is the length of a single-period unit. Once all the boundary conditions are applied, the guidedwave problem is converted into a standard eigenvalue problem as follows: (12) . After the eigenvalues of where matrix are obtained, the eigenfrequency and attenuation constant derived from a given propagation constant can be written by (13)

(17)

(18) into upper Hessenberg form where . The vector is residual and orthogonal to the columns of . The eigenvalues (or Ritz values) of matrix will become eigenvalues of interest of matrix when those Ritz values converge. Obviously, the FDFD algorithm that was proposed in [17] can be significantly improved by introducing the equivalent resonator model and also using IRA techniques. The current algorithm, as described in this study, has certain particular advantages, namely, lower memory requirement, faster simulation speed, and higher simulation accuracy. The difference equations are especially much simpler compared to the previous algorithm [17]. Interestingly, this new algorithm also preserves the original framework of the Maxwell equations. in which

(14) IV. SIMULATIONS AND EXPERIMENTS

where (15) The complex eigenfrequency can be obtained by solving this eigenvalue problem. It can be seen from (14) and (15) that the

In order to demonstrate the proposed algorithm, an infinite rectangular waveguide periodically loaded by a series of lossy dielectric blocks is first considered in this study. As shown in Fig. 3, the width and height of the waveguide are mm and mm, respectively, and the period mm.

700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 3. Infinite rectangular waveguide loaded periodically by a series of lossy dielectric blocks. (a) Building blocks of infinite periodic guided-wave structure. (b) Single-period structure model.

Parameters of the lossy dielectric block are mm, and . Let us assume that there is only the dominant mode in the waveguide, which is the case for our structure. The transfer matrices of the first and the third parts of one period unit are

Fig. 4. Comparison of the propagation constants calculated for a waveguide filled with lossy dielectric blocks between the proposed FDFD algorithm and the analytical method.

(19a) (19b) where is the propagation constant of in an air-filled rectangular waveguide. Normalized impedance matrix of the second part (dielectric block) is

(20)

in a rectwhere is the complex propagation constant of angular waveguide filled with a lossy dielectric. and are the mode impedances with respect to and , respectively. By cascading the three parts, the transfer matrix of a periodic unit can be established such as with (21) According to the Floquet’s theorem, the following relations should be fulfilled: (22a) (22b) where is the complex propagation constant. Substituting (22) into (21), the characteristic equations are generated for and . The condition in which there are nonzero solutions in the equations is a vanishing determinant such as (23)

Fig. 5. Comparison of the attenuation constants of a waveguide filled with lossy dielectric blocks, which are calculated by the FDFD algorithm and the analytical method, as well as the relative errors of results obtained between the FDFD algorithm and analytical method.

The analytical result of complex propagation constant can then be evaluated by (24) In Figs. 4 and 5, the results for both phase and attenuation constants are shown, respectively, which are calculated by means of the proposed algorithm and the analytical method (24). In Fig. 5, the relative error % is also given for the attenuation constant calculated by the FDFD algorithm results and the analytical method . Good agreements can be observed for those comparisons from these figures. Let us now consider a structure of a substrate integrated waveguide, which is a bilaterally open periodic guided wave structure, as shown in Fig. 6. The structural parameters of this substrate integrated waveguide are selected as

XU et al.: EQUIVALENT RESONANT CAVITY MODEL OF ARBITRARY PERIODIC GUIDED-WAVE STRUCTURES

Fig. 6. Configuration of a substrate integrated waveguide structure synthesized using metallic via-hole arrays. (From [17].)

701

Let us assume and , respectively, and then use the FDFD algorithm to simulate the propagation characteristics of this substrate integrated waveguide. Fig. 7 depicts two groups of simulated attenuation constants in connection with and , respectively. Besides, a commercial software [Ansoft’s High Frequency Structure Simulator (HFSS)] is used to calculate the attenuation constants ( and ), which are also shown in Fig. 7. When the HFSS package is deployed, two substrate integrated waveguides of different length should be used from -parameters to model the guided-wave properties. In this scheme, a numerical calibration technique, as discussed in [16], is used to extract the phase and attenuation constants. Fig. 8 shows a comparison of the leakage-related attenuation constants obtained from measurements and simulations. In this study, two substrate integrated waveguides of different length are made and measured over the 15–25-GHz range. In this case, only the mode exists in those waveguides. The measured results related to the attenuation constant are extracted from the measured -parameters [16]. A good agreement between the measured and calibrated results can be observed. V. CONCLUSION

Fig. 7. Attenuation constants of SIW calculated by the FDFD algorithm and HFSS software when tan = 0 and tan  = 0:0012.

An equivalent resonant cavity model is proposed and demonstrated to simulate and analyze complex guided-wave characteristics of closed and open periodic structures in a more quick and accurate manner. The proposed resonant cavity model allows an effective extraction of the eigenfrequency and attenuation constant for a given phase constant for periodic structures of arbitrary geometry. This suggests that the application range of this type of algorithm would largely be extended. Combining the developed model with an efficient FDFD algorithm, one can model and investigate arbitrarily periodic guided-wave structures, including the given examples of the substrate integrated waveguide. It has been demonstrated that the new model can be used with any other numerical methods for efficient modeling of arbitrary lossy and lossless periodic guided-wave structures. REFERENCES

Fig. 8. Comparison of attenuation constants between measured data and simulated results.

mm, mm, mm, mm, and the dielectric loss tangent . In this case, only the dielectric and leakage losses are considered. The same locally conformed finite-difference meshes techniques, as described in [17], can be used to model curved surfaces.

[1] R. E. Collin, Field Theory of Guided Waves. New York: McGrawHill, 1960. [2] P. J. B. Clarricoats and M. I. Sobhy, “Propagation behavior of periodically loaded waveguides,” Proc. Inst. Elect. Eng., vol. 115, no. 5, pp. 652–661, May 1968. [3] J. B. Davies, F. A. Fernandez, and G. Y. Philipou, “Finite-element analysis of all modes in cavities with circular symmetry,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 11, pp. 1975–1982, Nov. 1982. [4] T. Itoh, G. Pelosi, and P. P. Silvester, Eds., Finite Element Software for Microwave Engineering. New York: Wiley, 1996. [5] Md. R. Amin, K. Ogura, H. Kitamura, K. Minami, T. Wannabe, Y. Carmel, W. Main, J. Weaver, W. W. Destler, and V. L. Granatstein, “Analysis of the electromagnetic waves in an overmoded finite length slow wave structure,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 815–822, Apr. 1995. [6] V. Radisic, Y. Qian, and T. Itoh, “Broad-band power amplifier using dielectric photonic bandgap structure,” IEEE Microw. Guided Wave Lett., vol. 8, no. 1, pp. 13–14, Jan. 1998. [7] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [8] J. Esteban and J. M. Rebollar, “Characterization of corrugated waveguides by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 937–943, Jun. 1991.

702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[9] H. Y. D. Yang, “Finite difference analysis of 2-D photonic crystals,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2688–2695, Dec. 1996. [10] S. Amari, R. Vahldieck, J. Bornemann, and P. Leuchtmann, “Spectrum of corrugated and periodically loaded waveguides from classical matrix eigenvalues,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 453–460, Mar. 2000. [11] A. Zeid and H. Baudrand, “Electromagnetic scattering by metallic holes and its applications in microwave circuit design,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1198–1206, Apr. 2002. [12] L. Yan and W. Hong, “Simulation and experiment on SIW slot array antenna,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [13] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [14] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [15] D. Deslandes and K. Wu, “Single-substrate integration technique of planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Feb. 2003. [16] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [17] F. Xu, Y. Zhang, W. Hong, K. Wu, and T. J. Cui, “Finite-difference frequency-domain algorithm for modeling guided-wave properties of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2221–2227, Nov. 2003. [18] D. C. Sorensen, “Implicit application of polynomial filters in a k -step Arnoldi method,” SIAM J. Matrix Anal. Applicat., vol. 13, pp. 357–385, 1992. [19] Y. Saad, “Variations on Arnoldi’s method for computing eigenelements of large unsymmetric matrices,” Linear Algebra Applicat., vol. 34, pp. 269–295, 1980. [20] R. B. Lehoucq and D. C. Sorensen, “Deflation techniques for an implicitly re-started Arnoldi iteration,” SIAM J. Matrix Anal. Applicat., vol. 17, pp. 789–821, 1996. [21] Y. Saad, Numerical Methods for Large Eigenvalue Problems. Manchester, U.K.: Manchester Univ. Press, 1992, ch. Algorithms and Architectures for Adv. Sci. Comput. [22] R. B. Morgan, “On restarting the Arnoldi method for large nonsymmetric eigenvalue problems,” Math. Comput., vol. 65, no. 215, pp. 1213–1230, Jul. 1996. [23] W. E. Arnoldi, “The principle of minimized iterations in the solution of the matrix eigenvalues problem,” Quart. Appl. Math., vol. 9, pp. 17–29, 1951. Feng Xu (M’05) was born in Jiangsu, China. He received the B.S. degree in radio engineering from Southeast University, Nanjing, China, in 1985, the M.S. degree in microwave and millimeter-wave theory and technology from the Nanjing Research Institute of Electronics and Technology, Nanjing, China, in 1998, and the Ph.D. degree in radio engineering from Southeast University, Nanjing, China, in 2002. From 1985 to 1996, he was with the Nanjing Research Institute of Electronics and Technology, where he conducted research in the areas of antenna and RF circuits design. Since 2002, he has been with the Poly-Grames Research Center, École Polytechnique, Montréal, QC, Canada, where he has been a Post-Doctoral Researcher and is currently a Research Associate. His current research interests include numerical methods for electromagnetic field problem and advanced microwave and millimeter-wave circuits and components.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique (University of Montréal), Montréal, QC, Canada. He also holds a Cheung Kong endowed chair professorship (visiting) with Southeast University, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China, and the City University of Hong Kong, Hong Kong. He has been the Director of the Poly-Grames Research Center. He has authored or coauthored over 515 referred papers and several books/book chapters. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of Electromagnetics Academy, Sigma Xi, and the URSI. He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He has served on the Editorial/Review Boards of many technical journals, transactions, and letters including being an editor and guest editor. He is currently the chair of the joint IEEE Chapters of Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS) in Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award.

Wei Hong (M’92) was born in Hebei Province, China, on October 24, 1962. He received the B.S. degree from the Zhenzhou Institute of Technology, Zhenzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, where he is currently a Professor with the Department of Radio Engineering. In 1993, 1995, 1996, 1997 and 1998, he was a short-term Visiting Scholar with the University of California at Berkeley and University of California at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering, inverse scattering and propagation, RF front-ends for mobile communications, and the parameters extraction of interconnects in very large scale integration (VLSI) circuits, etc. He has authored or coauthored over 200 technical publications. He authored Principle and Application of the Method of Lines (Southeast Univ. Press, 1993, in Chinese). He has served as a reviewer for many technique journals such as Proceedings of the IEE, Part H and Electronics Letters. Dr. Hong is a Senior Member of the Chinese Institute of Electronics (CIE). He has served as the reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the two-time recipient of the First-Class Science and Technology Progress Prize presented by the State Education Commission in 1992 and 1994, respectively. He was the recipient of the Fourth-Class National Natural Science Prize in 1991, and the Third-Class Science and Technology Progress Prize of Jiangsu Province. He was also the recipient of the Foundation for China Distinguished Young Investigators presented by the National Science Foundation (NSF) of China.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

703

An Alternative Algorithm for Both Narrowband and Wideband Lorentzian Dispersive Materials Modeling in the Finite-Difference Time-Domain Method Serkan Aksoy

Abstract—In this study, an alternative algorithm is proposed for modeling narrowband and wideband Lorentzian dispersive materials using the finite-difference time-domain (FDTD) method. Previous algorithms for modeling narrowband and wideband Lorentzian dispersive materials using the FDTD method have been based on a recursive convolution technique. They present two different and independent algorithms for the modeling of the narrowband and wideband Lorentzian dispersive materials, known as the narrowband and wideband Lorentzian recursive convolution algorithms, respectively. The proposed alternative algorithm may be used as a general algorithm for both narrowband and wideband Lorentzian dispersive materials modeling with the FDTD method. The second-order motion equation for the Lorentzian materials is employed as an auxilary differential equation. The proposed auxiliary differential-equation-based algorithm can also be applied to solve the borderline case dispersive electromagnetic problems in the FDTD method. In contrast, the narrowband and wideband Lorentzian recursive convolution algorithms cannot be used for the borderline case. A rectangular cavity, which is partially filled with narrowband and wideband Lorentzian dispersive materials, is presented as a numerical example. The time response of the electric field component is used to validate and compare the results. Index Terms—Cavity, finite difference time domain (FDTD), Lorentzian dispersive material.

I. INTRODUCTION ODELING OF electromagnetic wave propagation and field oscillation in the time domain depends upon an adequate description of the dispersive properties of investigated materials [1]. This problem has become the focus of increased interest [2]. Subsequently, two different approaches besides the -transform approach [3] have been proposed for the solution of the problems by the finite-difference time-domain (FDTD) method. The first approach supplements Maxwell’s equations with an appropriate motion equation for the polarization vector and solve the system of differential equations simultaneously. This is often referred to as the auxiliary differential equation approach, as one may consider the motion equation for the polarization vector to be an auxiliary differential equation [4]. A different version of the auxiliary differential equation may also be derived using the inverse Fourier transform of the constitutive relation when the material permittivity is a function of frequency

M

Manuscript received August 12, 2006; revised October 2, 2006. The author is with the Electronics Engineering Department, Gebze Institute of Technology, 41400 Cayirova, Gebze, Kocaeli, Turkey (e-mail: saksoy@gyte. edu.tr). Digital Object Identifier 10.1109/TMTT.2007.892808

[10]–[12]. The motion equation may be obtained directly within the framework of classical electromagnetics [6]. The second approach is based on the presentation of the polarization vector in a form of a convolution integral with an appropriate kernel. The latter characterizes all the dispersive properties of the material. This approach is known as a recursive convolution integral approach, which is implemented using the frequency-dependent FDTD method [7], [9]. Leaving aside the Fourier transformation, the convolution integral for the frequency-dependent FDTD method may be derived rigorously from the motion equation for the polarization vector for both Debye and Lorentzian media [13]. In a recent study, a new algorithm based on the recursive convolution is proposed for the FDTD modeling of Lorentzian media [14]. The authors have proposed two different and independent algorithms for the modeling of narrowband and wideband Lorentzian dispersive materials, known as the narrowband and wideband Lorentzian recursive convolution algorithms, respectively. The narrowband and wideband Lorentzian recursive convolution algorithms cannot be used as limiting cases of each other because the implementation of the wideband Lorentzian recursive convolution algorithm needs a real susceptibility function, but the implementation of the narrowband Lorentzian recursive convolution algorithm requires a complex susceptibility function in the FDTD modeling. Thus, the FDTD modeling of the narrowband and wideband Lorentzian recursive convolution algorithms require two different and independent algorithms. In this study, an alternative algorithm based on the auxiliary differential equation approach is described as a general algorithm for both narrowband and wideband Lorentzian dispersive materials since the FDTD treatment of the narrowband and wideband Lorentzian dispersive materials cannot be handled with the first-order motion equation [4], [5]. Moreover, while the narrowband and wideband recursive convolution algorithms cannot be applied for the borderline case, our proposed algorithm may also be used to solve borderline case dispersive problems. In Section II, the FDTD formulations of the narrowband and wideband recursive convolution algorithms are given and the difficulties presented by the borderline cases are described. In Section III, the FDTD formulation of the alternative auxiliary differential-equation-based algorithm is presented and the borderline case is evaluated. In Section IV, an example comprising a rectangular cavity, which is partially filled with the narrowband and wideband Lorentzian dispersive materials, is chosen

0018-9480/$25.00 © 2007 IEEE

704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

to illustrate the algorithm. The importance of the cavity problem for applications is discussed. The time response of the electric field component in the rectangular cavity is used for validation and comparison. Main results are listed in Section V.

indicates the real operator. By using where sive convolution algorithm may be written as

II. RECURSIVE CONVOLUTION ALGORITHM FOR THE NARROWBAND AND WIDEBAND LORENTZIAN DISPERSIVE MATERIALS

, the recur-

(7)

The Lorentzian dispersive materials may be modeled by the susceptibility function in the frequency domain given as

and the electric field update equation is given by

(1) where is assumed for the time dependence of the fields. In this case, the frequency-dependent dielectric permittivity may be written as

(8) where

and

are given as

(2) and are the infinite and static frequency dielectric where constants, respectively. represents the angular frequency, and and are the material parameters. In this case, the constitutive relation between the displacement field vector and the electric field vector may be written in the time domain as the following convolution integral [7]:

(9)

(3)

(10)

The frequency-dependent FDTD method is based on the discretization of this convolution integral with the appropriate kernel [7]. Lorentzian dispersive materials can be classified as narrowband or wideband according to the conditions and , respectively. The special case where is known as the borderline case [14]. A. Narrowband Lorentzian Recursive Convolution If one takes the inverse Fourier transform of the susceptibility function for , the time-domain susceptibility function may be written as (4) is the unit step function. Given that the recursive conwhere volution algorithm requires the exponential susceptibility function, the complex susceptibility function is defined as

It is apparent that the recursive convolution process for the narrowband Lorentzian dispersive material is in the complex plane, but the value of electromagnetic fields are real. B. Wideband Lorentzian Recursive Convolution If one takes the inverse Fourier transform of the susceptibility function for , the time-domain susceptibility function may be written as (11) is the unit step function. Given that the recursive conwhere volution algorithm requires the exponential susceptibility function, the real susceptibility function may be written in terms of the following recursive relations [14]:

(5) and then the following relationship will hold between the real and the complex susceptibility function [8]: (6)

(12)

AKSOY: ALTERNATIVE ALGORITHM FOR BOTH NARROWBAND AND WIDEBAND LORENTZIAN DISPERSIVE MATERIALS MODELING IN FDTD METHOD

and the electric fields update equation

705

is obtained. After rearrangement, the update equation for is obtained as follows:

(13) where

and

are given as follows: (17)

Using the constitutive relation update equation for may be arranged as

, the

(18) when

in (17) is substituted into (18), the equation for can be written as follows:

(14)

The recursive convolution process for the wideband Lorentzian dispersive dielectric material and the value of electromagnetic fields are both real. C. Borderline Case The borderline case corresponding to the condition cannot be treated by the narrowband and wideband recursive convolution algorithms due to the singularity in (4) and (11).

(19)

III. ALTERNATIVE ALGORITHM FOR THE NARROWBAND AND WIDEBAND LORENTZIAN DISPERSIVE MATERIALS The motivation of the proposed algorithm is to employ the auxiliary differential equation for the FDTD modeling of both the narrowband and wideband Lorentzian dispersive material. To this end, the second-order motion equation for both the narrowband and wideband Lorentzian materials is given by

(15) is the Polarization vector, where is the position vector, and and are the material parameters. If (15) is discretized in time,

(16)

does not have any constraints reThe update equation for garding its use with either narrowband or wideband Lorentzian dispersive materials or the borderline case. IV. NUMERICAL EXAMPLE A rectangular cavity partially filled with narrowband and wideband Lorentzian dispersive material is presented herein as a numerical example. The cavity is assumed to have perfectly electric conducting walls and its dimensions are 5 5 5 cm. The narrowband and wideband Lorentzian dispersive materials contained within also have rectangular shapes. The geometry of the partially filled cavity is shown in Fig. 1. The monochromatic source is used to excite the cavity as a soft electric dipole parallel to the -axis with a finite length cm. It is located in the middle of the – -plane at the coordinates , which may be chosen suitably for the excitation of the desired cavity modes. The operational fre-

706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 1. Geometry of the rectangular cavity, which is filled partially with the narrowband or wideband Lorentzian dispersive materials for TM cavity modes.

quency of the cavity was adjusted for the empty cavity mode. All the other mode excitations are straightforward. This cavity example was chosen due to its relevance to electromagnetic applications such as dampening of internal cavity oscillations, microwave cavity filters [14], and reverberation chambers [15]. The parameters of the narrowband Lorentzian dispersive dielectric material (a polymer composite with conducting fibers) were chosen as GHz, and the ratio . The parameters of the wideband Lorentzian dispersive dielectric material (a FR-4 fiberglass epoxy) were chosen as GHz, and the ratio [14]. The FDTD algorithm was run for time increments where was chosen for shorter runs and was chosen for longer runs. The ; ; coordinates represent the location and dimensions of the narrowband and wideband Lorentzian dispersive materials within the cavity. These were chosen as cm and cm. The observation point is located at cm. The 3-D computational domain was discretized by a uniform mesh with steps of , where corresponds to the wavelength in dielectric material. The time interval was chosen s. The most important key point here is about the resonance frequency of the narrowband and wideband Lorentzian dispersive materials . If one needs more accurate results when is greater than the operational frequency , it is advisable to choose the unit mesh dimension according to the value of , rather than external source frequency, in order to follow the time-domain material relaxation effect more precisely. The time responses of the component of the electric field for the narrowband Lorentzian recursive convolution algorithm and the proposed algorithm are shown in Fig. 2. The time responses of the component of the electric field for the wideband Lorentzian recursive convolution algorithm and our proposed algorithm are also shown in Fig. 3. It is observed that the results in Figs. 2 and 3 are in good agreement, providing evidence that the proposed alternative algorithm may be used in place of

Fig. 2. Time responses of the electric field z component for the narrowband Lorentzian recursive convolution (—) and the proposed algorithm (1 1 1).

Fig. 3. Time responses of the electric field z component for the wideband Lorentzian recursive convolution (—) and the proposed algorithm (1 1 1).

the narrowband and wideband recursive Lorentzian convolution algorithms. The time responses of the electric field component for the borderline case of the narrowband and wideband Lorentzian dispersive materials are given in Figs. 4 and 5, respectively. These are the artificial materials. Their material parameters were chosen similarly to the values given above for the narrowband and wideband Lorentzian dispersive material cases taking into account . Thus, the artificial narrowband and wideband materials for the borderline case may be considered for the numerical computation. The borderline case for the artificial narrowband Lorentzian dispersive material shows the decreasing of the electric field amplitude in comparison with the narrowband Lorentzian dispersive material in Fig. 4. However, in Fig. 5, the borderline case for the artificial wideband Lorentzian dispersive material shows a small increase in the electric field amplitude in comparison with the wideband Lorentzian dispersive material. These observations imply that the resonance frequency of the Lorentzian dispersive material may be interpreted as a “cutoff” frequency for the partially filled rectangular cavity. An issue worth consideration is the sequence of the proposed algorithm in the FDTD method. It is possible to show that there

AKSOY: ALTERNATIVE ALGORITHM FOR BOTH NARROWBAND AND WIDEBAND LORENTZIAN DISPERSIVE MATERIALS MODELING IN FDTD METHOD

Fig. 4. Time responses of the electric field z component for the narrowband Lorentzian (—) and the borderline case (1 1 1).

Fig. 5. Time responses of the electric field z component for the wideband Lorentzian (—) and the borderline case (1 1 1).

are two different sequence possibilities of the proposed algorithm. The first possibility is the discretization of the motion equation, followed by the discretization of Maxwell’s equations. The second possibility is based on the updated electric field equation directly with the constitutive relation. The FDTD update electric field equation is employed, and then the motion equation is discretized (as an inverse case of the first method). It may be observed that these two methods have similar programming efficiency in the sense of the accuracy of the FDTD results. V. CONCLUSION An alternative formulation for the time-domain modeling of both narrowband and wideband Lorentzian dispersive materials in the FDTD method has been proposed in this paper. The proposed algorithm is based on the auxiliary differential-equation technique. The second-order motion equation for the modeling of the Lorentzian dispersive material is employed as the auxiliary differential equation and is simultaneously solved with Maxwell’s equations. There are two main advantages of the proposed algorithm based on the auxiliary differential equation.

707

Firstly, the narrowband and wideband recursive convolution algorithms are two different algorithms and cannot be considered for the limiting cases of each other. The proposed algorithm may be used in place of both. Secondly, the narrowband and wideband recursive convolution algorithms cannot be applied to the borderline case due to the singularity appearing in the dielectric susceptibility functions, but the proposed algorithm may be applied to the borderline case without any limitations. Thus, in place of two different and independent recursive convolution algorithms for narrowband and wideband Lorentzian dispersive materials modeling with the FDTD method, a general algorithm based on the auxiliary differential equation is used to model Lorentzian dispersive materials with the FDTD method. A rectangular cavity partially filled with narrowband and wideband Lorentzian materials was chosen as an example due to its relevance in different applications such as reverberation chambers, dampening of internal oscillations, microwave material characterization, and cavity filters. In the borderline case, it has been shown that the resonance frequency of the Lorentzian dispersive material may be interpreted as a “cutoff” frequency for the partially filled rectangular cavity. The numerical results show that the previous recursive convolution algorithms and the results of our proposed algorithm are in good agreement. The proposed algorithm may be used for FDTD modeling of narrowband Lorentzian material, wideband Lorentzian material, as well as the borderline case with neither any extra considerations, nor limitations in the time domain. Moreover, because the proposed algorithm is based on the auxiliary differential equation approach, it has the following computational advantages: it is simpler than the recursive convolution approach and avoids the defining complex back-stored numbers to compute the convolutions recursively. It needs a lower number of floating-point operations since complex-number arithmetic is not used in the computational algorithm. REFERENCES [1] A. Taflove and S. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 2005. [2] F. Gardiol, “Comments about time domain techniques in electromagnetics,” IEEE AP-S Newslett., vol. 31, no. 4, pp. 36–37, Aug. 1989. [3] D. M. Sullivan, “Frequency-dependent FDTD methods using transforms,” IEEE Trans. Antennas Propag., vol. 40, no. 10, pp. 1223–1230, Oct. 1992. [4] T. Kashiwa, N. Yoshida, and I. Fukai, “The treatment of dispersive media by the FDTD method,” in IEEE AP-S Symp., May 1990, vol. 4, pp. 1656–1659. [5] T. Kashiwa, N. Yoshida, and I. Fukai, “A treatment by the finite-difference time-domain method of the dispersive characteristics associated with orientation polarization,” Trans. Inst. Electron. Inf. Commun. Eng. E, vol. E73, no. 8, pp. 1326–1328, 1990. [6] E. J. Rothwell and M. J. Cloud, Electromagnetics. New York: CRC, 2001. [7] R. J. Luebbers, F. Hunsberger, K. S. Kunz, R. B. Standler, and M. Schneider, “A frequency-dependent finite-difference time-domain formulation for dispersive materials,” IEEE Trans. Electromagn. Compat., vol. 32, no. 3, pp. 222–227, Aug. 1990. [8] R. J. Luebbers and F. Hunsberger, “FDTD for th-order dispersive media,” IEEE Trans. Antennas Propag., vol. 40, no. 11, pp. 1297–1301, Nov. 1992.

Z

N

708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[9] D. F. Kelley and R. J. Luebbers, “Piecewise linear recursive convolution for dispersive media using FDTD,” IEEE Trans. Antennas Propag., vol. 44, no. 6, pp. 792––797, , Jun. 1996. [10] R. M. Joseph, S. C. Hagness, and A. Taflove, “Direct time integration of Maxwell’s equations in linear dispersive media with absorption for scattering and propagation of femtosecond electromagnetic pulses,” Opt. Lett., vol. 16, no. 18, pp. 1412–1414, 1991. [11] M. Okoniewski, M. Mrozowski, and M. A. Stuchly, “Simple treatment of multi-term dispersion in FDTD,” IEEE Microw. Guided Wave Lett., vol. 15, no. 15, pp. 121–123, May 1997. [12] O. P. Gandhi, B. Q. Gao, and J. Y. Chen, “A frequency-dependent finite-difference time-domain formulation for general dispersive media,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 4, pp. 658–665, Apr. 1993. [13] S. Aksoy, M. Antyufeyeva, E. Basaran, A. A. Ergin, and O. Tretyakov, “Time-domain cavity oscillations supported by a temporally dispersive dielectric,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2465–2471, Aug. 2005. [14] M. Y. Koledinsteva, J. L. Drewniak, D. J. Pommerenke, G. Antonini, and K. Rozanov, “Wide-band Lorentzian media in the FDTD algorithm,” IEEE Trans. Electromagn. Compat., vol. 47, no. 2, pp. 392–399, May 2005.

[15] C. Rauscher, “Design of dielectric-filled cavity filters with ultrawide stopband characteristics,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1777–1786, May 2005. [16] C. L. Holloway, D. A. Hill, J. M. Ladbury, and G. Koepke, “Requirements for an effective reverberation chamber-unloaded or loaded,” IEEE Trans. Electromagn. Compat., vol. 48, no. 1, pp. 187–194, Feb. 2006. Serkan Aksoy was born in Bolu, Turkey, in 1974. He received the B.S. degree in electronics and communication engineering from Istanbul Technical University, Istanbul, Turkey, in 1996, and M.S. and Ph.D. degrees in electronics engineering from the Gebze Institute of Technology (GIT), Gebze, Kocaeli, Turkey, in 1999 and 2003, respectively. He is currently a Lecturer with the Electronics Engineering Department, GIT, and a Part-Time Researcher with The Scientific and Technological Research Council of Turkey (TUBITAK). His scientific interests focus on analytical time-domain analysis of acoustic and electromagnetic wave oscillation and propagation in cavities and waveguides.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

709

A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length Masa Asahara, Member, IEEE, Charles F. Campbell, Senior Member, IEEE, and William R. Frensley, Fellow, IEEE

Abstract—In monolithic-microwave integrated-circuit design, a metal–insulator–metal (MIM) capacitor is one of the key passive components. Some commonly used MIM capacitor models are optimized for series capacitor applications. These conventional models, however, face a challenge as a need for a shunt capacitor application arises. This paper is a solution provider, ushering in a new approach to modeling a shunt capacitor of large electrical length over grounding substrate vias. Our model is derived from a set of design equations that allows asymmetric coupled lines in an inhomogeneous medium to be approximated to symmetric coupled lines in a homogeneous medium. Here we gain a theoretical insight into the rationale behind this approximation. The new approach benefits from: 1) a four-port implementation providing two connections to top and bottom plates and 2) a drastic reduction in mathematical complexity without trading off accuracy or compatibility. Circuit and electromagnetic simulations has proven to be in good agreement with measurements of a test structure of electrical length 558 at 50 GHz. Index Terms—Metal–insulator–metal (MIM) capacitor.

I. INTRODUCTION METAL–INSULATOR–METAL (MIM) capacitor is one of the key passive components used for building monolithic-microwave integrated-circuit (MMIC) products. A model commonly used for series MIM capacitor applications is the distributed model [1]. Mellberg and Stenarson [2] surveyed some existing models, including the symmetric coupled-lines model proposed by Shimon et al. [3] and the line–capacitor–line model, applied them to series capacitors of small electrical length, where the effect of lossy media is negligibly small, and confirmed that these models yielded results in good agreement with measured data. A series capacitor has a near total transmission, i.e., a small magnitude, over the high frequency band [2]. A shunt MIM capacitor, on the other hand, is over grounding substrate vias and of large electrical length, where the need of incorporating the effect of lossy media into modeling arises. A

A

Manuscript September 6, 2006; revised January 7, 2007. M. Asahara is with Satellink Inc., Garland, TX 75042 USA (e-mail: [email protected]). C. F. Campbell is with the Texas Division, TriQuint Semiconductor, Richardson TX 75080 USA (e-mail: [email protected]). W. R. Frensley is with the Electrical Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892810

magshunt capacitor has a near total reflection, i.e., a large nitude, over the high-frequency band. With this background in mind, this paper will have an accurate modeling applied to a shunt capacitor-over-via structure of significant electrical length with the effect of lossy media incorporated. This study extends the precious one in terms of the following. 1) Derivation of the coupled-lines model: the theoretical implications of the coupled lines [2] are that asymmetric coupled lines in an inhomogeneous medium approximate to symmetric coupled lines in a homogeneous medium. The rationale behind this approximation is derived. 2) Four-port network: the benefit of the four-port network of the coupled-lines model is demonstrated by way of a practical MMIC amplifier. 3) Lossy media: the effects of conductor loss and dielectric loss are incorporated into the model. 4) Anomalous resonance: the line–capacitor–line model predicts an anomalous resonance [5] that does not occur physically. The coupled-lines model resolves the anomalous resonance issue. 5) Generic model: the coupled-lines model is the only generic model that works for shunt capacitor applications, backward compatible with series capacitor applications. II. MIM CAPACITOR PHYSICAL CHARACTERISTICS Illustrated in Fig. 1(a) is a cross-sectional view of a MIM capacitor, where the conductor spacing is orders of magnitude smaller than the conductor width , the conductor length , or the substrate thickness (1) Table I lists physical parameters of the MIM capacitor. III. IDEAL COUPLED-LINES MODEL A physical MIM capacitor is asymmetric in structure and is in an inhomogeneous medium. According to the solutions of the eigenvalue equations for generalized coupled lines [4], the eigenvalues, the phase velocities in this particular case, split up into the even- and odd-mode velocities. The eigenvectors are such that when voltages are symmetric, currents are not symmetric; when currents are antisymmetric, voltages are not antisymmetric. The even- and odd-mode impedances split up into the top plate impedance and into the bottom plate impedance.

0018-9480/$25.00 © 2007 IEEE

710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[see Fig. 1(d)]. The width same characteristic impedance of this stripline calculates to be [7] (2) where The characteristic impedance

and . can be written as (3)

where is the capacitance per unit length of the stripline [see Fig. 1(d)] and (4) is the phase velocity. Let the strip conductor of Fig. 1(d) be split up into the top half and into the bottom half in such a way that the coupled striplines of Fig. 1(e) are of the same capacitance per unit length as that of the original structure Fig. 1(a) as follows: (5) Fig. 1. Derivation of the coupled-lines model.

Solving this for the spacing

TABLE I PHYSICAL PARAMETERS OF THE MIM CAPACITOR

, (6)

The coupled striplines of Fig. 1(e) are symmetric in structure in a homogeneous medium with the strip conductor spacing orders of magnitude smaller than the ground plane spacing or the strip conductor width . For the evenmode excitation, a magnetic wall exists halfway between the strip conductors. The capacitance per unit length of either strip conductor to ground (the even-mode capacitance) approximates to . For the odd-mode excitation, an electric wall exists halfway between the strip conductors. The capacitance per unit length of either strip conductor to ground (the odd-mode capacitance) approximates to . Fig. 1(e) is modeled as the ideal symmetric coupled lines with the following characteristics: The coupled-lines model surveyed by [2] models the physical MIM structure as symmetric coupled lines in a homogeneous medium with a single set of the even-mode impedance, oddmode impedance, and phase velocity. A theoretical rationale behind asymmetric coupled lines in an inhomogeneous medium reducing to symmetric coupled lines in a homogeneous medium is not immediately obvious, and is to be investigated.

(7) (8) (9) is the even-mode characteristic impedance, Here, is the odd-mode characteristic impedance, is the electrical length, and is the frequency.

A. Equivalent Coupled Striplines Consider a MIM capacitor illustrated in Fig. 1(a). Let the top and bottom plates be stacked up together to form a microstrip line [see Fig. 1(b)]. Since the substrate thickness is thin enough for the quasi-TEM mode to be satisfied, Fig. 1(b) is equivalent to Fig. 1(c) in a homogeneous medium of , where is the effective dielectric constant of the microstrip line. Let the characteristic impedance of the microstrip line be [see Fig. 1(b)]. Next consider a symmetric stripline structure with the

B. Simulations For the MIM capacitor of Fig. 1(a) and the coupled striplines of Fig. 1(e), consider the input impedance into one end of the top plate with respect to the other end of the bottom plate. Sine the conductors are tight in coupling, i.e., the mutual capacitance dominates over the top plate or bottom plate self-capacitance, the input impedance of the MIM capacitor of Fig. 1(a) is expected to be close to that of the coupled strip lines of Fig. 1(e).

ASAHARA et al.: NOVEL APPROACH TO MODELING MIM CAPACITORS OVER VIAS WITH SIGNIFICANT ELECTRICAL LENGTH

711

Fig. 3. Coupled-lines model applied to a two-stage microwave amplifier.

Fig. 2. (a) S response. (b) Error percentage of the coupled-lines model with respect to the distributed-capacitor model.

In order to confirm this, simulations were carried out for combinations of widths and m and lengths and m using the following three independent models: 1) distributed-capacitor model [1] applied to Fig. 1(a); 2) coupled striplines model [6] applied to Fig. 1(e) using the width of (2) and the spacing of (6); 3) coupled-lines model with the even- and odd-mode impedances of (7) and (8) and electrical length of (9). Plotted in Fig. 2(a) is the response using those three models. The error percentage of the coupled-lines model with respect to the distributed-capacitor model is approximately 2% [see Fig. 2(b)]. The coupled-lines model turns out to be functionally equivalent to the other two models. IV. APPLICATION OF THE IDEAL COUPLED-LINES MODEL A typical application of a shunt MIM capacitor is to a bypass or decoupling capacitor. Fig. 3 illustrates the way that shunt MIM capacitors are used for a two-stage MMIC amplifier; one is used for the source terminal bypass capacitor and the other one for the power supply decoupling capacitor. Notice the benefit of the four-port network of the coupled-lines model. Additional two-ports available for the bottom plate provide the source resistors and gate resistors with the ground connection through the nearest via. The effect of lossy media is to be incorporated into modeling of a shunt MIM capacitor with significant electrical length. In Fig. 4 the way that the coupled-lines model meets this need is

Fig. 4. Shunt MIM capacitor over two via-holes. (a) Circuit model. (b) Segmentation of the midsection of (a). (c) Single segment of (b).

illustrated. The midsection of length shown in Fig. 4(a), which is large in electrical length, needs to be divided into multiple segments. The segmentation is in such a way that the midsection is divided into segments in order to make the effect of lossy media as distributed as required [see Fig. 4(b)]. Each segment of length is modeled as two coupled-lines models cascaded in series with the conductor loss and dielectric loss connected in a lumped sense [see Fig. 4(c)]. Here, is the conductor resistance per unit length and is the GaAs substrate conductance per unit length m

(10) S m

(11)

where is the conductor conductivity, is the conductor width, is the frequency in radians/second, is the GaAs substrate thickness, is the GaAs dielectric constant, and is the loss tangent of GaAs. The conductance of an Si3N4 dielectric film is much smaller than that of the mutual capacitance (12)

712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 5. Test structure. (a) Top view. (b) Cross-sectional view. (c) Layout.

where is the loss tangent of an Si3N4 dielectric film. A rule-of-thumb is to require that a single segment shown in Fig. 4(c) not exceed 45 in electrical length. Solving for the minimum number of segments results in (13) where

is the frequency and

For m, the phase velocity is the phase error calculates to be

m/s so

deg GHz. Assuming at assessed to be .

(15)

m, the phase error is

is the phase velocity. B. Measurements and Simulations

V. INDEPENDENT VERIFICATIONS A shunt capacitor test structure over two grounding substrate vias was fabricated for on-wafer probed measurements, and was confirmed to be in agreement with the computer modeling. A. Test Structure Fig. 5(a) illustrates the top view of the shunt capacitor of - m wide and - m long over two grounding substrate vias away from the ends, Fig. 5(b) illustrates the cross-sectional view, and Fig. 5(c) illustrates the layout. The electrical length is 558 at 50 GHz. The capacitance is 338 pF. The viahole is 40 m in diameter, 27 m in thickness, and 13 pH in inductance. A pair of RF probes is onto the ground–signal–ground (GSG) pads going to the top plate of the structure through a microstrip launcher of 160- m long. The measurement system deembeds the -parameter data with a thru-reflect-line (TRL) calibration to the landed portion of the air-bridge [see Fig. 5(c)]. If the RF probe placement errors are m, this results in a phase error of rad

(14)

Fig. 6 plots the measurement data on top of electromagnetic and circuit simulations over a frequency up to 50 GHz. Fig. 6(a) shows the magnitude and Fig. 6(b) shows the phase. The magnitudes are off by approximately 0.1 dB or by 3% and the phase is off by or by 2%. The electromagnetic and circuit simulations demonstrated good agreement with the measurements. Fig. 7 shows of the coupled-lines model of Fig. 6 in a Smith chart. The large of the shunt capacitor, as opposed to a small (a large ) of series capacitors, at higher frequencies explains itself as follows. In the high-frequency range of GHz GHz, the reactance of the via is no larger than and the capacitive coupling of the top and bottom plates is tight, i.e., ; the shunt capacitor is reduced to an excellent short circuit, resulting in the near unity magnitude of . To find the number of segments to divide the midsection of Fig. 5 into, plug m GHz and m s into (13); results. Fig. 8 plots the effects of on the simulation with measured data as a

ASAHARA et al.: NOVEL APPROACH TO MODELING MIM CAPACITORS OVER VIAS WITH SIGNIFICANT ELECTRICAL LENGTH

713

Fig. 8. Effects of the number of segments.

Fig. 6. Measurements on top of circuit and electromagnetic simulations. (a) Magnitude response. (b) Phase response.

Fig. 9. Anomalous resonance predicted by the line–capacitor–line model.

traced back to the lumped capacitor growing dominant in the low-frequency range, introducing an excess phase shift into the electrical length of the structure. A thorough root cause analysis is delegated to [5]. VI. CONCLUSIONS AND SUMMARY This paper was a significant extension of a previous study, culminating in a theoretical insight into, and practical applications of, the coupled-line model. We have paved the way for a generic approach to modeling MIM capacitors over multiple vias. Incorporating this new approach into computer-aided design (CAD) tools would make a significant contribution to MMIC design. ACKNOWLEDGMENT

Fig. 7. Coupled-lines model of Fig. 6.

reference. This confirms that is numerous enough to accurately model the test structure. Fig. 9 shows the magnitude using the line–capacitor–line model with that using the coupled-lines model. Notice that the line–capacitor–line model behaves like a quarter-wave transformer at approximately 500 MHz. This does not occur physically [5]. The root cause of this anomalous resonance was

The authors would like to thank the many people involved with this study. In particular, the authors thank Dr. R. Lehmann, The University of Texas at Dallas, Richardson, and Dr. J. Carroll, Raytheon Company, Dallas, TX, for their helpful suggestions based on their experiences in the RF/microwave industry, and Dr. D. MacFarlane, Dr. M. Goeckner, Dr. C. Cantrell, and Dr. A. Blanchard, all with The University of Texas at Dallas, for their helpful comments. REFERENCES [1] J. P. Mondal, “An experimental verification of a simple distributed model of MIM capacitors for MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 403–408, Apr. 1987.

714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[2] A. Mellberg and J. Stenarson, “An evaluation of three simple scalable MIM capacitor models,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 169–172, Jan. 2006. [3] R. Shimon, D. Scherrer, D. Caruth, J. Middleton, H. Hsia, and M. Feng, “Accurate passive component models in coplanar waveguide for 50 GHz MMICs,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, pp. 769–772. [4] R. A. Speciale, “Even- and odd-mode waves for nonsymmetrical coupled lines in nonhomogeneous media,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 11, pp. 897–908, Nov. 1975. [5] M. Asahara, C. F. Campbell, and W. R. Frensley, “A generic, scalable model applicable to MIM capacitors of arbitrary electrical length,” in Compon. Semiconduct. IC Symp., San Antonio, TX, Nov. 2006, pp. 115–118. [6] S. B. Cohn, “Thickness corrections for capacitive obstacles and strip conductors,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 11, pp. 638–644, Nov. 1960. [7] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 153–156.

Masa Asahara (M’07) received the M.S.E.E. degree from Southern Methodist University, Dallas, TX, in 2002, and the Ph.D. degree from The University of Texas at Dallas, Richardson, in 2006. From 1984 to 2000, he was with Texas Instruments Incorporated Japan, where he designed integrated circuits (ICs) customized for display systems including LCD and DLP. Since 2007, he has been with Satellink Inc. Garland, TX, where he has been involved with the design and test of microwave low-noise amplifiers.

Charles F. Campbell (M’89–SM’06) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from Iowa State University, Ames, in 1988, 1991, and 1993, respectively. From 1993 to 1998, he was with Texas Instruments Incorporated, Dallas, TX, where he was involved with MMIC development and design. Since 1998, he has been with the Texas Division, TriQuint Semiconductor, Richardson, TX, where he has been Design Team Leader, Design Engineering Director, and most recently, Technical Fellow. His interests are compact high-power MMIC design techniques and device modeling.

William R. Frensley (M’78–SM’84–F’05) received the B.S. degree in physics from the California Institute of Technology, Pasadena, in 1973, and the Ph.D. degree in physics from the University of Colorado at Boulder, in 1976. His doctoral thesis concerned the theory of the electronic structure of semiconductor heterojunctions. He continued this research in a post-doctoral position with the University of California at Santa Barbara. In 1977, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he initially performed experimental and theoretical research on GaAs MESFETs. He contributed to the development of GaAs bipolar transistor ICs and more novel vertically structured GaAs FETs. In 1984, he joined Texas Instruments Incorporated’s Nanoelectronics Program, during which time he was involved with quantum-effect heterostructure devices and contributed to the conceptual design and evaluation of tunneling devices. As a part of this research, he developed several theoretical approaches to the simulation of tunneling devices including both rapid-response design aids and more comprehensive physical models. In 1990, he became a Professor in the Electrical Engineering Program of The University of Texas at Dallas, Richardson, where he has continued the development of simulation and design tools for heterostructure devices. From 1995 to 2000, he was Head of the Electrical Engineering Program. Dr. Frensley is a member of the American Physical Society. He was an associate editor of the IEEE TRANSACTIONS ON ELECTRON DEVICES from 1983 to 1986.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

715

Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation Fernando D. Quesada Pereira, Associate Member, IEEE, Vicente E. Boria Esbert, Senior Member, IEEE, Juan Pascual García, Student Member, IEEE, Ana Vidal Pantaleoni, Member, IEEE, Alejandro Alvarez Melcón, Member, IEEE, J. Luis Gomez Tornero, Member, IEEE, and Benito Gimeno, Member, IEEE

Abstract—In this paper, we propose to use the surface integral-equation technique for the analysis of arbitrarily shaped -plane obstacles in rectangular waveguides, which can contain both metallic and/or dielectric objects. The Green’s functions are formulated using both spectral and spatial images series, whose convergence behavior has been improved through several acceleration techniques. Proceeding in this way, the convergence of the series is not attached to the employment of any particular basis or test function, thus consequently increasing the flexibility of the implemented technique. In order to test the accuracy and numerical efficiency of the proposed method, results for practical microwave circuits have been successfully compared with other numerical approaches. Index Terms—Dielectric resonators, Green’s functions, integral equations (IEs), waveguide components, waveguide discontinuities.

I. INTRODUCTION HE ANALYSIS and design of rectangular waveguide devices based on inductive obstacles is a subject extensively treated in the technical literature due to their wide use in space and ground telecommunications applications [1]–[4]. For the analysis of these problems, equivalent-circuit techniques were successfully derived in the past [5]. More recently, full-wave mode-matching methods [6]–[8] have been successfully applied to cope with these types of problems. However, for design purposes, more efforts should be devoted in order to improve the numerical efficiency of such analysis techniques, while preserving accuracy issues at the same time. To reach this aim, the integral-equation (IE) technique can be considered as a good alternative analysis method.

T

Manuscript received March 31, 2006; revised December 20, 2006. This work was supported by the Ministerio de Educación y Ciencia, Spanish Government under the coordinated Research Project TEC2004/04313-C02, and by Fundación Séneca 02972/PI/05. F. D. Quesada Pereira, J. P. García, A. Alvarez Melcón, and J. L. Gomez Tornero are with the Communications and Information Technologies Department, Universidad Politcénica de Cartagena, Cartagena E-30202, Spain (e-mail: [email protected]). V. E. Boria Esbert and A. Vidal Pantaleoni are with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, Valencia E-46022, Spain (e-mail: [email protected]). B. Gimeno is with the Departamento de Física Aplicada y Electromagnetismo, Universidad de Valencia, Valencia E-46100, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893673

For instance, in [9], an IE method is used to derive equivalent circuits for a single metallic inductive square post. The analysis of an arbitrary number of metallic posts inside rectangular waveguides has also been the subject of other classical studies [10]–[12], where different IE approaches were successfully proposed. In these methods, the parallel-plate waveguide (PPW) Green’s functions were used to reduce the numerical solution of the inductive problem. However, the PPW Green’s functions consists of slowly convergent infinite series either in the spatial or in the spectral domain. To accelerate the convergence rate of such series, the Kummer’s transformation was applied in [10] and [12], whereas particular choices of basis functions were successfully proposed in [11]. Nevertheless, the flexibility on the kind of geometries that could be treated in all such studies was widely reduced. Later on, several extensions of previous IE methods for dealing with multiple dielectric obstacles were reported. For instance, in [13], a volume IE method solved by the method of moments (MoM) was successfully applied to the analysis of multiple dielectric posts. Bandpass and band-rejection filters based on two dielectric posts were fully designed in [14]. More recently, the IE technique was proposed for dealing with cavity filters loaded with dielectric and metallic posts [15], [16]. IE techniques have also been proposed for the study of multilayered media shielded planar printed circuits [17]. Although these techniques are efficient for planar metallizations, they become very complex when currents are directed along the stratified axis. Therefore, they are inappropriate for the study of inductive waveguide components. All these efforts suggest that further research on improving the flexibility and numerical efficiency of IE-based methods is needed for computer-aided design (CAD) purposes. In this paper, the authors propose a very efficient surface IE technique for the accurate analysis of arbitrarily shaped inductive waveguide structures, which can be composed of any number of conducting and/or dielectric obstacles. A detailed study of the convergence behavior of both spatial and spectral domain series is presented, and new improvements regarding the fast evaluation of the PPW Green’s functions and their spatial derivatives are proposed. This new approach allows the use of triangular functions in the MoM solution of the corresponding IEs, thus increasing the accuracy of the final results, as well as the complexity of the geometries that can be considered. Several waveguide devices of great practical interest, such as rod

0018-9480/$25.00 © 2007 IEEE

716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 1. Typical inductive geometry considered in this paper, which contains metallic and dielectric obstacles.

microwave filters and dielectric loaded filters including mechanization effects (i.e., the typical rounded corners effects), have been successfully considered for verification purposes.

Fig. 2. Source and observer points locations in a PPW used in the convergence study (a= = 0:75). TABLE I VALUES OF THE PARAMETERS IN (1)–(4) FOR ALL RELEVANT GREEN’S FUNCTIONS COMPONENTS

II. THEORY Conducting and dielectric inductive obstacles placed within a rectangular waveguide, as shown in Fig. 1, can be studied using a surface IE formulation [18]. Following this approach, two distinct, but coupled, problems are formulated. The first problem is called the external problem since it is formulated outside of the dielectric and metallic objects. The second problem is called the internal problem, since it is formulated inside of the dielectric objects. It is worth noticing that the internal problem does not need to be formulated inside the metallic objects since the fields are zero inside a perfect conductor region. For the treatment of the interior problem, the Green’s functions are formulated as simple Hankel functions of second kind [19], while for the exterior problem, the PPW Green’s functions will be used. The proposed IE technique is based on the imposition of the boundary conditions for the electromagnetic fields. For the conducting bodies, a zero tangent component for the electric field is enforced. For the dielectric materials, we impose the continuity of the tangential components of the total electric and magnetic fields across the surfaces. This leads to the so-called Poggio–Miller–Chang–Harrington–Wu–Tsu (PMCHWT) formulation [20]. The system of IEs is then numerically solved following the well-known Galerkin–MoM technique. In this study, subsectional triangular basis functions [18] are used. The use of triangular functions is easy with this formulation since the Green’s functions are directly obtained in the spatial domain. This strategy is useful for the analysis of complex shaped structures, and it is not possible in other spectral-domain approaches [11]. For numerical stability issues, the IEs are formulated using the mixed potential form. The mixing of the potentials leads to the need for the calculation of the spatial derivatives of the basic mixed potential components. As a consequence, the evaluation of the spatial derivatives of the Green’s functions cannot be avoided in this formulation. In order to speed up the numerical evaluation of all Green’s functions and derivatives, we will next study their convergence properties, and we will then derive a novel strategy for the efficient evaluation of the required series. A. PPW Green’s Functions Convergence The PPW Green’s functions in the structure shown in Fig. 2 can be formulated using the classical theory of images with respect to two parallel metallic ground planes [19]. In this case,

the scalar Green’s function is expressed with the following spatial image series:

(1) where (2a) (2b) is the sign function (see Table I), and is a constitutive parameter taking the values shown in Table I for the different Green’s function components. The spectral formulation of the PPW Green’s functions is related to the spatial image series through the Poisson’s summation formula [21] (3) denotes the Fourier transformation of . Applying where the above transformation, the spectral series takes the following general expression:

(4) where and are harmonic functions taking the form shown in Table I for the different Green’s functions components, and the Fourier transformation of the Hankel function is (5a)

(5b)

QUESADA PEREIRA et al.: EFFICIENT ANALYSIS OF ARBITRARILY SHAPED INDUCTIVE OBSTACLES IN RECTANGULAR WAVEGUIDES

717

TABLE II VALUES OF THE PARAMETERS IN (5) AND (7) FOR THE SPATIAL DERIVATIVES OF THE GREEN’S FUNCTIONS

Due to the exponential behavior of this last expression, the convergence of the modal series is fast for large distances. On the contrary, the spatial images series in (1) exhibits much slower convergence behavior. As it was introduced before, the spatial derivatives of the Green’s functions are needed in this formulation. When the spatial images formulation is used, the corresponding derivatives only affect the Hankel term of the Green’s function shown in (2a), which after simple calculations, give place to the following expressions:

Fig. 3. Convergence of the spectral-domain series after application of the Kummer’s transformation. Convergence of spatial-domain series are presented for comparison. Observer point at position A.

(6a) (6b) where now, the Hankel function of order 1 must be used inside the series representation of (1). From the above expressions, we can readily notice the additional convergence factor due to the distance from the source point to the observation point , which appears in the denominator. However, for the derivative along the -axis, this additional term is compensated with the factor in the numerator. In fact, when the images distance increases, the global term tends to 1. Therefore, convergence is only due to the first-order Hankel function. A different situation appears for the derivative along the -axis. In this case, the factor remains constant when the distance of the images is increased. Consequently, the additional term results in a very important convergence rate improvement. When similar derivatives are applied to the spectral representation of the series shown in (4), the following final expressions are obtained:

(7a)

(7b) where the spectral Green’s function is the same as in (5a), and the new harmonic functions change into the form shown in Table II for each corresponding component.

In this case, we can notice that convergence is degraded by the new spectral factors introduced by the derivatives. Therefore, a slower convergence rate is expected for the Green’s functions derivatives using the spectral formulation. In order to increase the convergence rate of the spectral series, the use of the Kummer transformation is proposed (see [10] and [22]). In the Appendix, we extend the Kummer formulation to all Green’s functions and their spatial derivative components that appear following the surface IE derived in this paper. Now we perform a convergence behavior study after application of the Kummer’s transformation to the spectral-domain formulation. In Figs. 3 and 4, we present the relative errors obtained for the basic Green’s function components when the observer point is located at positions and (see Fig. 2). If we compare the behavior with the image series, we observe that convergence rate is strongly improved for observer point . Now, even for the observer point (placed at the critical plane ), the spectral-domain series surpass largely the spatial images counterpart. By means of the employment of the Kummer’s transformation, a good convergence behavior can be obtained at all points of the geometry (relative errors below 10 are always obtained with less than 100 modes). To complete the study, we present in Figs. 5 and 6 similar convergence results, but now for the relevant spatial derivatives. With respect to the -spatial derivative, we observe in Fig. 5 that the images series exhibits an impressive convergence behavior (only 15 images are needed to obtain a relative error of 10 ). Therefore, the spatial images series is preferred for the -axis spatial derivative over the spectral representation. On the other hand, Fig. 6 shows that the Kummer technique is slightly better that the images series for the -axis spatial derivative evaluated at the critical point . In this case, a relative error of 10 can be obtained with 100 modes using the Kummer transformation. If lower errors are needed for a

718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 4. Convergence of the spectral-domain series after application of the Kummer’s transformation. Convergence of spatial-domain series are presented for comparison. Observer point at position B .

Fig. 6. Convergence of the spectral-domain spatial derivatives series after application of the Kummer’s transformation. Convergence of spatial-domain series for the derivatives are presented for comparison. Observer point at position B ; spatial derivatives with respect to the x-axis.

Fig. 7. Fourth-order dielectric loaded inductive filter. The dimensions are a = 19:05 mm, w = 2 mm, d = 4:0 mm,  = 2, h = 4:725 mm, h = 6:405 mm, h = 6:595 mm, l = 12:03 mm, l = 13:62 mm, m = 6:015 mm, m = 14:815 mm, and m = 15:600 mm, R = 2:5 mm.

Fig. 5. Convergence of the spectral-domain spatial derivatives series after application of the Kummer transformation. Convergence of spatial-domain series for the derivatives are presented for comparison. Observer point at position A; spatial derivatives with respect to the z -axis.

particular application, other acceleration techniques can still be used, such as the Ewald method [23]. However, the use of the Ewald technique for all Green’s function components and for all source–observer combinations is not recommended. Although the Ewald technique exhibits fast convergence behavior, it needs the evaluation of complementary error functions of complex arguments. The calculation of the complex complementary error function is slow so the overall computational cost is higher than with a clever combination of different techniques. From the above convergence study, we can conclude that the PPW Green’s functions and their spatial derivatives will be evaluated using the spectral representation of the series in combination with the Kummer transformation. This is the general rule,

except for the derivatives of the Green’s functions at points satisfying the condition . We have seen in Fig. 5 that, in this region, the images series converges very fast and it is, therefore, preferred over the Kummer technique. Using this novel strategy, a very efficient formulation of the surface IE has been implemented. III. RESULTS To show the validity and flexibility of the IE technique just presented, we have investigated two inductive microwave waveguide filters of practical interest. For comparative purposes, all CPU times included here have been obtained on a PC with a 3-GHz CPU processor. The first structure investigated is an inductively coupled rectangular waveguide filter with reduced dimensions, due to the use of dielectric posts inside the cavities (see Fig. 7). The typical rounded corners mechanization effects, attributed to low-cost milling manufacturing techniques, have also been considered (radius of curvature mm). The results are presented in Fig. 8, together with Ansoft’s High Frequency Structure Simulator (HFSS) results, showing very good agreement with our new IE technique. For the simulation of this structure, we have

QUESADA PEREIRA et al.: EFFICIENT ANALYSIS OF ARBITRARILY SHAPED INDUCTIVE OBSTACLES IN RECTANGULAR WAVEGUIDES

Fig. 8. Scattering parameters of the filter shown in Fig. 7 (load curves). Results for the filter without the posts are also included to show the loading effect of the posts.

719

Fig. 10. In-band response of the filter shown in Fig. 9. Results provided by HFSS are included for comparison.

TABLE III MINIMUM INSERTION LOSS WITHIN THE PASSBAND FOR DIFFERENT VALUES OF THE DIELECTRIC LOSS TANGENT

Fig. 9. Eighth-order evanescent rectangular waveguide filter composed of square dielectric posts. The dimensions are a = 28:5 mm, w = 1:935 mm, h = 8:825 mm,  = 44, l = 1:986 mm, l = 0:899 mm, l = 11:69 mm, l = 0:951 mm, l = 13:106 mm, l = 0:95 mm, l = 13:475 mm, l = 0:95 mm, and l = 13:56 mm, R = 2 mm.

used 178 basis functions, involving a computational effort of 0.98 s/frequency point. The results obtained with HFSS required a CPU time of 19.08 s/frequency point. Finally, we have designed an evanescent rectangular waveguide filter composed of rectangular lossy dielectric posts (see Fig. 9). Inside the below cutoff waveguide of this structure, eight square dielectric resonators are coupled by proximity. Rounded corners are also considered during the mechanization of the below cutoff waveguide section, as shown in Fig. 9 (radius of curvature mm). In Fig. 10, we can observe the scattering parameters in the passband of the filter for several values of the dielectric loss tangent. As expected, the losses in the dielectric resonators essentially affect the insertion loss of the filter. In Table III, we show the minimum insertion loss achieved inside the passband for different values of the dielectric loss tangent. It can be seen that the insertion loss can be kept below 0.5 dB if high-quality dielectric resonators are used with loss tangent values less than 0.0005. For validation purposes, we compare these results with the ones provided by the commercial software HFSS for the case of . A very good agreement is again obtained with the technique proposed in this paper. In particular, both numerical techniques predict exactly the same minimum insertion loss

). within the passband (2.1 dB for the case of For the analysis of this evanescent waveguide filter, we have used 340 basis functions for segmenting the whole geometry. Our software tool has only taken 2.6 s/frequency point to complete the analysis, whereas the commercial software HFSS did require 39.6 s/frequency point to obtain the results with a similar degree of accuracy. IV. CONCLUSION In this paper, a surface IE technique, based on PPW Green’s functions, has been employed for the accurate and efficient analysis of arbitrarily shaped inductive obstacles placed within rectangular waveguides. To speed up the analysis tool, a deep study on the convergence properties of the Green’s functions and their derivatives has been performed. Such study has led to a novel strategy, which combines the spatial series with the Kummer transformation applied to the spectral series. In this paper, several inductive filtering topologies of practical interest have been successfully studied using the new proposed technique.

APPENDIX KUMMER TRANSFORMATION FOR GREEN’S FUNCTIONS The Kummer transformation is based on the extraction of the asymptotic term of the series to be evaluated. Once extracted,

720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

the asymptotic term must be computed analytically. The extraction of the asymptotic term of the spectral series for the magnetic vector potential Green’s function can be found in [22]. For the formulation presented in this study, we also need to accelerate the magnetic scalar potential Green’s function shown in Table I. After some manipulations, the asymptotic term can be obtained in closed form as follows:

(8a)

(8b) In [22], the Kummer transformation is also applied to calculate some of the derivatives needed in the current formulation, as shown in Table II. In particular, in [22], we find the asymptotic terms for the and derivatives of the magnetic vector . Using the current formulation, we also need potential to evaluate in closed form the asymptotic term for the deriva. With the results tive of the magnetic scalar potential presented in [22] this is a simple task, leading to

(9a)

(9b) The asymptotic terms required in our formulation are now analytically evaluated using the expressions shown in (8) and (9), together with those given in [22]. ACKNOWLEDGMENT The authors would like to thank Alcatel Alenia Space, Madrid, Spain, for providing specifications of the dielectric loaded evanescent waveguide filter. REFERENCES

[1] Y.-C. Shih, “Design of waveguide E -plane filters with all metal inserts,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 7, pp. 695–704, Jul. 1984. [2] G. Conciauro, P. Arcioni, M. Bressan, and L. Perregrini, “Wideband modeling of arbitrarily shaped H -plane waveguide components by the ‘boundary integral-resonant mode expansion method’,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1057–1066, Jul. 1996. [3] M. Guglielmi, P. Jarry, E. Kerherve, O. Roquebrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1764–1769, Oct. 2001. [4] V. E. Boria, M. Bozzi, D. Camilleri, A. Coves, H. Esteban, B. Gimeno, M. Guglielmi, and L. Polini, “Analysis and design of all-inductive filters with dielectric resonators,” in 33rd Microw. Conf., Munich, Germany, Oct. 7–9, 2003, vol. 3, pp. 1247–1250. [5] T. Toyama and E. Sawado, “Functionals in the variational method applied to equivalent impedance matrix of metallic posts unsymmetrically positioned in a rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 8, pp. 1655–1660, Aug. 1992.

[6] R. R. Mansour and R. H. Macphie, “An improved transmission matrix formulation of cascaded discontinuities and its application to E -plane circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1490–1498, Dec. 1986. [7] J. M. Reiter and F. Arndt, “Rigorous analysis of arbitrarily shaped H - and E -plane discontinuities in rectangular waveguides by a fullwave boundary contour mode-matching method,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 796–801, Apr. 1995. [8] H. Esteban, S. Cogollos, V. Boria, A. A. San Blas, and M. Ferrando, “A new hybrid mode-matching/numerical method for the analysis of arbitrarily shaped inductive obstacles and discontinuities in rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1219–1224, Apr. 2002. [9] T. Rozzi, F. Moglie, A. Morini, W. Gulloch, and M. Politi, “Accurate fullband equivalent circuits of inductive posts in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 5, pp. 1000–1009, May 1992. [10] Y. Leviatan, P. G. Li, A. T. Adams, and J. Perini, “Single post inductive obstacle in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 10, pp. 806–812, Oct. 1983. [11] P. G. Li, A. T. Adams, Y. Leviatan, and J. Perini, “Multiple post inductive obstacles in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 365–373, Apr. 1984. [12] H. Auda and R. F. Harrington, “Inductive posts and diaphragms of arbitrary shape and number in a rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 6, pp. 606–613, Jun. 1984. [13] C.-I. G. Hsu and H. A. Auda, “Multiple dielectric posts in a rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 8, pp. 883–891, Aug. 1986. [14] R. Gesche and N. Löchel, “Two cylindrical obstacles in a rectangular waveguide-resonances and filter applications,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 6, pp. 962–968, Jun. 1989. [15] F. Alessandri, M. Chiodetti, A. Giugliarelli, D. Maiarelli, G. Martirano, D. Schmitt, L. Vanni, and F. Vitulli, “The electric-field integral-equation method for the analysis and design of a class of rectangular cavity filters loaded by dielectric and metallic cylindrical pucks,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1790–1797, Aug. 2004. [16] V. Catina, F. Arndt, and J. Brandt, “Hybrid surface integral-equation/ mode-matching method for the analysis of dielectric loaded waveguide filters of arbitrary shape,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3562–3567, Nov. 2005. [17] G. V. Eleftheriades, J. R. Mosig, and M. Guglielmi, “A fast integral equation technique for shielded planar circuits defined on nonuniform meshes,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2293–2296, Dec. 1996. [18] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ: IEEE Press, 1998, p. 451. [19] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [20] A. J. Poggio and E. K. Miller, Integral Equation Solutions of ThreeDimensional Scattering Problems. Oxford, U.K.: Pergamon, 1973. [21] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1991. [22] Y. Leviatan and G. S. Sheaffer, “Analysis of inductive dielectric posts in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 1, pp. 48–59, Jan. 1987. [23] F. Capolino, D. R. Wilton, and W. A. Johnson, “Efficient computation of the 2-D Green’s function for 1-D periodic structures using the Ewald method,” IEEE Trans. Antennas Propag., vol. 53, no. 9, pp. 2977–2984, Sep. 2005. Fernando D. Quesada Pereira (S’05–A’07) was born in Murcia, Spain, in 1974. He received the Telecommunications Engineer degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2000, and is currently working toward the Ph.D. degree at the Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain. In 1999, he joined the Radiocommunications Department, UPV, as a Research Assistant, where he was involved in the development of numerical methods for the analysis of anechoic chambers and tag antennas. In 2001, he joined the Communications and Information Technologies Department, UPCT, initially as a Research Assistant, and then as an Assistant Professor. In 2005, he spent six months as a Visiting Scientist with the University of Pavia, Pavia, Italy. His current scientific interests include IE numerical methods for the analysis of antennas and microwave devices.

QUESADA PEREIRA et al.: EFFICIENT ANALYSIS OF ARBITRARILY SHAPED INDUCTIVE OBSTACLES IN RECTANGULAR WAVEGUIDES

Vicente E. Boria Esbert (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where since 2003 he has been a Full Professor. In 1995 and 1996, he was held a Spanish Trainee position with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored five chapters in technical textbooks, 40 papers in refereed international technical journals, and over 100 papers in international conference proceedings. His current research interests include numerical methods for the analysis of waveguide and scattering structures, automated design of waveguide components, radiating systems, measurement techniques, and power effects (multipactor and corona) in waveguide systems. Dr. Boria Esbert is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE MTT-S International Microwave Symposium (IMS) and of the European Microwave Conference. He was the recipient of the 2001 Social Council of Universidad Politécnica de Valencia First Research Prize for his outstanding activity during 1995–2000. Juan Pascual García (S’05) was born in Castellón, Spain, in 1975. He received the Telecommunications engineer degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2001. In 2003, he joined the Communications and Information Technologies Department, Universidad Politcénica de Cartagena (UPCT), Cartagena, Spain, where he is currently working toward the Ph.D. degree. His research interests include neural networks, genetic algorithms, and their applications in the analysis and development of a CAD tool for microwave circuits and antennas. Ana Vidal Pantaleoni (M’01) was born in Valencia, Spain, in 1970. She received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993. In 1993, she spent one year with the University of Strathclyde, Glasgow, U.K., under the Erasmus international exchange program. In 1993, she was involved in broadband communications development in the main research center of Telecom Portugal. She then became a Research Assistant with the Universidad Politécnica de Valencia. In 1995 and 1996, she held a Spanish Trainee position with the European Space research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, where she was involved in the study and implementation of software for synthetic aperture radar (SAR) image processing. In 1996, she returned to the Universidad Politécnica de Valencia, where she held several lecturing positions, and became an Associate Professor in 2001. Her current interests are SAR data processing, SAR speckle noise reduction, and numerical methods for microwave structures analysis including the wavelet transform. Alejandro Alvarez Melcón (M’99) was born in Madrid, Spain, in 1965. He received the Telecommunications Engineer degree from the Polytechnic University of Madrid (UPM), Madrid, Spain, in 1991, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1998. In 1988, he joined the Signal, Systems and Radiocommunications Department, UPM, as a Research Student, where he was involved in the design, testing, and measurement of broadband spiral

721

antennas for electromagnetic measurements support (EMS) equipment. From 1991 to 1993, he was with the Radio Frequency Systems Division, European Space Agency (ESA/ESTEC), Noordwijk, The Netherlands, where he was involved in the development of analytical and numerical tools for the study of waveguide discontinuities, planar transmission lines, and microwave filters. From 1993 to 1995, he was with the Space Division, Industry Alcatel Espacio, Madrid, Spain, where he was involved with the ESA and collaborated in several ESA/ESTEC contracts. From 1995 to 1999, he was with the Swiss Federal Institute of Technology, École Polytechnique Fédérale de Lausanne, Lausanne, Switzerland, where he was involved in the field of microstrip antennas and printed circuits for space applications. In 2000, he joined the Universidad Politcénica de Cartagena (UPCT), Cartagena, Spain, where he currently develops his teaching and research activities. Dr. Alvarez Melcón was the recipient of the Journée Internationales de Nice sur les Antennes (JINA) Best Paper Award for the best contribution to the JINA’98 International Symposium on Antennas, and the Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award for the best doctoral thesis in basic information and communication technologies.

José Luis Gómez Tornero (M’06) was born in Murcia, Spain, in 1977. He received the Telecommunications Engineer degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2001, and the Ph.D. degree (laurea cum laude) in telecommunication engineering from the Universidad Politcénica de Cartagena (UPCT), Cartagena, Spain, in 2005. In 1999, he joined the Radiocommunications Department, UPV, as a Research Student, where he was involved in the development of analytical and numerical tools for the study and automated design of microwave filters in waveguide technology for space applications. In 2000, he joined the Radio Frequency Division, Industry Alcatel Espacio, Madrid, Spain, where he was involved with the development of microwave active circuits for telemetry, tracking, and control (TTC) transponders implicated in many different spatial missions for the European Space Agency (ESA), National Aeronautics Space Administration (NASA), and other space agencies. In 2001, he joined the UPCT, as an Assistant Professor, where he currently develops his teaching activities. Since October 2005, he has been Vice Dean for students and lecture affairs with the Telecommunication Engineering Faculty, UPCT. His scientific research is focused on the analysis and design of leaky-wave antennas for millimeter-waveband applications and the development of numerical methods for the analysis of novel passive radiating structures in planar and waveguide technologies. His scientific interests also include the study of active devices for microwave and millimeter wavebands such as oscillators and active antennas. Dr. Gómez Tornero was the recipient of the 2004 Second National Award presented by the EPSON-Ibérica Foundation for the best doctoral project in the field of technology of information and communications (TIC). He was also the recipient of the 2006 Vodafone Foundation Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award presented to the best Spanish doctoral thesis in the area of advanced mobile communications technologies.

Benito Gimeno (M’01) was born in Valencia, Spain, on January 29, 1964. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Valencia, Valencia, Spain, in 1987 and 1992, respectively. From 1987 to 1990, he was a Fellow with the Universidad de Valencia. Since 1990, he has been an Assistant Professor with the Departamento de Física Aplicada y Electromagnetismo, Universidad de Valencia, where in 1997 he became an Associate Professor. During 1994 and 1995, he was with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), as a Research Fellow. In 2003, he was with the Università degli Studi di Pavia, Pavia, Italy, as a Visiting Scientist for a three-month period. His current research interests include computer-aided techniques for analysis of passive components for space applications, waveguides, and cavities including dielectric objects, electromagnetic-bandgap structures, frequency-selective surfaces, and nonlinear phenomena appearing in power microwave subsystems (multipactor and corona effects). Dr. Gimeno was the recipient of a 2003 Spanish Government Fellowship.

722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Planar Models of Reconfigurable MEMS Circuits Paolo Arcioni, Senior Member, IEEE, Giuseppe Conciauro, Fellow, IEEE, and Matteo Repossi, Member, IEEE

Abstract—This paper presents a general procedure for the electromagnetic modeling of arbitrarily shaped reconfigurable planar circuits using RF microelectromechanical systems switches. In this model, the reconfigurable structure is represented by a linear time-invariant planar multiport, which is connected through internal ports to as many variable lumped-element circuits as the switches. Unlike conventional equivalent circuits, in this technique, the lumped elements represent only a small portion of the switch, and their values are not affected by the proximity of the switch to line discontinuities or to other switches. For this reason, the same values can be reused, with no substantial constraint, in the modeling of very different reconfigurable structures. For any type of switch, the lumped elements are deduced from the experimental or simulated frequency response of a simple prototype. The (arbitrarily shaped) environment of the switches is taken into account in the planar multiport, which is modeled by using very efficient 2-D electromagnetic simulators. Therefore, a lot of different geometries can be easily experimented and the corresponding frequency responses can be readily calculated for any set of the switch states. Index Terms—Co-simulation, electromagnetic modeling, RF microelectromechanical system (MEMS) switches.

I. INTRODUCTION HE USE of RF microelectromechanical systems (MEMS) switches as control devices in linear reconfigurable quasiplanar microwave circuits and antennas has been demonstrated for frequencies up to 110 GHz [1]–[4]. At such high frequencies, the circuit design requires the use of accurate models for the switches. Assuming that the switch is inserted in a uniform transmission line (microstrip, coplanar waveguide (CPW), etc.) of sufficient length, the switch can be modeled as a line discontinuity represented by a variable LRC circuit connected to the line [2], [5], [6]. In many cases, however, such a model is inaccurate because it does not account for interactions of the switch with adjacent line discontinuities or switches. Moreover, it cannot be used at all when the switch environment is not a transmission line. Some reconfigurable patch antennas [4] or compact circuit components [7] are examples of such situations.

T

Manuscript received May 31, 2006; revised December 19, 2006. This work was supported in part by the Ministero dell’Università e della Ricerca under the Programmi di Ricerca di Interesse Nazionale Contract 2004093035-003 and by the University of Pavia under a Fondo di Ateneo per la Ricerca Contract. P. Arcioni and G. Conciauro are with the Department of Electronics, University of Pavia, I-27100 Pavia, Italy (e-mail: [email protected]). M. Repossi was with the Department of Electronics, University of Pavia, I-27100 Pavia, Italy. He is now with the Studio di Microelettronica, STMicroelectronics, I-27100 Pavia, Italy. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893674

Fig. 1. Decomposition of a generic reconfigurable circuit into time-invariant and variable elements.

In these cases, a 3-D electromagnetic simulation of the whole reconfigurable circuit can be used to get rid of any geometrical and physical constraint and to carefully account for the geometry of the switches. However, such a global simulation is very cumbersome, especially in the optimized design of multistate components, where a new field analysis has to be carried out for each combination of the switch states and at any optimization step. In this paper, we present a new technique for the modeling of reconfigurable circuits including ohmic and/or capacitive switches. The switching effect is still determined by a variable LRC equivalent circuit, which, however, only represents the “core” of the switch, i.e., the small part of the movable structure that plays the essential role in the switch operation. The core is metallically connected to the rest of the switch and interacts with its environment only through this connection, all other coupling effects being negligible. Consequently, its equivalent circuit only depends on the geometrical and physical features of the core itself. For this reason, the LRC values can be deduced through the analysis of a simple prototype switch and they can be reused in the modeling of a large class of circuits having very different geometries, provided the features of the core are preserved. By using such a type of equivalent circuit, a generic reconfigurable planar component is represented by a (linear) time-invariant planar multiport (simply referred to as “multiport” in the following), connected through some internal ports to as many variable LRC circuits as the switches (Fig. 1). The multiport includes all planar metallizations and the parts of the switches not modeled by the LRCs. As a consequence of the separation of a reconfigurable component into independent parts (the time invariant and variable ones), a co-simulation technique can be used in the design of the component: an electromagnetic simulation is used to characterize the multiport, regardless of any constraint on its geometrical and physical features; a circuit technique is used to simulate the whole reconfigurable circuit in order to obtain its frequency response in all possible combinations of the switch states. The advantage of this approach is twofold, i.e.: 1) a single

0018-9480/$25.00 © 2007 IEEE

ARCIONI et al.: PLANAR MODELS OF RECONFIGURABLE MEMS CIRCUITS

723

field analysis is sufficient and 2) the electromagnetic simulation of the multiport can be carried out disregarding the small 3-D features of the structure, which are absorbed in the LRC circuits, thus allowing to use either 3-D field solvers with relaxed requirements in terms of CPU time and memory allocation or, even better, 2-D solvers. Due to the efficiency of 2-D solvers and to their ability to generate wideband macromodels of planar structures of arbitrary shapes (e.g., see [8]), the co-simulation procedure allows for obtaining optimized design of reconfigurable components, in reasonably short times, by using conventional PCs. An application of our technique to the design of a very compact single-pole double-throw switch has already been reported in [7], where the derivation of the model was only outlined. This paper focuses on the ideas underlying the derivation of the equivalent circuits, on their validation and on their reuse in very different environments. This paper is organized as follows. Section II discusses, with reference to shunt capacitive switches, the philosophy underlying the new technique and details the basic rules to be followed in the derivation of the model. Section III extends the discussion to other switch types. Section IV presents some examples. Section V draws the conclusions. II. MODELING OF SHUNT CAPACITIVE SWITCHES In the derivation of the planar model of a MEMS switch, we will consider the structure of Fig. 2(a), which represents a shunt capacitive switch in a CPW environment. The following discussion, however, is quite general, and it can offer guidance on how to approach the same task in different cases, as shown in Sections III and IV. To set up a planar model of the structure of Fig. 2(a), let us imagine to perform the following operations. 1) Remove the part of the bridge overlapping the central conductor of the CPW and the underlying thin dielectric layer [see Fig. 2(b)]. 2) Flatten the remaining part of the bridge (in such a way as to incorporate it with the ground plane of the CPW), creating a pair of internal ports (narrow gaps) between the modified ground plane and the central conductor [see Fig. 2(c)]. 3) Replace the removed part of the switch with a variable LRC circuit, whose elements—together with the parasitics of the gaps—reproduce the behavior of the actual switch in both its states. This procedure is justified for two reasons: the dimension of the removed part is much smaller than the wavelength and the distance of the bridge from the ground plane is always so small as to be neglected without introducing any significant perturbation in the field. On a physical basis, the LRC circuit should include longitudinal inductances and resistances and transversal capacitances. Similar conclusions can be drawn in the case of a microstrip line, where the shunt switch is used to connect the strip to other strips or, more generally, to other planar elements. To validate the proposed model, we considered a uniform CPW section including a simple shunt-capacitive switch [see Fig. 3(a) and (b)]. The geometrical and physical parameters are reproduced from [5], where the results of an accurate experimental characterization are reported (Fig. 4). The described pro-

Fig. 2. Derivation of the planar model of a shunt-capacitive MEMS switch on a CPW environment. (a) Original structure. (b) Central part of the bridge and the underlying thin dielectric removed. (c) planar model.

Fig. 3. (a) and (b) RF shunt capacitive switch on CPW. (c) and (d) Its planar model. Dimensions: W = 100 m, G = 60 m, w = 90 m, t = 40 m; high-resistivity silicon substrate, thickness 400 m ( = 11:9;  = 3 k 1 cm), covered with a 4000-Å-thick SiO layer; gold metallizations 2-m thick for both CPW conductors and switch bridge.

cedure leads to the multiport of Fig. 3(c) and to the equivalent circuit of Fig. 3(d), where the variable element has been represented by a T-shaped LRC circuit. The multiport has been modeled as a four-port by using the EMSight electromagnetic sim-

724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 4. Measured response of the shunt CPW switch of Fig. 3 versus simulations calculated using the planar model.

ulator, included in AWR Microwave Office. The values of the lumped elements have been determined by fitting the responses of the circuit of Fig. 3(d) with the experimental responses reported in [5]. In the down-state, a very good fitting could be obtained up to 40 GHz, by choosing pF and pH. The value of , which only affects the depth of the transmission dip at 35 GHz, could not be determined accurately, due to the measurement noise. It was only possible to verify that should be less than 2 m (the calculated response shown in this figure was obtained with ). As expected, the value of (with unchanged) only affects the high-frequency response, in particular the position of the transmission dip. In the up-state, the same values of and are maintained (their influence on the frequency response is negligible in the whole band of interest) and a very good fitting is obtained by only assuming fF. We note that nearly the same responses are obtained from a 3-D simulation, taking all details of the structure into account. Thus, simulated results, rather than experimental ones, could have been taken as a starting point for the determination of and . We underline that the best fitting procedure automatically accounts for the parasitic effects due to the introduction of the gaps, which deform the electric field and the current flow in their close proximity. The effect of the extra storage of electric energy dominates so that parasitics mainly take the form of shunt capacitances across the gaps [9]. Should these capacitances be large, may become negative, especially in the up-state, where the bridge capacitance may become smaller than the parasitic gap capacitance. It is also noted that the discretization of the currents and the charges near the gaps also influence the numerical evaluation of the parasitic effects. This is particularly evident in the determination of and since the mesh in the

Fig. 5. Current density for a shunt capacitive MEMS switch in the down state. (a) Currents evaluated by a 3-D simulation of the real switch. (b) Currents in the multiport with the internal ports loaded with the LRC circuits. The different colors correspond to different magnitudes of the current density. In the 3-D case, currents on the bridge pillars are not shown.

proximity of the gaps strongly affects the evaluation of the gap capacitance. We performed many simulations in order to obtain an estimate of the extension of the perturbation introduced by the gaps. To this aim, we compared (in the most critical case, i.e., in the down-state) the current distribution in the actual switch and in its planar model (multiport connected to the LRC circuit). A typical result of these simulations is reported in Fig. 5, which refers to a CPW shunt capacitive switch similar to the one of Fig. 4. It is evident that the influence of the gaps on the current distribution is appreciable up to a distance of the order of bridge width, i.e., inside the region delimited by the dotted rectangle in this figure (referred to in the following as the “gap region”). Therefore, the values of the lumped elements only depend on the geometrical/physical details of the bridge and of its underlying structure in the gap region, as well as on the discretization used inside this region. The definition of the gap region is the key point in our technique since it opens the way to reuse lumped elements deduced from the analysis of a “prototype” switch in the modeling of reconfigurable circuits of very different layouts. In fact, once the values of the lumped elements have been deduced from a simple prototype, such as the one of Fig. 3(a), they can be used in the modeling of more complicated structures, provided that the geometrical and physical features of the switch remain unchanged in the gap region. Modifications of the switch environment outside the gap region are taken into account in the multiport, which, of course, can totally differ from the prototype outside the gap region. When simulating different multiports, however, it is important to maintain, inside the gap region, the same mesh used in the simulation of the prototype.

ARCIONI et al.: PLANAR MODELS OF RECONFIGURABLE MEMS CIRCUITS

725

Fig. 7. Prototype switch used for determining C and L used in the examples of Figs. 8–10. Substrate is a 400-m-thick Si layer covered with a 4000-Å-thick SiO layer. Losses have been neglected and zero-thickness metallizations have been assumed in the modeling of both the prototype and examples.

Fig. 6. Prototype of an RF series ohmic-contact switch on CPW. (a) 3-D structure. (b) and (c) Planar model with internal ports. (d) Resulting planar model connected to LRC circuits with ideal switches.

III. EXTENSION TO OTHER SWITCH TYPES MEMS switches of different configuration can be modeled along the same lines. For example, in the case of the ohmic-contact series switches of Fig. 6(a), the bridge structure can be modeled by means of the multiport of Fig. 6(b), connected to the pair of switchable LRC circuits shown in Fig. 6(c), thus obtaining the circuit of Fig. 6(d). When the bridge is in the down-state, the ideal switches and are closed, and account for the small contact resistance between the bridge and the pads, and for the small inductive effects due to the perturbation in the current flow. In the up-state, and are open and the capacitances accounts for the capacitive effect between the bridge and the contact pads on the lines underneath. and can be considered unchanged since their effect on the frequency response is negligible in the up-state. Also in this case, the values of the lumped elements are deduced by fitting the measured or 3-D simulated responses of the actual switch, thus also correcting for the gap parasitics. The validation of this model, not reported for brevity, is discussed in [10]. This study also presents the model of a cantilever MEMS switch. In all cases, we verified a close agreement between the measured responses of switches presented in the literature and the simulated ones, obtained from the corresponding planar models. IV. EXAMPLES Many numerical simulations confirmed the accuracy of the proposed model and the possibility of reusing the lumped elements in different environments. In this paper, we report some examples, referring to both capacitive and ohmic switches. The first example refers to the design of different reconfigurable circuits, starting from a single prototype. We first considered the 3-D shunt capacitive switch shown in Fig. 7(a) and its corresponding planar model of Fig. 7(b). The values of the lumped elements resulted in pF, pF, pH, and (we neglected

Fig. 8. (a) Layout and (b) and (c) response of an inductively tuned high-isolation switch designed using the lumped elements derived from the prototype of Fig. 7.

losses). We then designed the circuits of Figs. 8(a), 9(a), and 10(a), keeping the same structure and the same mesh as in the prototype, in the 180 180 m gap region (dotted rectangle in these figures). For each circuit, we compared the responses

726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 9. (a) Layout and (b) and (c) response of a shunt capacitive switch on a microstrip line designed using the lumped elements derived from the prototype of Fig. 7. The substrate, different from the prototype, is a 400-m-thick Si layer covered with a 4000-Å-thick SiO layer.

obtained from the planar model and from the 3-D simulation of the entire structure [see Figs. 8(b) and (c), 9(b) and (c), and 10(b) and (c)]. The results of Figs. 8(b) and (c) and 9(b) and (c) confirm that the planar model can accurately take into account large variations in the switch environment. In the circuit of Fig. 8, the CPW ground plane is strongly modified to allow for a lengthening of the bridge aiming at locating an isolation peak around 30 GHz. In the circuit of Fig. 9, even the type of the line has been changed (from CPW to microstrip) and the switch connects the line to radial stubs to obtain the maximum isolation in the down-state at their series resonance. The results of Fig. 10 show that the planar model can also account for couplings with line discontinuities and other switches. In this case, we also computed the response obtained by cascading the circuit models of the individual MEMS switches to verify the influence of the coupling effects due to their close proximity. Actually, in the down-state [see Fig. 10(c)], the magnetic coupling between the bridges strongly affects the high-frequency response.

Fig. 10. (a) Layout and (b) and (c) response of a pair of closely coupled shunt capacitive switches. The response obtained by a cascading procedure is also reported to evidence the coupling.

The second example refers to a reconfigurable low-pass filter of the type proposed in [11]. Its layout is schematically represented in Fig. 11(a). The actuation of the switches modify the filter topology, as shown in Fig. 11(b) and (c), causing the cutoff frequency to vary from a higher value [see Fig. 11(b)] to a lower one [see Fig. 11(c)]. This circuit uses two types of multiple-contact ohmic MEMS switches (see Fig. 12), whose bridge is made of a dielectric membrane with contact bars. In the down-state, the contact bar of an A-type switch connects the center line to the two lateral stubs simultaneously, playing the role of the two corresponding ideal switches in Fig. 11(a). Similarly, the actuation of a B-type switch allows the two contact bars to connect the adjacent segments of the two lateral stubs, thus simultaneously increasing their length. We designed our filter aiming to switch the cutoff frequency from 67 to 28 GHz like in the filter discussed in [11]. We considered a CPW ( m, m) on a quartz substrate (520- m thickness, ) and the design led to the following dimensions (see Fig. 11): m, m, m, m, m, m, m, m. Moreover, we assumed (see Fig. 13) m, m, m, m,

ARCIONI et al.: PLANAR MODELS OF RECONFIGURABLE MEMS CIRCUITS

727

Fig. 13. Prototypes used to derive the planar model of the reconfigurable lowpass filter of Fig. 11.

Fig. 11. (a) Schematic representation of the reconfigurable low-pass filter. By varying the state of the A- and B-type switches, the structures presents a (b) higher or (c) lower cutoff frequency.

Fig. 12. Two types of MEMS switches used to reconfigure the low-pass filter in Fig. 11.

and m, and a contact resistance of 2 per contact according to [12]. Fig. 13 shows the prototypes of the A- and B-type switches. The internal ports of the multiports have been connected to switchable LRC circuits according to the model of Fig. 6. The values obtained for the lumped elements are pF, pH, and for the A-type switch and pF, pH, and for the B-type switch. Fig. 14 shows the circuit response for the two configurations, deduced by using the planar model and 3-D simulations of the entire filter. Also in this case, an excellent agreement is observed, thus showing the accuracy of the proposed model in the simulation of complex reconfigurable circuits. Fig. 14 reports also the responses of “ideal” structures, obtained by considering the switches as open circuits (in the up-state) or short circuits (in the down-state). The substantial difference between the “ideal” and actual responses of the structure demonstrates how important the ability of the proposed model is in taking into account all the parasitic effects introduced by the MEMS switches.

Fig. 14. Simulated response of the filter shown in Fig. 11 for the two states. (a) A-type down, B-type up. (b) A-type up, B-type down.

V. CONCLUSION The examples above have demonstrated the validity of the proposed planar model. According to this model, a reconfigurable circuit is separated into independent parts: a time-invariant and a switchable one, consisting of as many equivalent LRC circuits as the switches. Each equivalent circuit only represents a small (and well defined) portion of the corresponding switch and can be modeled starting from the experimental or numerical analysis of a prototype switch. Once the equivalent circuits are known, the response of a reconfigurable structure

728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

can be calculated in all of its states, provided the macromodel of the time-invariant part has been obtained by an electromagnetic simulation. It is stressed that such a simulation does not have to be repeated for each state of the circuit, and that it does not involve small 3-D features of the bridge. Last, but not least, it is also noted that since the time-invariant part can be considered as a planar multiport, its electromagnetic simulation can be performed very efficiently using 2-D electromagnetic solvers, thus permitting to explore the features of many different design solutions in short times, as required for optimization purposes. Virtually no constraint is imposed either on the geometry of the planar multiport or on the distance among the switches. The designer can then fully use his inventive ability in the search of unusual compact and efficient solutions, which are valid up to the millimeter-wave range. It is finally noted that the planar model, discussed here in the context of reconfigurable circuits, can also be used in the analysis of reconfigurable patch antennas, where MEMS switches are used to modify the resonating frequency [13] and/or the radiation pattern of the patch. REFERENCES [1] J. B. Rizk and G. M. Rebeiz, “W -band CPW RF MEMS circuits on quartz substrate,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1857–1862, Jul. 2003. [2] J. B. Rizk and G. M. Rebeiz, “W -band microstrip RF MEMS switches and phase shifters,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1485–1487. [3] G. M. Rebeiz, “RF MEMS switches: Status of the technology,” in Proc. Transducers’03/12th Int. Solid State Sens., Actuators, Microsyst. Conf., Boston, MA, Jun. 2003, pp. 1726–1729. [4] R. N. Simons, C. Donghoon, and L. P. B. Katehi, “Reconfigurable array antenna using microelectromechanical systems (MEMS) actuators,” in Proc. IEEE AP-S Int. Symp., Boston, MA, Jul. 8–13, 2001, vol. 3, pp. 674–677. [5] J. B. Muldavin and G. M. Rebeiz, “High-isolation CPW MEMS shunt switches—Part I: Modeling,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1045–1052, Jun. 2000. [6] J. B. Muldavin and G. M. Rebeiz, “High-isolation CPW MEMS shunt switches—Part II: Design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1053–1056, Jun. 2000. [7] P. Arcioni, G. Conciauro, P. Farinelli, P. Mezzanotte, M. Repossi, and L. Vietzorreck, “Mastering parasitics in complex MEMS circuits,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 3–7, 2005, vol. 2, pp. 943–946. [8] G. Conciauro, P. Arcioni, and M. Bressan, “State-space integral-equation method for the s-domain modeling of planar circuits on semiconducting substrates,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2315–2326, Dec. 2003. [9] J. C. Rautio and V. I. Okhmatovski, “Unification of double-delay and SOC electromagnetic deembedding,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2892–2898, Sep. 2005. [10] M. Repossi, “S -domain modeling of planar reconfigurable circuits,” Ph.D. dissertation, Dept. Electron., Univ. Pavia, Pavia, Italy, 2005. [11] S. Lee, J. H. Park, J. M. Kim, H. T. Kim, Y. K. Kim, and Y. Kwon, “A compact low-loss reconfigurable monolithic low-pass filter using multiple-contact MEMS switches,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 37–39, Jan. 2004.

[12] H. T. Kim, J. H. Park, J. Yim, Y. K. Kim, and Y. Kwon, “A compact V -band 2-bit reflection-type MEMS phase shifter,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 324–326, Sep. 2002. [13] P. Arcioni, G. Conciauro, L. Perregrini, and M. Repossi, “Planar model approach to the design of MEMS reconfigurable patch antennas,” in Proc. Mediterranean Microw. Symp., Genova, Italy, Sep. 19–21, 2006, pp. 105–-108.

Paolo Arcioni (M’90–SM’03) received the Laurea degree in electronic engineering from the University of Pavia, Pavia, Italy, in 1973. In 1974 he joined the Department of Electronics, University of Pavia, where he currently teaches microwave theory as a Full Professor. In 1991, he was a Visiting Scientist with the Stanford Linear Accelerator Center (SLAC), Stanford, CA, where he worked in cooperation with the RF Group to design optimized cavities for the PEP II Project. From 1992 to 1993, he collaborated with the Istituto Nazionale di Fisica Nucleare (INFN), Frascati, Italy, on the design of the accelerating cavities for the DA8NE storage ring. In 2004, he became Head of the Department of Electronics, University of Pavia. His main research interests are in the area of microwave theory, modeling and design of interaction structures for particle accelerators, and development of numerical methods for the electromagnetic computer-aided design of passive microwave components. His current research activities concern the modeling of planar components on semiconductor substrates and of integrated structures for millimeter-wave circuits.

Giuseppe Conciauro (A’72–M’87–SM’03–F’05) received the Electrical Engineering and Libera Docenza degrees from the University of Palermo, Palermo, Italy, in 1961 and 1971, respectively. Since 1980, he has been a Full Professor with the Faculty of Engineering, University of Pavia, Pavia, Italy, where he has served in many leading roles, currently as the Director of the Ph.D. School in Engineering Sciences. His main research interests are in microwave theory, interaction structures for accelerators and numerical methods in electromagnetism. He has authored many scientific papers. He coauthored Advanced Modal Analysis (Wiley, 2000) and has authored textbooks on electromagnetic waves, which is widely used in Italian universities.

Matteo Repossi (S’01–M’02) was born in Pavia, Italy, in 1977. He received the Laurea and Ph.D. degrees in electronics engineering from the University of Pavia, Pavia, Italy, in 2002 and 2006, respectively. In 2005 he spent a period with the Dipartimento di Ingegneria Elettronica e dell’Informazione (DIEI), University of Perugia, Perugia, Italy, as a Guest Researcher within the framework of a national research project on wideband RF MEMS circuits. Since 2006, he has been with the Studio di Microelettronica, STMicroelectronics, Pavia, Italy, where he is involved with the Computer Peripherals Group (CPG). His activity is focused on the design and characterization of RF/microwave devices. Dr. Repossi was the recipient of the 2nd Place Best Student Paper presented at the 2004 Applied Computational Electromagnetics Software Conference, Syracuse, NY.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

729

System and Circuit Models for Microwave Antennas Mohamed I. Sobhy, Benito Sanz-Izquierdo, and John C. Batchelor, Member, IEEE Abstract—This paper describes how circuit and system models are derived for antennas from measurement of the input reflection coefficient. Circuit models are used to optimize the antenna performance and to calculate the radiated power and the transfer function of the antenna. System models are then derived for transmitting and receiving antennas. The most important contribution of this study is to show how microwave structures can be integrated into the simulation of digital communication systems. This enables system designers to predict the effect of the microwave subsystem on the digital signals and calculate the resulting bit error rate. Index Terms—Microwave antennas, modeling, system models.

I. INTRODUCTION

A

NTENNA design starts with simulating the structure using an electromagnetic (EM) simulator. This is followed by measuring the input reflection coefficient or the input impedance. These results are not sufficient to understand the antenna performance or to predict its effect when used in a digital communication system. Circuit and system models are needed to complete the design cycle and to offer better understanding of the antenna characteristics [1]. Most modern communication systems are digital, and a model compatible with digital system simulation is an essential design aid. An equivalent-circuit model would help the designer relate the antenna response to the physical structure and to study the effects of varying the antenna dimensions on the response. Microwave circuit designers are not usually aware of the effect their designs will have when included in a digital system. This study shows how a microwave subsystem can be integrated in the simulation of a digital system. The results usually available for designers to develop antenna models are the magnitude and phase of the input scattering parameter from which an equivalent circuit can be derived, the radiation resistance at the input calculated, and the radiated power determined. We shall show how from knowledge of only we can also achieve the following: • derive the voltage transfer function; • identify system models to represent and the voltage transfer function; • derive a system model when the antenna is used as a receiving antenna; • include both the transmitting and receiving antenna models in the simulation of a digital communication system and assess the effect of the antennas on the bit error rate (BER). System models are used to represent the antenna when it is included in a digital system simulation. How to derive and use system models are the main contribution of this paper. Manuscript received September 29, 2006; revised December 27, 2006. The authors are with the Department of Electronics, The University of Kent, Canterbury, Kent CT2 7NT, U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893675

Fig. 1. Double button antenna.

A wearable button antenna [3]–[6] has been designed to operate at the WIFI and Bluetooth bands. Both circuit and system models for this antenna have been developed, and the system model was used for the simulation of the antenna when used in a digital communication system with the current standards of these systems. Two approaches for deriving the system models are used. The first derives an infinite impulse response (IIR) filter structure and the second uses topological analysis and design [8]–[11] to derive a digital system model in which the elements of the physical structure can be identified. This is a valuable tool for designers of antennas for digital systems. II. CIRCUIT MODEL An equivalent electrical circuit can be developed to achieve the desired response. The following are two main steps in developing the circuit model. Step 1) Determine a suitable topology: This is achieved by inspecting the EM structure and identifying the circuit elements. A computer-aided design (CAD) procedure modifies the initial topology for a better fit. Step 2) Determine the element values: This is achieved by an iterative optimization process to fit the model response to the supplied data. When developing this model, care should be taken to relate the elements as closely as possible to the physical structure and to identify the radiation resistance and the losses in the antenna. The advantages and disadvantages of the circuit model are discussed in [1]. III. SYSTEM MODEL The first system model is based on the IIR digital filter structure represented by (1) The and coefficients are then determined by an optimization procedure to fit the antenna response obtained either from

0018-9480/$25.00 © 2007 IEEE

730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 5. Radiated power (

W ) from double button for a 1-mW input.

Fig. 2. Responses of double button antenna from model and EM simulator.

Fig. 3. Equivalent circuit of the double button antenna. The numbers in circles indicate the nodes. The node numbers are needed in Section VIII.

Fig. 6. Transfer function of double button from circuit simulator.

Fig. 4. Result from IIR system model. Fig. 7. Voltage on radiation resistance from the IIR system model.

measurements or an EM simulator. The orders and of the numerator and denominator are increased gradually during the optimization procedure until a good match between the model and input data is obtained. More details of this model, its advantages, and disadvantages are given in [1]. We shall show in Section VIII that it is possible to derive a system model from the circuit model. The system model can be used in the simulation of digital communication systems in the same way as the above-described IIR model. However, since all

the circuit elements are easily identifiable in this model, optimization of the antenna performance is made much easier. IV. DOUBLE BUTTON ANTENNA We shall develop models for the double button antenna shown in Fig. 1 [3]–[6]. This antenna was developed as a wearable antenna with two bands at 2.4 and 5 GHz for Bluetooth and WIFI

SOBHY et al.: SYSTEM AND CIRCUIT MODELS FOR MICROWAVE ANTENNAS

731

Fig. 8. Digital communication system with double button antenna.

applications. The aim of the modeling procedure is ultimately to be able to include a transmitting and receiving antenna in a complete digital communication simulation in order to assess the effect of the two antennas on the system performance. The circuit elements representing the EM structure were identified and the optimization procedure was used to calculate their values to match the responses from the EM simulator. A MATLAB program was developed for this purpose. The results for are shown in Fig. 2. It is difficult to distinguish between the results from the EM simulator and the model due to the accuracy of the modeling procedure. The circuit model is shown in Fig. 3 and the result from the circuit simulator are identical to those shown in Fig. 2. The two transmission lines TL1 and TL2 in Fig. 3 represent the distributed nature on the EM structure. TL1 represents the outer transmission line structure together with any transmission line at the input. TL2 represents the inner transmission line. It will not be possible to get an accurate model of a distributed EM structure with only lumped elements. Both the delay and characteristic impedance of each transmission line are included in the optimization procedure. A system model of order was then derived. The response of the model to a sweep signal, using a system simulator, is shown in Fig. 4. The above results show that both the circuit and system models match the measured results very well. Next we wish to identify the radiation resistance and develop a system model for the antenna transfer function. The radiation resistance is identified as the 78.9 in Fig. 3 and the radiated power is shown in Fig. 5 where the two radiation bands at 2.4 and 5 GHz are clearly indicated. The identified radiation resistance is not the value seen at the input. The equivalent circuit transforms the 78.9- resistance to 50 at the input at the radiating frequency bands. The voltage transfer function is shown in Fig. 6 and was calculated using the circuit simulator, where is the voltage across the radiation resistance and is the source voltage. From these results, an IIR system model was developed to realize the voltage transfer function. The output voltage response to a swept signal is shown in Fig. 7.

TABLE I PARAMETERS OF DIGITAL COMMUNICATION SYSTEM

Fig. 9. Constellation diagrams. (a) Transmitted signal. (b) Received signal. The horizontal and vertical axes are the in-phase and quadrature components.

Fig. 10. Time-domain signal before and after antenna.

It is not surprising that we are able to obtain the transfer function with only knowledge of the input scattering parameter .

732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 11. Digital communication system with transmitting and receiving antennas.

For a passive lossless network, the scattering matrix is unitary and obeys the relation where is the identity matrix. This gives four equations relating the scattering parameters. In the classical filter synthesis procedure [7], the input scattering parameter and the input impedance are derived from knowledge of only the required insertion loss. In our case, we have derived the transfer function numerically using the circuit simulator instead of analytically, as in the case of filter synthesis. However, it is also possible to derive an analytical expression for the transfer function using (1) for . For a lossy model, the transfer function can always be derived numerically using the circuit simulator.

TABLE II PARAMETERS OF THE TWO CHANNELS OF THE DIGITAL COMMUNICATION SYSTEM

port, we can relate the voltage reverse voltage transfer function to the forward transfer function as follows: (2)

V. SIMULATION OF DIGITAL COMMUNICATION SYSTEMS Once a system model representing the voltage transfer function has been identified, we are ready to include the transmitting antenna in a digital communication system. Fig. 8 shows the transmitting antenna included in a rectangular QAM system with , which is the standard for WIFI. The parameters of the system are given in Table I. The results given here are for the above parameters. However, the antenna works equally well with other parameters, particularly for the 5-GHz band. Fig. 9 shows the constellation diagram before and after the antenna with no added noise. It is clear from the constellation diagram that the antenna generates a phase scatter in the transmitted signal. This is typical when an analog subsystem with limited bandwidth is included in a digital system. This can also be seen when comparing the input and output signals in the time domain, as shown in Fig. 10. The antenna generates spikes and distortions at the phase and amplitude transitions between symbols. VI. RECEIVING ANTENNA The transfer function for the antenna when used as a receiving antenna can be derived from that of the transmitting antenna. When we exchange the source and the load in a two-

Thus, the voltage transfer function for the receiving antenna is the same as that of a transmitting antenna, except for a multiplication factor. In a practical communication system, the multiplication factor is included in the system’s amplifiers and automatic gain control (AGC), which compensate for variations in signal power. For simulation purposes, we can use the same model for the transmitting and receiving antennas to study the effect on the digital transmission. VII. COMPLETE DIGITAL SYSTEM SIMULATION A digital communication system using the button antenna is shown in Fig. 11. The system includes two channels, one transmitting at 2.4 GHz and the other at 5 GHz, both feeding the same antenna. The RF frequencies correspond to the two antenna bands and the system includes a transmitting and a receiving antenna. The two channels have slightly different parameters, which are given in Table II. The constellation diagrams, for both channels, of the received signal are shown in Fig. 12. We notice that the scatter for the 2.4-GHz channel is worse than for the 5-GHz channel. This is because the transfer function of the antenna in Fig. 8 shows that the 5-GHz channel has a

SOBHY et al.: SYSTEM AND CIRCUIT MODELS FOR MICROWAVE ANTENNAS

733

Fig. 13. Network graph showing tree and co-tree.

Fig. 12. Constellation diagram of the received signals for: (a) 2.4-GHz channel and (b) 5-GHz channel. The horizontal and vertical axes are the in-phase and quadrature components.

wider bandwidth. We also compare the phase scatter diagram of Fig. 12(a) with that of Fig. 9(b). Fig. 12(a) shows more scatter due to the inclusion of the receiving antenna. Even with the high degree of phase scatter shown in Fig. 12(a), the demodulator was able to recover the received signal without errors. The above results show a high degree of consistency, which gives confidence in the procedure. VIII. SYSTEM MODELS DERIVED FROM CIRCUIT MODELS When an antenna designer wishes to optimize the antenna performance, it is a great help if the model elements relate as closely as possible to the physical structure. Although the circuit models achieve this aim, the system models based on an IIR digital filter structure do not. We shall describe a method [8] of deriving a system model that has a one-to-one relation with the equivalent circuit. The procedure for deriving this model is systematic and follows the following steps. Step 1) From the circuit model, a graph is constructed, containing only nodes and edges, which describes the network interconnections. We use the button antenna circuit model shown in Fig. 3. The corresponding network graph is shown in Fig. 13. Step 2) A tree is determined for the network graph. A tree is a sub-graph that contains all the nodes, but no loops (tie sets). The remainder of the graph is referred to as the co-tree. In Fig. 13, to form the tree and to form the co-tree. When assigning the order to edges, care should be taken to assign all the voltage sources to the tree and all the current sources to the co-tree. The maximum number of capacitors should be assigned to the tree and the maximum number of inductors to the co-tree. This will result in the maximum number of integrators and the minimum number of differentiators in the system model. Differentiators usually lead to instability, especially when the signal has an abrupt amplitude change, as is the case with digital signals. Step 3) Next, the dynamical transformation matrix [9]–[11] is derived. This is done by assigning the

Fig. 14. Transmission line model with both inputs as voltages.

columns to the tree edges and the rows to the co-tree edges. Each row is a set of edges that make a loop containing one co-tree edge and as many tree edges as necessary. For the graph shown in Fig. 13, the matrix is given by

(3)

Step 4) The voltages and currents in the circuit are related by [9] (4) where the subscripts and refer to the tree and co-tree. Step 5) Equation (5) gives a description of the network interconnections in terms of a combination of Kirchhoff’s first and second laws. We need to add the relations between the currents and voltages as determined by the type of elements used. These are given by and

(5)

and are Step 6) When the matrix and the functions known, a system model representing the circuit can be constructed. It is important to notice that the functions and could take any form and could be functions of any variable in the circuit and not restricted to the variables indicated in (5). This gives the possibility

734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 15. Hybrid model of button antenna.

of representing nonlinear, as well as distributed elements such as transmission lines. In the simplest case, the functions represent Ohm’s law for the circuit elements. Although we are applying this procedure here to antennas, it is a more general procedure that can be applied to develop a digital system model for any analog network or subsystem. Microwave circuits can contain distributed elements such as transmission lines. The transmission line model used here represents the delay, characteristic impedance, and attenuation of the line, but no dispersion. Dispersion can be easily added by adding differentiators to the model. The model used here is shown in Fig. 14. There are four different transmission line models depending upon whether the inputs are voltages or currents. A transmission line edge in the tree must have a voltage input, and in the co-tree, must have a current input. The four models cover all possibilities as the topology of the circuit requires. The system model for the equivalent circuit in Fig. 3 is shown in Fig. 15. It is clear that the elements of this system model have

Fig. 16. Response of hybrid model. This should be compared to the response of the IIR model in Fig. 9.

a one-to-one correspondence with the circuit model in Fig. 3. This enables the designer to relate the model to the EM structure when trying to optimize the antenna performance. The response of the new model is shown in Fig. 16, and when compared to the response of the IIR model in Fig. 7, it is seen that they are identical. When used in digital system simulation, this model gives identical results to those obtained with the IIR filter model. This gives confidence in the presented methods, as two

SOBHY et al.: SYSTEM AND CIRCUIT MODELS FOR MICROWAVE ANTENNAS

models derived using entirely different methods and algorithms give identical results. This means that the important structure is the original one and not the models. IX. CONCLUSION A full modeling procedure has been presented for the derivation of antenna models that could be used in the simulation of digital systems. This enables the system designer to assess the degradation in system performance due to the antenna and to optimize the antenna design for best digital performance. The method starts with the knowledge of only the input scattering parameter of the antenna, which can be easily obtained from measurements or EM simulations. The procedure enables the derivation of a circuit and a system model for the input scattering parameter, the identification of the radiation resistance, and the derivation of a system model for the transfer function of the antenna when used either in the transmitting or receiving modes. We have shown how a microwave structure can be modeled in such a way that it could be included in the simulation of an entire digital communication system. This is a most valuable tool as most systems now are digital. We have also shown how the effect of the microwave circuit can be evaluated. One most important result of this study is realizing that although microwave circuits and their models are deterministic, their effect on the digital signal is very similar to added noise. Since the models are deterministic, they offer the possibility that an “inverse” model be developed and the degradation of the digital signal be reversed. This, of course, is not possible with added random noise. Although the presented results concentrated on antennas, the basic procedures can be applied to derive digital models for any analog subsystem containing lumped, distributed, and nonlinear elements. REFERENCES [1] M. I. Sobhy, B. Sanz-Izquierdo, and J. C. Batchelor, “System and circuit models for microwave antennas,” in 9th Eur. Wireless Technol. Conf., Manchester, U.K., 2006, pp. 277–280. [2] M. Sobhy, W. Dressel, and P. Russer, “Simulation of systems containing electromagnetic, analogue and digital subsystems,” in Fields, Networks, Computational Methods and Systems in Modern Electrodynamics. Berlin, Germany: Springer-Verlag, 2005, pp. 233–242. [3] B. Sanz-Izquierdo, F. Huang, and J. C. Batchelor, “Dual band button antennas for wearable applications,” presented at the IEEE Int. Antenna Technol. Workshop, New York, NY, 2006. [4] B. Sanz-Izquierdo, F. Huang, and J. C. Batchelor, “Covert dual-band wearable button antenna,” Electron. Lett., vol. 42, no. 12, pp. 668–670, Jun. 2006. [5] B. Sanz-Izquierdo, F. Huang, J. C. Batchelor, and M. I. Sobhy, “Compact antenna for WLAN on-body applications,” in 35th Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 815–818.

735

[6] B. Sanz-Izquierdo, F. Huang, J. C. Batchelor, and M. I. Sobhy, “Study of single and dual band wearable metallic button antennas for personal area networks (PANs),” IEEE Trans. Antennas Propag., submitted for publication. [7] D. S. Humpherys, The Analysis, Design and Synthesis of Electrical Filters. Englewood Cliffs, NJ: Prentice-Hall, 1970. [8] M. I. Sobhy and Y. A. R. El-Sawy, “Parallel processing application to nonlinear microwave network design,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 3067–3073, Dec. 1989. [9] M. I. Sobhy, “Topological analysis of linear active networks at a number of selected ports,” Int. J. Electron., vol. 40, no. 2, pp. 1367–1371, Dec. 1975. [10] M. I. Sobhy and M. H. Keriakos, “Computer-aided analysis and design of networks containing commensurate and noncommesurate delay lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 4, pp. 348–358, Apr. 1980. [11] A. G. J. Macfarlane, Dynamical System Models. Edinburgh, U.K.: Harrap, 1970. Mohammed I. Sobhy received the B.Sc. degree from the University of Cairo, Cairo, Egypt in 1956, and the Ph.D. degree from and The University of Leeds, Leeds, U.K., in 1964. He is currently Emeritus Professor of Electronics with the University of Kent, Canterbury, Kent, U.K. His current research interests are the analysis and application of nonlinear electronic systems.

Benito Sanz-Izquierdo received the B.Sc degree in electrical and industrial engineering from the University of Las Palmas de Gran Canaria, Las Palmas, Spain, in 1998, the M.Sc. degree in broadband and mobile communications networks from the University of Kent, Canterbury, Kent, U.K., in 2002, and is currently working toward the Ph.D. degree at the University of Kent. He is also currently involved with an Engineering and Physical Sciences Research Council (EPSRC) funded project entitled “Millimetre Wave Antenna integration for Ceramic Multi-Chip Module Technology.” His research interests are multiband antennas for indoor communications, wearable antennas, ultra-widebnad (UWB) antennas, substrate integrated waveguide slot antennas, electromagnetic bandgap (EBG) materials and applications, millimeter-wave array antennas, and integration of antennas in multilayer technologies (e.g., low-temperature co-fired ceramic (LTCC) and thick film).

John C. Batchelor (M’94) received the B.Sc and Ph.D. degrees from the University of Kent, Canterbury, Kent, U.K., in 1991 and 1995, respectively. In 1994, he was a Research Assistant with the Department of Electronics, University of Kent, in 1997, he became a Lecturer in electronic engineering, and is currently a Senior Lecturer. His current research interests include printed antennas, electromagneticbandgap structures, and low frequency selective surfaces.

736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method Dirk Deschrijver and Tom Dhaene, Senior Member, IEEE

Abstract—Vector fitting is a robust macromodeling tool for rational approximation of spectral data obtained by full-wave electromagnetic simulators or high-frequency measurements. The technique iteratively calculates a suitable set of poles, and solves the residues of the transfer function in a two-step procedure. If poles with a higher order multiplicity occur during the pole-identification step, numerical problems and inaccuracies can result, especially if the normal equations are solved. This problem is illustrated on an RLC filter, and a generalization of the basis functions is proposed to resolve the issue.

Both the numerator and denominator are expanded as a linear combination of rational basis functions , which are based on a common set of (prescribed) stable poles . The goal of the identification process is to identify the coefficients and such that the difference between and is minimized in a least squares sense. Levi’s linear approximation of this nonlinear identification problem can be obtained by solving the following equations in terms of the unknowns in a least squares sense [8]:

Index Terms—Macromodeling, rational approximation, vector fitting.

I. INTRODUCTION ECTOR fitting is a popular macromodeling method, which calculates broadband transfer functions from frequencydomain responses [1], time-domain responses [2], or a combination of both [3]. The robustness of this method is obtained by combining an iterative least squares estimator [4] and some predefined partial fraction basis functions [5]. Initially, these basis functions are based on a prescribed set of poles, which are relocated during successive iterations. In the original description of the frequency-domain vector fitting method, it is assumed that the prescribed (or relocated) poles always appear with a single multiplicity. For the design of microwave filters, higher order poles are frequently used since they give rise to a sharper peak response at the resonant frequencies [6], [7]. If the poles of the basis functions occur with a higher multiplicity, then the associated system equations become rank deficient and a poor fitting model may result. In this paper, a generalization of the vector fitting method will be described, which solves this issue.

V

II. TRANSFER-FUNCTION REPRESENTATION Frequency-domain macromodeling tools are used to build a rational transfer function , based on the spectral response ( , ) of a physical structure

(1)

Manuscript received October 29, 2006; revised December 12, 2006 and January 10, 2007. This work was supported under the Fund for Scientific Research Flanders (FWO-Vlaanderen). The authors are with the Department of Mathematics and Computer Science, University of Antwerp, 2020 Antwerp, Belgium (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893651

(2) The basis functions of the numerator and denominator are chosen to be partial fractions (vector fitting [1]), or orthonormal rational functions (orthonormal vector fitting [9]). In the vector fitting method, (2) is equivalent to solving (3) An implicit version of the Sanathanan–Koerner iteration [4] can then be applied. This means that, in the next iteration, the denominator is used as an inverse weighting to relieve the bias of Levi’s estimator, as shown in (4) at the bottom of the following page. In practice, this means that the prescribed poles in (3) are replaced by the zeros of , which are the relocated poles of the transfer function. It is known that convergence of this relocation typically occurs in a few iterations, provided that the initial set of poles is well chosen. It is, however, noted that the zeros of and may occur with a multiplicity higher than 1, even though the prescribed poles are all single. This may have some consequences, as will be shown in Section III. III. POLES WITH HIGHER ORDER MULTIPLICITY Theorem 1: If a partial fraction with a nonzero residue is based on a pole with multiplicity , then it cannot be decomposed in a finite set of single-pole fractions. Proof: A proof of this theorem can be found in several complex analysis books, see, e.g., [10]. From Theorem 1, it follows that the basis function expansion, as described in (3), is not adequate if the poles of the rational basis functions have a higher order multiplicity. Let represent the amount of distinct real and distinct complex conjugate pairs of zeros of denominator with . Also, let represent the multiplicity of the th real (complex) zero of denominator at iteration step of the Sanathanan–Koerner iteration. A generalized expression of the system equations is then derived

0018-9480/$25.00 © 2007 IEEE

DESCHRIJVER AND DHAENE: NOTE ON MULTIPLICITY OF POLES IN VECTOR FITTING MACROMODELING METHOD

from the least squares formulation (4)–(7), shown at the bottom of this page. Note that the classical vector fitting formulation can easily be derived by setting and equal to unity. After identification of , , , and , the poles of the next iteration are calculated by solving the zeros of (the so-called “sigma function” in [1]). This term consists of the sum of the following three smaller parts. • The constant value 1. • The sum of partial fractions, based on real poles with multiplicity

737

described in Section IV-A, and the realization of (9) is described in Section IV-B. Based on the state-space representation of these smaller sections, it is possible to construct the realization of the compound expression, as shown in Section IV-C. IV. STATE-SPACE REALIZATION For ease of representation, the following shorthand notations and are introduced, which will be used throughout this section. A. Real Poles The minimal continuous-time state-space realization

linear

time

invariant

(8) (11) (12) • The sum of partial fractions, based on complex conjugate pairs of poles with multiplicity

of a partial fraction with higher order multiplicity (13)

(9)

which is equivalent to

can be calculated by cascading times the minimal state-space realization of smaller sections [11] (14)

(10) These zeros can be calculated by solving an eigenvalue problem, which is based on the real-valued minimal state-space realization of this function (see [1]). The realization of (8) is

The minimal state-space realization ( , single-pole partial fractions is given by

,

,

) of the

(15)

(4)

(5)

(6)

(7)

738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

and, similarly, (16) The minimal state-space realization of the compound system (14) is then obtained as the cascade construction of the smaller state-space models

A state-space realization of (8) can finally be obtained by applying a parallel connection to the state-space realizations for the functions (21) corresponding to each distinct pole. The statespace model can then be build up recursively as follows for (initially , , , and ):

(22) B. Complex Conjugate Pairs If (i.e.,

and

constitute a complex conjugate pair ), then (7) is solved in terms of

, , , , , and , which simplifies the enforcement of real valuedness. Based on these values, a state-space realization of (23) (17) which reduces to the following expressions:

is obtained by applying a parallel connection of their two smaller state-space realizations

(24) It is noted that these smaller realizations are complex valued since they are constructed as in (18) and (20). In order to obtain a real-valued state-space realization, a similarity transformation is applied on the state-space model

(18) and the input vector Note that the state matrix such that the input-to-state transfer functions contain exactly the following basis functions:

are built

(19)

(25) Provided that represents the unity matrix of dimension , then the transformation matrix is denoted by (26)

By modifying the output vector

as follows: (20)

It then results that the transformed matrices will be of the following form:

a state-space realization for the following expression is obtained: (21) (27)

DESCHRIJVER AND DHAENE: NOTE ON MULTIPLICITY OF POLES IN VECTOR FITTING MACROMODELING METHOD

739

A state-space realization of (9) can finally be obtained by applying a parallel connection to the state-space realizations for the functions (23) corresponding to each distinct pair of complex conjugate poles. The state-space model can then be build up recursively as follows for (initially , , , and ):

(28) C. Compound Expression A real-valued state-space realization of the compound expression (i.e., “the sigma function”) is then given by

Fig. 1. Magnitude of transfer function (solid line) and classical vector fitting (dashed line). (five iterations, NE/QR). Initial starting poles as described in [1].

VI. EXAMPLE: RLC FILTER

(29)

In this example, the following frequency response of an RLC filter of order 18 is considered over the 1-Hz–100-kHz frequency range:

matrix, is a vector, is a where is a vector, and is a constant. The zeros of sigma, which become the relocated poles of the transfer function, are found by solving the eigenvalues of the matrix – . Calculating the residues of the transfer function can be done in a completely analogous way. (30) V. CHOICE OF MATRIX SOLVER Standard application of the vector fitting routine shows that transfer functions with poles of higher order multiplicity are usually quite well approximated by multiple neighboring poles, which have large residues. The accuracy of the result is partially related to the use of an appropriate matrix solver, called the “backslash operator” in MATLAB. For overdetermined systems of equations, this operator performs a QR decomposition with column pivoting, which is fairly robust in handling ill-conditioned matrices. In some cases, the use of this solver is not practical for the pole identification. If the rational approximation is based on a lot of data samples, then the associated set of system equations becomes large. Especially if the response at a large number of ports is fitted using a common set of poles, a QR decomposition is often undesired because of excessive computation times. Therefore, one often resorts to the normal equations (NEs) for solving the poles, which is computationally more efficient at the expense of some loss in accuracy. The following example shows that the use of NEs can cause numerical problems if the relocated poles come too close together. Some numerical results illustrate that the extension of basis functions, as described in this paper, improves the numerical conditioning of the system equations, and can lead to better overall results.

The response contains three distinct pairs of complex conjugate poles, each with a higher order multiplicity of 3. A. Pole Identification First, an initial set of complex starting poles is chosen with small real parts and with imaginary parts equidistantly spread over the frequency range of interest. It was shown in [1] that this choice gives good results in terms of convergence speed and conditioning. Based on this set of starting poles, the classical vector fitting algorithm is applied. Fig. 1 shows the magnitude of the reference frequency response (solid line), and the resulting fitting model after five iterations (dashed line) if poles are calculated using the NEs, and the residues using a QR decomposition (NE/QR). Clearly, the accuracy of the fitting model is unacceptable, as the convergence process of the fitting technique is significantly impaired. For comparison, the same calculations are performed if poles and residues are both calculated using a QR decomposition (QR/QR). The corresponding rms error in each iteration is shown in Table I. In successive iterations, it is observed that the poles of the model become relocated towards the correct locations. However, once the correct poles are reasonably well approximated, the system equations of the next pole-identification step become severely ill conditioned. The inaccurate relocation of poles in

740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

TABLE I rms ERROR DURING SUCCESSIVE ITERATIONS

Fig. 2. Pole configuration of basis functions (distance = 1).

TABLE III rms ERROR DURING SUCCESSIVE ITERATIONS

Fig. 3. Magnitude of RLC filter (solid line): class. vector fitting (dashed line), improved vector fitting (dotted line). Residue identification using correct poles and QR.

TABLE II PROXIMITY OF POLES VERSUS CONDITION NUMBER (POLE IDENTIFICATION)

the following iteration causes a reoccurring relapse in the convergence process. This effect is clearly illustrated by an oscillation error function (NE/QR), shown in Table I. More details about this effect are given in Sections VI-B and C.

poles result in identical columns in the system equations. Therefore, it follows from this analysis that the condition number of the pole-identification equations can be significantly improved if clusters of single-multiplicity poles are replaced by a single pole with higher order multiplicity before each iteration. Such clusters can be detected, e.g., by grouping the largest sets of poles for which their mean Euclidean distance in the complex plane is below a predefined threshold. Using this approach, it can be seen from Table III that the oscillating error function is now avoided and more accurate results are obtained. It is important to select this threshold sufficiently small, as additional pole relocations may be required if distant poles are clustered, even though the conditioning of the system equations is improved. C. Residue Identification

B. Pole Perturbation Analysis Here, the sensitivity of the numerical conditioning is related to the proximity of similar poles. This is analyzed by selecting a set of starting poles for the basis functions, as shown in Fig. 2. Each complex pole (with multiplicity 3) is replaced by three distinct poles, which are slightly perturbed over the real axis. The distance between these poles is systematically reduced in consecutive steps (from 10 to 0), and the corresponding condition number of the pole-relocation system equations is considered in Table II. The condition number grows quickly as the poles are located closer to each other. Knowing that the condition number becomes squared if the NEs are used, one can easily see that rank deficiency occurs, even for poles that are moderately close. The reason for this ill conditioning is that identical (or similar)

Here, it will be shown that the extended basis functions can also be useful to calculate the residues of the transfer function. Assume that the exact location of the transfer-function poles is known in advance. Based on this prior knowledge, only the residue of each basis function needs to be calculated. Fig. 3 shows that the quality of the fitting model (dashed line) remains unacceptable, even though the poles of the basis functions are exactly known. As shown in Table IV, the inaccuracy is also caused by numerical ill conditioning. If the same calculations are performed using the improved vector fitting technique, based on the extended basis functions as described in this paper, then the model is highly accurate (dotted line). As shown in Table V, the corresponding rms error using the improved vector fitting technique is very close to machine precision. This result

DESCHRIJVER AND DHAENE: NOTE ON MULTIPLICITY OF POLES IN VECTOR FITTING MACROMODELING METHOD

TABLE IV PROXIMITY OF POLES VERSUS CONDITION NUMBER (RESIDUE IDENTIFICATION)

TABLE V rms ERROR OF FITTING MODEL

illustrates that the classical vector fitting technique cannot be applied if some of the basis functions are based on identical poles, even when a QR decomposition is used as matrix solver. VII. CONCLUSION It has been shown that the classical vector fitting macromodeling algorithm may deliver a poor fitting model if some poles of the basis functions occur with a higher order multiplicity, especially if the NEs are solved. A generalization of the vector fitting method has been proposed to address this problem, and its effectiveness is illustrated by an example (RLC filter). REFERENCES [1] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [2] S. Grivet-Talocia, “Package macromodeling via time-domain vector fitting,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 11, pp. 472–474, Nov. 2003. [3] S. J. Moon and C. Cangellaris, “Rational function fitting of electromagnetic transfer functions from frequency domain and time domain data,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, 2006, pp. 1609–1612. [4] C. Sanathanan and J. Koerner, “Transfer function synthesis as a ratio of two complex polynomials,” IEEE Trans. Autom. Control, vol. 8, no. 1, pp. 56–58, Jan. 1963. [5] W. Hendrickx, D. Deschrijver, and T. Dhaene, “Some remarks on the vector fitting iteration,” in Mathematics in Industry. Berlin, Germany: Springer-Verlag, 2006, vol. 8, pp. 134–138.

741

[6] G. L. Matthaei, “Design of wideband (and narrow-band) bandpass microwave filters on the insertion loss basis,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 6, pp. 580–593, Jun. 1960. [7] C. H. Wei, “Design of sharp cutoff low-pass maximally flat RC-active filters by cascading third-order blocks,” IEEE Trans. Circuits Syst., vol. CAS-27, no. 5, pp. 411–413, May 1980. [8] E. C. Levi, “Complex curve fitting,” IEEE Trans. Autom. Control, vol. AC-4, no. 1, pp. 37–43, Jan. 1959. [9] D. Deschrijver, B. Haegeman, and T. Dhaene, “Orthonormal vector fitting: A robust macromodeling tool for rational approximation of frequency domain responses,” IEEE Trans. Adv. Packaging, to be published. [10] R. V. Churchill and J. W. Brown, Complex Variables and Applications, 7th ed. New York: McGraw-Hill, 2004. [11] J. C. Gomez, “Analysis of dynamic system identification using rational orthonormal bases,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. Newcastle, Newcastle, Australia, 1998.

Dirk Deschrijver was born in Tielt, Belgium, on September 26, 1981. He received the M.S. degree in computer science from the University of Antwerp, Antwerp, Belgium, in 2003, and is currently working toward the Ph.D. degree at the University of Antwerp. From May to October 2005, he was a Marie Curie Fellow with the Eindhoven University of Technology, Eindhoven, The Netherlands. He is currently with the Computer Modeling and Simulation (COMS) Group, University of Antwerp. His research interests include rational least squares approximation, orthonormal rational functions, system identification, and macromodeling.

Tom Dhaene (M’02–SM’06) was born in Deinze, Belgium, on June 25, 1966. He received the Ph.D. degree in electrotechnical engineering from the University of Ghent, Gent, Belgium, in 1993. From 1989 to 1993, he was Research Assistant with the Department of Information Technology, University of Ghent, where his research focused on different aspects of full-wave electromagnetic circuit modeling, transient simulation, and time-domain characterization of high-frequency and high-speed interconnections. In 1993, he joined the Electronic Design Automation (EDA) company Alphabit (now part of Agilent). He was one of the key developers of the planar electromagnetic (EM) simulator ADS Momentum, and he is the principal developer of the multivariate EM-based adaptive metamodeling tool ADS Model Composer. Since September 2000, he has been a Professor with the Department of Mathematics and Computer Science, University of Antwerp, Antwerp, Belgium. He has authored or coauthored over 100 peer-reviewed papers and abstracts in international conference proceedings, journals, and books. He holds two U.S. patents.

742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

A Novel Band-Reject Element for Pseudoelliptic Bandstop Filters Uwe Rosenberg, Senior Member, IEEE, and Smain Amari, Member, IEEE

Abstract—A novel band-reject element for the design of inline waveguide pseudoelliptic band-reject filters is introduced. The element consists of an offset partial-height post in a rectangular waveguide in which the dominant TE10 mode is propagating. The location of the attenuation pole is primarily determined by the height of the post that generates it. The element allows the implementation of weak, as well as strong coupling coefficients that are encountered in asymmetric band-reject responses with broad stopbands. The coupling strength is controlled by the offset of the post with respect to the center of the main waveguide. The posts are separated by uniform sections of the main waveguide. An equivalent low-pass circuit based on the extracted pole technique is first used in a preliminary design. An improved equivalent low-pass circuit that includes a more accurate equivalent circuit of the band-reject element is then introduced. A synthesis method of the enhanced network is also presented. Filters based on the introduced element are designed, fabricated, and tested. Good agreement between measured and simulated results is achieved. Index Terms—Asymmetric filter characteristics, bandstop filters, elliptic filters, inline filters, resonator filters, synthesis, waveguide filters.

I. INTRODUCTION

E

LLIPTIC AND pseudoelliptic band-reject (bandstop) microwave filters have recently attracted considerable attention [1]–[5]. These filters offer merit solutions even in bandpass applications where low insertion loss is required. Their use in diplexing and multiplexing systems is very promising. The traditional design of band-reject filters is based on cascading band-reject elements in the inline configuration [6]. The attenuation poles of such filters are all located at the center of the stopband and offer no additional control of the passband such as positioning of the reflection zeros. Alternative solutions based on pseudoelliptic responses allow the placement of the reflection zeros arbitrarily. Actual implementations of this class of filters can be achieved as a network of cross-coupled resonators in which the source and load are coupled to each other, or as inline networks with phase shifts, as in the extracted pole technique [7], with nonresonating nodes, or with a combination of phase shifts and nonresonating nodes [3]. The solution based on cross-coupled resonators is practical when the manufacturing Manuscript received May 23, 2006; revised December 6, 2006. U. Rosenberg is with the Microwave Technology Center, Ericsson GmbH, 71522 Backnang, Germany (e-mail: [email protected]). S. Amari is with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893653

tolerances are small or when post-fabrication tuning can be accommodated, especially for higher order filters, or when the control of the stopband is not crucial. On the other hand, the inline configuration allows independent generation and control of the attenuation poles. Unfortunately, the coupling coefficients in this configuration may turn out to be too large, especially for asymmetric broadband responses, to be realizable in standard arrangements. To deal with this situation, a new band-reject element is introduced. It consists of an offset partial-height post inside a rectangular waveguide. The amount of coupling to the resonator is determined by its offset from the center of the waveguide. Its resonant frequency is strongly affected by its height, but it also weakly depends on the offset. The same element can also be used as a bandpass building block by properly controlling the coupling elements. This situation is often encountered in traditional combline bandpass filters where the posts are centered with respect to the waveguide. However, it should be noted that, in case of the bandpass filter design, the fundamental mode of the waveguide section is operated far below its cutoff frequency and the couplings between the posts (resonators) are realized by the lengths of the waveguide section (below cutoff) between the posts. This is in contrast to the current approach that is based on the propagating fundamental mode. II. BAND-REJECT ELEMENT AND EQUIVALENT CIRCUIT A convenient solution to the design of band-reject, pseudoelliptic, and elliptic filters relies on placing attenuation-pole-generating building blocks in inline configurations. Each band-reject element is used to generate and control one attenuation pole (and one reflection zero). In waveguide technology, this can be achieved by coupling a cavity through an aperture to a uniform waveguide, which connects the input and output [6]. Unfortunately, such a structure can implement only weak to moderate coupling coefficients. Consequently, the design offers limited flexibility in regard to the width of the stopband and the asymmetry of the response. To implement strong coupling coefficients, a new band-reject element is introduced, as shown in Fig. 1. It consists of a partial-height post placed inside a rectangular waveguide in which the dominant mode is propagating. The position of the attenuation pole is determined mainly by the height of the post or, equivalently, the gap between the post and top wall of the waveguide in this particular case. The offset of the post with respect to the center of the waveguide weakly affects the position of the attenuation pole. A typical response of this structure versus frequency for mm, mm in a waveguide of dimensions

0018-9480/$25.00 © 2007 IEEE

ROSENBERG AND AMARI: NOVEL BAND-REJECT ELEMENT FOR PSEUDOELLIPTIC BANDSTOP FILTERS

743

Fig. 1. Basic band-reject element: offset partial-height metallic post in a rectangular waveguide.

Fig. 3. Equivalent circuit of offset partial-height post (inset) and variation of X and X with frequency.

position inside the waveguide. Relevant design curves can be easily established by using (1). The strength of the coupling to this band-reject element can be controlled by the offset from the center of the waveguide. It is, however, crucial to note that the position of the attenuation pole also depends on the same offset. When the post is placed at the center of the waveguide, it no longer acts as a band-reject element. More accurately, the frequency of the attenuation pole for such a configuration is too high to be useful. When the post is centered, only modes with a magnetic wall at the center of the waveguide are excited by . The inductance of the post is consequently reduced, thereby increasing the resonant frequency beyond the cutoff of higher order modes. Fig. 2. Response of partial-height post versus frequency for s = 2:55 mm, a = 8:64 mm, and b = 4:32 mm.

h

= 2:25 mm,

III. APPLICATION TO PSEUDOELLIPTIC BAND-REJECT FILTERS

mm and mm is shown in Fig. 2. The footprint of the post is a 1 mm 1 mm square. The presence of the attenuation pole at 26.1 GHz is evident. Note that the reference planes at the input and output are set flush to the rectangular post. An equivalent circuit can be easily derived from the scattering parameters of the propagating mode in the main waveguide. If we assume an equivalent circuit in the form of a , as given in the inset in Fig. 3, the series and shunt reactances and are given by the equations

(1) The dependence of these two normalized reactances on frequency is shown in Fig. 3. The series element is inductive over the 25–27-GHz frequency range. It also varies slowly with frequency, as shown by the dashed line. On the other hand, the shunt element is capacitive below the attenuation pole and inductive above it. This behavior can be modeled as a series LC circuit with a resonant frequency at the position of the attenuation pole. Naturally, the elements of the equivalent circuit are functions of the dimensions of the post and its

The band-reject element of Section II can be used to design inline pseudoelliptic band-reject (bandpass) filters, including those with broad stopbands and asymmetric frequency response. This is illustrated at the example of a second-order band-reject filter with two reflection zeros below the stopband. The low-pass prototype used to implement this filter is based on the extracted pole technique, as shown in Fig. 4 [7]. The elements of this network can be extracted by following the discussion in [3] or [7]. As a specific example, we consider a second-order band-reject filter with a stopband from 11.67 to 11.96 GHz. The stopband attenuation is 27 dB. Two reflection zeros are located at 11.500 and 11.565 GHz. With these specifications, the application of the technique in [3] yields the parameters , , , , , , and . Note the large value of the coupling coefficient (residue) to the second resonator. A standard implementation based on coupling cavities through apertures to the main waveguide is not possible. However, the filter is readily implemented by using the band-reject element introduced in this paper. A. Initial Design The actual implementation of the elements of the equivalent circuit is based on forcing the response of a given element in the circuit to match that of the part of the filter it represents.

744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 5. Equivalent low-pass circuit, which includes a more realistic model of the band-reject element. Fig. 4. Low-pass circuit of second-order inline band-reject filter with frequency-independent phase shifts ' .

For example, to determine the dimensions of a partial-height resonator, which generates an attenuation pole at normalized frequency , and which is coupled to the main waveguide by a normalized coupling coefficient , we force the shunt element in Fig. 3 to be (2) This equation is valid in the vicinity of the attenuation pole. If the shunt reactance is plotted versus the normalized frequency , then (2) shows that vanishes at the position of the attenuation pole and has a slope equal to . Hence, (3) The height of the partial-height post is adjusted to bring the attenuation pole to the correct position. The offset of the post is then changed to achieve the desired level of coupling (slope of ). Since the position of the attenuation pole is also affected by the strength of the coupling, the obtained values of the height and offset of the post are used as an initial guess in a simple fine optimization to enforce (2). The determination of the dimensions of the iris, which implements the coupling between the last post and the load, is carried out, for example, by forcing its response to match that of the inverter coupled to the load in Fig. 4. At this stage, the initial implementation of all the coupling coefficients of the filter is complete. The constant phase shifts are eventually implemented as uniform sections of the main waveguide. However, the phase shifts do depend on frequency in reality and are not constant as assumed in the equivalent circuit. Optimization on the equivalent circuit can be used to take into account this frequency dependence. To determine a good initial guess, we first include the actual equivalent circuit of the partial-height posts as given in Fig. 3 and correct for the presence of the series elements .

B. Corrections to Initial Design It is evident that the equivalent circuit in Fig. 4 upon which the synthesis is based does not include the actual equivalent circuit of the partial-height post. Indeed, the dangling resonators and their inverters ignore the series reactances in the equivalent circuit of an offset partial-height post that are shown in Fig. 3. These can be taken into account by mainly adjusting the phase shifts prior to optimization. To this end, the equivalent circuit in Fig. 4 is first replaced by the more realistic one shown in Fig. 5. From Fig. 3, we see that the series reactance weakly depends on frequency. We, therefore, assume that these are constant. Their values are also known from the first step in the initial design. To extract the elements of the network in Fig. 5 under these assumptions, we use the technique in [3] in which the phase of the reflection coefficient, at the position of the attenuation pole generated by the first post at the input, is determined from the fact that the input impedance is then equal to of the first post. The details are not presented here since the paper is focused on the band-reject element itself and its use in band-reject filters with strong coupling coefficients. The implementation of the inverter by an iris will affect the phase shift in Fig. 5. The inverter is constructed from the equivalent circuit of the iris by adding (negative) sections of waveguide, as shown in [10]. The (negative) phase shift on one side of the equivalent circuit of the inverter-forming iris is absorbed in the last phase shift in Fig. 5. This step completes the corrections to the initial design. At this stage, the frequency dependence of the phase shifts is included in the equivalent circuit. It is, however, not obvious how the initial values of the physical lengths of the uniform sections are chosen. For the current second-order filter, the physical lengths are determined by equating the constant phase shifts of the equivalent circuit to those of the waveguide sections at the center of the passband and not the stopband. The reason is that the stopband is only marginally affected by the phase shifts, which do not change the positions of the transmission zeros. The lengths of the sections are then optimized to improve the response away from the stopband.

ROSENBERG AND AMARI: NOVEL BAND-REJECT ELEMENT FOR PSEUDOELLIPTIC BANDSTOP FILTERS

745

Fig. 6. Layout of second-order bandstop filter based on partial-height posts in a rectangular waveguide.

Fig. 8. Fabricated filter in comparison with a two-euro coin.

Fig. 7. Simulated (solid lines) and synthesized responses of the second-order filter in Fig. 6.

C. Implementation and Results The structure depicted in Fig. 6 was used for the implementation of the second-order bandstop filter. A uniform waveguide section includes two partial-height posts and an inductive iris. The distances between the resonators, as well as between the second resonator and iris, result from the synthesis, i.e., the determined phases between the elements. Accordingly, the resonator position in waveguide cross section results from the respective coupling value, i.e., the first partial-height post is located rather close to the sidewall (weaker coupling), while the second one is located at a larger distance from the sidewall to accommodate the strong coupling value. A fine optimization of this initial structure was performed with the commercial computer-aided design (CAD) WaveWizard to satisfy the determined characteristic. The simulated response of the optimized filter is shown in Fig. 7 as solid lines. For comparison, the response of the equivalent network with the values obtained from the synthesis is shown as the dashed lines in this same figure. Good agreement is achieved over the stopband as well as the passband below it. Deviations are observed, especially at lower frequencies. These are attributed to dispersion and the presence of higher order modes. To experimentally verify these results, the structure has finally been realized in two parts. The photograph in Fig. 8

Fig. 9. Measured (solid lines) and simulated (dashed lines) responses of fabricated filter.55tmtt04-rosenberg

shows the disassembled filter. One part contains the uniform waveguide section with the inductive iris, i.e., completely three walls of the waveguide. The second part provides the fourth wall—with the two partial-height posts that extend into the waveguide after final assembly at the determined positions. This overall structure facilitates machining of the filter by CNC milling techniques, especially when the posts are located close to one of the narrow waveguide walls. The measured and simulated responses of the filter are depicted in Fig. 9 as the solid and dashed lines, respectively. Good

746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

agreement between the two results is achieved, thereby demonstrating the validity of the novel design. Deviations between the two results in the frequency range between the passband and stopband are due to the finite factor of the resonators. Silver-plating or thicker posts may be used to improve the factor. IV. CONCLUSIONS A novel band-reject element for the design of inline microwave band-reject (and bandpass) elliptic and pseudoelliptic filters is presented. The basic element is an offset partial-height post in a rectangular waveguide, which can be designed to yield an attenuation pole at a prescribed frequency. Filters are designed by placing the required number of elements in an inline configuration with uniform sections of waveguide (phase shifts) between them. The band-reject element is especially useful to implement strong coupling coefficients that are otherwise impossible to realize by existing techniques. Verification of the new design concept is provided by a second-order bandstop filter with an asymmetric response. The filter has been designed and realized. Good coincidence of analysis and measured results demonstrate the validity of the novel approach. Note that the basic element is a prerequisite for realizing strong coupled resonances in bandstop filters. Filter designs requiring weak/moderate, as well as strong coupled resonators may use a combination of the state-of-the-art concept with waveguide cavities coupled by irises for the weak/moderate coupled cavities [6] and the introduced partial-height posts for the strongly coupled resonators. REFERENCES [1] S. Amari and U. Rosenberg, “Direct synthesis of a new class of bandstop filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 607–616, Feb. 2004. [2] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [3] S. Amari, U. Rosenberg, and R. Wu, “Inline pseudoelliptic filters with nonresonating nodes and/or phase shifts,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 428–436, Jan. 2006. [4] R. Wu, S. Amari, and U. Rosenberg, “New cross-coupled microstrip band reject filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1597–1600. [5] J. S. Hong, “Microstrip dual-mode band-reject filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 12–17, 2005, 4 pp. [6] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching and Coupling Structures. New York: McGrawHill, 1964. [7] R. J. Cameron, “General prototype network synthesis methods for microwave filters,” ESA J., vol. 6, pp. 193–206, 1982. [8] S. Amari, “Direct synthesis of folded symmetric resonator filters with source-load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 264–266, Jun. 2001.

[9] R. J. Cameron, “Advanced coupling matrix synthesis technique for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [10] S. B. Cohn, “Theory of direct-coupled cavity filters,” Proc. IRE, vol. 45, no. 2, pp. 187–196, Feb. 1957. Uwe Rosenberg (M’90–SM’93) received the Dipl. Ing. degree (first-class honors) in electrical engineering (telecommunication technique) from the Fachhochschule der Deutschen Bundespost, Dieburg, Germany, in 1982. From 1982 to 1983, he was with Hydro Therm, Dieburg, Germany, where he was involved with the design and development of automatic safety and heating control circuits. From 1983 to 1985, he was with Technische Hochschule Darmstadt, Darmstadt, Germany, where he was involved with the design and development of experimental installations and software components for microcomputer control systems. In 1985, he joined the Space Division, ANT Nachrichtentechnik GmbH (now Tesat-Spacecom GmbH & Co. KG), Backnang, Germany, where he was engaged in research and development on microwave filters, multiplexers, and passive subsystems for communications satellites. Since 1989, he has been Head of the Research and Development Laboratory for Passive Microwave Components and Subsystems, which now belongs to Ericsson GmbH, Backnang, Germany (formerly, until to 2000, it was Public Networks Division, Bosch Telecom GmbH, and from 2000 to 2005, it was Marconi Communications GmbH). His responsibilities have encompassed research and development of integrated waveguide transceiver circuitry’s, channel branching networks (multiplexers), antenna feed and waveguide (feeder) systems for trunk and access radio applications, mobile base stations, large earth stations and communications satellites, as well as providing advice for the design of new radio equipment and production relevant aspects. He coauthored Waveguide Components for Antenna Feed Systems: Theory and CAD (Artech House, 1993). He has also authored or coauthored over 80 technical papers and has originated more than 40 granted microwave design patents. Mr. Rosenberg is a member of Verband der Elektrotechnik Elektronik Informationstechnik (VDE), Informationstechnische Gesellschaft (ITG), and Verein Deutscher Ingenieure (VDI). He is a Senior Member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antenna and Propagation Society (IEEE AP-S).

Smain Amari (M’98) received the D.E.S. degree in physics and electronics from Constantine University, Constantine, Algeria, in 1985, and the Masters degree in electrical engineering and Ph.D. degree in physics from Washington University in St. Louis, MO, in 1989 and 1994, respectively. From 1994 to 2000, he was with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada. From 1997 to 1999, he was a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland, and a Visiting Professor in Summer 2001. Since November 2000, he has been with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently a Professor. His research interests are numerical analysis, numerical techniques in electromagnetics, applied physics, applied mathematics, wireless and optical communications, CAD of microwave components, and application of quantum field theory in quantum many-particle systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

747

Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure Xian Qi Lin, Hui Feng Ma, Di Bao, and Tie Jun Cui, Senior Member, IEEE

Abstract—This paper presents a novel compact meta-structure, which is characterized by left-handed properties. In the novel structure, two linear arrays of metallic vias and short-stub inductors are used to generate shunt inductors besides the series interdigital capacitors. Compared with conventional composite right/left-handed transmission-line structures, the new structure has weaker coupling to other components and is easily controlled by adjusting the shunt inductance and series capacitance to produce different left-handed properties. Due to the isolation of two via arrays, the proposed structure can also be transplanted directly from the microstrip system to the coplanar-waveguide system. We have proposed an efficient procedure to retrieve the equivalent propagating modes for both forward and backward waves based on the matrix pencil method, which provides an easy way to study the propagation characteristics. Using such a meta-structure, a series of super-wide bandpass filters are designed and fabricated at different frequency bands. Good agreements between simulation and experiment results have been achieved, and good performance in passbands and stopbands has been observed with a relative 3-dB bandwidth larger than 70%. For easy design of such super-wide bandpass filters, an experienced formula is given between the physical size and the center frequency of the 3-dB passband, which can be used to redesign any filters at other frequency bands. Index Terms—Composite right/left-handed (CRLH) transmission line (TL), matrix pencil method, meta-structure, propagating mode, super-wide bandpass filter.

I. INTRODUCTION ECENTLY, artificial materials characterized by simultaneously negative permittivity and permeability , which are called metamaterials or left-handed materials (LHMs), have received considerable attention in both scientific and engineering communities [1]–[7]. Besides meta-structures composed of split-ring resonators and metal wires, the transmission-line (TL) approach of LHM was proposed almost simultaneously by three different groups [8]–[10]. Based on the TL approach, many novel microwave components have been designed such as hybrids, couplers, filters, phase shifters, and antennas [11]–[14]. However, most of proposed meta-structures are complicated to be analyzed and are difficult to keep balance

R

Manuscript June 14, 2006; revised November 20, 2006. This work was supported in part by the National Basic Research Program (973) of China under Grant 2004CB719802, in part by the National Science Foundation of China under Grant 60496317, Grant 60225001, Grant 60671015, and Grant 60621002, and in part by the National Doctoral Foundation of China under Grant 20040286010. The authors are with the Center for Computational Electromagnetics and the State Key Laboratory of Millimeter Waves, Department of Radio Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.892811

Fig. 1. Outline of a novel meta-structure with two unit cells.

from the left- to right-handed bands at different frequencies. In other words, we almost need new designs if the working frequency changes. Moreover, the periodical discontinuity of structures leads to serious coupling noise, especially in large or very large scale integrated circuits [15], [16]. In this paper, a novel compact composite right/left-handed (CRLH) structure is proposed, where two linear arrays of metallic vias and short stub inductors are used to generate the shunt inductance. Detailed transmission prosperities are discussed versus the conventional structures in Section II. Due to the equivalently electric walls of two arrays of vias, the novel structure is easy to be integrated with other components with weak side coupling of electromagnetic fields. This is very important and useful in large or very large scale integrated circuits, where serious coupling noises exist. The shunt inductors generated by stubs connecting to via-walls give more flexible selections of inductance values. Based on the matrix pencil method [17], [18], the detailed characteristics of wave propagation in the structure are investigated, from which one clearly observes the backward-wave properties in the left-handed regime. Using such a compact structure, a series of super-wide bandpass filters are designed and fabricated, as shown in Section III. Experimental and simulation results have good agreement. II. CHARACTERISTIC ANALYSIS A. Analysis on the Transmission Prosperities We propose a novel meta-structure, as shown in Fig. 1. The unit cell of the structure consists of a series interdigital capacitor and two coupling stub inductors connected to the sides of two

0018-9480/$25.00 © 2007 IEEE

748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 2. Equivalent-circuit model for the unit cell.

Fig. 4. Simulation results of S -parameters.

Fig. 3. Amplitudes of magnetic fields along the x-directional cross sections = 5:0 mm, w = 0:6 mm, and l = of four structures, where l 0:14 mm.

linear arrays of metallic vias, which can be regarded as two electrical walls. We fabricate the whole structure on the substrate of F4B-1/2, which is made of polytetra-fluoroethylene and glass fiber with a thickness of mm and a relative permittivity of . The feedlines at two ports have a width of 2.2 mm, which is matching to 50 . Four peg holes are used to fix the experiment board. The parameters , , , and indicate the distance between two vias, the length of interdigital capacitor, and the width and length of shunt stub, respectively. We also give the equivalent-circuit model of each unit cell, as shown in Fig. 2. Here, the left-handed components include the series interdigital capacitor and the shunt stub inductor shorted to via-walls, and the right-handed contributions contain the shunt capacitance and series inductance provided by the natural parasitics of the interdigital capacitor and stub inductor. and refer to the loss of structure. We remark that such lumped parameters can be easily retrieved using -parameters [13]. Here, we will give a detailed analysis on the transmission prosperities of such a meta-structure, which will lead to some superiorities compared to conventional CRLH structures [19]–[21]. First, we study the isolation of side coupling, which is mainly determined by the diameter of vias and vias periodicity . The software of CST Microwave Studio 5 [22] has been used for full-wave simulations of the structure. Fig. 3 illustrates the amplitudes of magnetic fields along the observation line 1 (see the sublet of Fig. 3), the centrally transverse cross section of two interdigital capacitors. Here, simulation results for four structures are presented at the frequency of 4.6 GHz, which is located in

the left-handed band. In the first structure, the via-walls do not exist, and shunt inductors are provided by vias from interdigital fingers connecting to the ground with a diameter of 0.2 mm. In the other three structures, the via-walls exist with different values. From Fig. 3, we observe that the novel meta-structures with via-walls have better isolation for side coupling. The smaller is, the weaker the leakage of the electromagnetic field. According to [23] and [24], in which the substrate integrated waveguide is synthesized using a dielectric substrate with linear arrays of metallic vias [25]–[27], the sizes of the via-walls can be chosen with the following relation: (1) where is the free-space wavelength at the operating frequency, and is the diameter of vias. From Fig. 3, we also notice that the amplitude of the magnetic field in the structure without via-walls is larger than those with via-walls. This is because the observation line is very close to the centrally small via, as shown in the sublet of Fig. 3. If we observe the magnetic field far away from the central via, such as line 2 in the sublet of Fig. 3, the magnetic field in the structure without via-walls will be smaller than those with via-walls. The corresponding -parameters of the four structures are demonstrated in Fig. 4. We clearly observe that the -parameters of three structures with via-walls have similar behaviors although is different. The insertion loss in such three cases is much smaller than that in the structure without via-walls. Moreover, the structure without via-walls is difficult to be fabricated using the conventional printed circuit board technology because the via diameter is too small. We remark that a good balance has been achieved from the left- to right-handed band in Fig. 4 under the balance condition [28], which yields super-wide passbands. In the meta-structure shown in Fig. 1, the shunt inductors are realized using short stubs connecting to via-walls instead of using vias shorted to ground. From the analysis in [11], we know

LIN et al.: DESIGN AND ANALYSIS OF SUPER-WIDE BANDPASS FILTERS USING NOVEL COMPACT META-STRUCTURE

749

Fig. 7. Outline of structure fed by CPW. Fig. 5. Two structures with longer stubs in which the overall sizes remain unchanged.

Fig. 6. S -parameters of structures shown in Figs. 1 and 5, where the stub inductance changes.

that a larger value of will lead to a wider left-handed passband. The formula to approximate the stub inductor is simply given by [29] nH (2) in which and are the width and length of the stub, and is the thickness of the substrate. All of and are in millimeters. Equation (2) shows that a longer and narrower stub possesses a larger value of inductance. We remark that stub inductors can also be used in the conventional CRLH structures. However, the width of the stub is limited by the minimum diameter of the shunt-inductor vias on it, and a very longer stub leads to a large area of unit cell and notable coupling noise. In the new meta-structure shown in Fig. 1, the stub width can be very thin since there is no via on it. We can even warp the stub and insert it into the side copper board to achieve a more compact size and less coupling. Two revised structures are shown in Fig. 5(a) and (b). We compare the -parameters of two such structures with those of the original design, as illustrated in Fig. 6. Here, mm, mm, mm, and mm. Clearly, wider passbands can be obtained by adding the length of stubs to increase . Due to the isolation of via-walls, the new meta-structure can be easily transplanted from the microstrip system to the coplanar

Fig. 8. Comparison of S -parameters for structures fed by CPW and microstrip line. (a) Amplitude. (b) Phase.

waveguide (CPW) system. This is another superiority different from the conventional CRLH structures. In order to verify this point, we change the source feeding system as shown in Fig. 7, where the CPW structure is adopted at two ports and all other parameters are the same as those shown in Fig. 1. We give the comparison of -parameters for structures fed by the CPW and microstrip, as illustrated in Fig. 8. We clearly observe good agreements of -parameters using two such feeding systems. In this manner, we can also use the new meta-structure as a connector between the microstrip and CPW circuits. B. Analysis of Propagation Modes The -parameters retrieval method has recently become the principal tool to characterize artificial materials. From the calculation of -parameters for finite-thickness samples, the bulk electromagnetic parameters can be extracted such as the refractive index , the wave impedance , the electric permittivity , and the magnetic permeability [30].

750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

TABLE I PROPAGATION MODES OF E

Fig. 9. Ten-unit-cell structure, where l l = 0:14 mm, and p = 0:974 mm.

= 5:0 mm,

w

= 0:6 mm,

TABLE II PROPAGATION MODES OF H

Fig. 10. Comparison of simulated and measured S -parameters for the ten-unitcell structure.

In practice, however, the properties retrieved by such a method contain the effect of connectors, where the total electromagnetic fields are used. Moreover, the multiple branches associated with the inverse cosine in the calculation make an unambiguous determination of material parameters. Reference [31] provides an improved retrieval procedure, but the multibranch problem was not really solved. Here, we propose a new retrieval procedure of propagation modes, which avoids the choice of multiple branches. This procedure is especially useful for multiunit structures. Based on the method of pencil matrix [17], [18], the total electric or magnetic field can be expanded as (3) is the total field exported by simulations, denotes where the distance between the source and observation points along the propagation axis, represents the dominant or higher order propagation mode, which contains input and reflected fields, and and are the amplitude and propagation constants of the corresponding mode. Take a ten-unit-cell structure, as shown in Fig. 9 as an example, where mm, mm, mm, and mm. Fig. 10 illustrates the comparison of -parameters simulated by CST Microwave Studio 5.0 and measured from the Agilent E8363B Network Analyzer. A good

agreement has been observed. We export the principal components of electromagnetic fields and at the frequency of 4.6 GHz in the left-handed band from CST simulations, which are used for retrieval. The propagation modes are expanded along the -axis, and the retrieved parameters are listed in Tables I and II. From Tables I and II, we notice that the dominant modes extracted from and are nearly the same, which present the backward-wave nature. In another word, the Poyntion vector is antiparallel with the phase velocity. The tables also show some higher order modes, which are useful to the analysis of effective wave properties for periodic structures. More dominant propagation modes containing the propagation and attenuation constants of and are computed at different frequencies from the left- to right-handed bands, as shown in Fig. 11. Clearly, the left-handed band is from 3.4 to 5.8 GHz, and the right-handed band is from 5.8 to 8.0 GHz, both of which have small losses. III. SUPER-WIDE BANDPASS FILTERS Here, we use the novel meta-structure to realize a series of super-wide bandpass filters. As analyzed in Section II, the area of each unit cell in the new meta-structure is nearly 20% smaller than that in the CRLH microstrip-line structure [19] and CRLH CPW structure [13], [21] at the same central frequency. We have shown that the new structure with two units has a good performance in the balance from the left-handed frequency band to the right-handed frequency band, as shown in Figs. 4, 6, and 8.

LIN et al.: DESIGN AND ANALYSIS OF SUPER-WIDE BANDPASS FILTERS USING NOVEL COMPACT META-STRUCTURE

751

Fig. 11. Propagation and attenuation constants of the dominant modes at different frequencies.

Fig. 12. Three super-wide bandpass filters.

Using the new structure, we only need to change a single parameter to design super-wide bandpass filters at different central frequencies, while keeping better performance in both the passband and stopband. Based on the CST simulation results, we develop an experienced formula describing the relation between and central frequency of the designed filter mm (4) Three super-wide bandpass filters have been designed and fabricated at central frequencies of 5.679, 3.751, and 2.576 GHz. In the above designs, all parameters are chosen as shown in Fig. 1, except different values of . Corresponding to the above frequencies, we choose as 5, 8, and 12 mm, respectively. The distance between two vias are selected as 1.0 mm to satisfy condition (1), and and are fixed as 0.6 and 0.14 mm. Fig. 12 shows a photograph of three such filters, and the simulation and experimental results are demonstrated in Fig. 13. Using the method reported in [13], the bulk lumped parameters of each unit cell shown in Fig. 2 are also extracted, as listed in Table III. We also provide the main performance parameters of these filters in Table IV, in which , , and represent the lowest, highest, and central frequencies of the 3-dB band

Fig. 13. Simulation and experimental results of the S -parameters. (a) l l = 8 mm, f = 3:751 GHz. (c) l

5 mm, f = 5:679 GHz. (b) 12 mm, f = 2:576 GHz.

= =

with a unit of gigahertz, and and voltage standing-wave ratio (VSWR) are the relative 3-dB bandwidth and the value of the standing wave ratio. From Fig. 13 and Tables III and IV, we clearly see that the simulation and experimental results have good agreements, and the designed filters have excellent performance. The relative 3-dB bandwidth is over 70% and VSWR in all three

752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

TABLE III EQUIVALENT LUMPED PARAMETERS OF EACH UNIT CELL FOR DIFFERENT FILTERS

TABLE IV MAIN PERFORMANCE PARAMETERS OF FILTERS

cases. Using (4), we can also design other filters in the required frequency bands easily. We remark that the experienced formula (4) is only used to de, keeping termine the central frequency of the passband from an overall good performance of the filter. When other parameters such as the bandwidth, passband response, and stopband cutoff are important, however, detailed optimization of other physical sizes are required. IV. CONCLUSION In this paper, we have presented the design and analysis of super-wide bandpass filters using a novel compact meta-structure. Based on the pencil matrix method, the dominant and higher order propagation modes of electromagnetic fields are extracted, which is useful to design the CRLH filters, antennas, and other microwave components. In the new structure, two arrays of metallic vias are used to confine most of fields within the structure. Hence, it is easily connected with other components with little coupling noise. The shunt inductor provided by the stub connecting to via-walls gives more flexibility to satisfy some special requirements. We also notice that such a structure is easy to be transplanted from the CPW system to microstrip-line system without any re-fabrications. Based on simulation results, we have presented a design formula, i.e., (4), which gives a convenient way to design filters working at different frequency bands by only changing one parameter. We also remark that the cheap F4B substrate has been used in our design and fabrication of filters. If low-loss substrates and better fabrication techniques are adopted, a better performance will be achieved.

REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of  and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, Jan.–Feb. 1968. [2] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [3] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 28, pp. 3966–3969, Oct. 2000. [4] C. Caloz, C. C. Chang, and T. Itoh, “Full-wave verification of the fundamental properties of left-handed materials in waveguide configurations,” J. Appl. Phys., vol. 90, no. 11, pp. 5483–5486, Dec. 2001. [5] N. Engheta, “Metamaterials with negative permittivity and permeability: Background, salient features, and new trends,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 187–190. [6] J. A. Kong, B. I. Wu, and Y. Zhang, “A unique lateral displacement of a Gaussian beam transmitted through a slab with negative permittivity and permeability,” Microw. Opt. Technol. Lett., vol. 33, no. 2, pp. 136–139, Apr. 2002. [7] C. Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of meta-materials,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 195–198. [8] ——, “Application of the transmission line theory of lefthanded (LH) materials to the realization of a microstrip ‘LH line’,” in IEEE AP-S Int. Symp., San Antonio, TX, Jun. 2002, vol. 2, pp. 412–415. [9] A. A. Oliner, “A periodic-structure negative-refractive-index medium without resonant elements,” in IEEE AP-S/URSI Int. Symp. Dig., San Antonio, TX, Jun. 2002, p. 41. [10] A. K. Iyer and G. V. Eleftheriades, “Negative refractive index metamaterials supporting 2-D waves,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 1067–1070. [11] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Micro, pp. 34–50, Sep. 2004. [12] H. Kim, A. B. Kozyrev, A. Karbassi, and D. W. van der Weide, “Linear tunable phase shifter using a left-handed transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 366–368, May 2005. [13] S.-G. Mao, M.-S. Wu, Y.-Z. Chueh, and C. H. Chen, “Modeling of symmetric composite right/left-handed coplanar waveguides with applications to compact bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3460–3466, Nov. 2005. [14] Q. Zhu, Z. X. Zhang, and S. J. Xu, “Millimeter wave microstrip array design with CRLH-TL as feeding line,” in IEEE AP-S Int. Symp., Jun. 2004, vol. 3, pp. 3413–3416. [15] A. O. Adan, M. Fukumi, K. Higashi, T. Suyama, M. Miyamoto, and M. Hayashi, “Electromagnetic coupling effects in RFCMOS circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 1, pp. 39–42. [16] P. Heydari and M. Pedram, “Capacitive coupling noise in high-speed VLSI circuits,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 3, pp. 478–487, Mar. 2005. [17] T. K. Sarkar, Z. A. Maricevic, and M. Kahrizi, “An accurate de-embedding procedure for characterizing discontinuities,” Int. J. MIMICAE, vol. 2, pp. 135–143, 1992. [18] T. K. Sarkar and O. Pereira, “Using the matrix pencil method to estimate the parameters of a sum of complex exponentials,” IEEE Trans. Antennas Propag. Mag., vol. 37, no. 2, pp. 48–55, Feb. 1995. [19] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right-/left-handed coupled-line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. [20] Z. X. Zhang and S. J. Xu, “A novel balun structure with a composite right–left-handed transmission line,” Microw. Opt. Technol. Lett., vol. 45, no. 5, pp. 422–424, Jun. 2005. [21] J. Gao and L. Zhu, “Characterization of infinite- and finite-extent coplanar waveguide metamaterials with varied left- and right-handed passbands,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 805–807, Nov. 2005. [22] “CST Microwave Studio 5 User’s Manual,” CST Ltd., Darmstadt, Germany, 2003. [23] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoeletronics,” in Proc. 6th Telecommun. Modern Satellite, Cable, Broadcast. Service, Oct. 2003, vol. 1, pp. P-III–P-X. [24] D. Deslandes and K. Wu, “Millimeter-wave substrate integrated waveguide filters,” in Proc. IEEE Elect. Comput. Eng. Conf., May 2003, vol. 3, pp. 1917–1920.

LIN et al.: DESIGN AND ANALYSIS OF SUPER-WIDE BANDPASS FILTERS USING NOVEL COMPACT META-STRUCTURE

[25] Z. C. Hao, W. Hong, X. P. Chen, J. X. Chen, K. Wu, and T. J. Cui, “Multilayered substrate integrated waveguide elliptic filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 95–97, Feb. 2005. [26] F. Xu, Y. L. Zhang, W. Hong, K. Wu, and T. J. Cui, “Finite difference frequency domain algorithm for modeling guided-wave properties of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2221–2227, Nov. 2003. [27] L. Yan, W. Hong, K. Wu, and T. J. Cui, “Investigations on the propagation characteristics of SIW,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 152, no. 1, pp. 35–42, Feb. 2005. [28] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004. [29] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2003. [30] X. D. Chen, T. M. Grzegorczyk, B. I. Wu, J. Pacheco, Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, 2004, 016608. [31] D. R. Smith, D. C. Vier, T. Koschny, and C. M. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, 2005, 036617.

Xian Qi Lin was born in Zhejiang Province, China, on July 9, 1980. He received the B.S. degree in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 2003, and is currently working toward the Ph.D. degree at the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China. His research interests include computational electromagnetics, metamaterial, microwave circuits, and antennas.

Hui Feng Ma was born in Jiangsu Province, China, on December 10, 1981. He received the B.S. degree in electrical engineering from the Nanjing University of Science and Technology, Nanjing, China, in 2004, and is currently working toward the Ph.D. degree at the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing, China. His research interests include design and analysis of microwave circuits and metamaterials.

753

Di Bao was born in Jiangsu Province, China, on May 16, 1983. She received the B.S. degree in radio engineering from Southeast University (SEU), Nanjing, China, in 2006, and is currently working toward the M.S. degree at the State Key Laboratory of Millimeter Waves, Southeast University. Her current research interests include nanomaterials and applications of metamaterials in microwave circuit designs.

Tie Jun Cui (M’98–SM’00) was born in September 1965, in Hebei, China. He received the B.Sc., M.Sc., and Ph.D. degrees in electrical engineering from Xidian University, Xi’an, China, in 1987, 1990, and 1993, respectively. In March 1993, he joined the Department of Electromagnetic Engineering, Xidian University, and became an Associate Professor in November 1993. From 1995 to 1997, he was a Research Fellow with the Institut für Höchstfrequenztechnik und Elektronik (IHE), University of Karlsruhe, Karlsruhe, Germany. In July 1997, he joined the Center for Computational Electromagnetics, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, initially as a Post-Doctoral Research Associate and then as a Research Scientist. In September 2001, he became a Chang-Jiang Professor with the Department of Radio Engineering, Southeast University, Nanjing, China, under the Cheung Kong Scholar Program awarded by the Ministry of Education, China. He is currently an Associate Director with the State Key Laboratory of Millimeter Waves, Southeast University. He has authored four book chapters, over 100 scientific journal papers, and has presented over 50 conference papers. His research interests include wave propagation, scattering, inverse scattering, landmine detection, geophysical subsurface sensing, fast algorithms, integrated circuit simulations, and metamaterials. Dr. Cui is a member of URSI (Commission B). He is a Senior Member of the Chinese Institute of Electronics (CIE). He is currently an associate editor for the IEEE TRANSACTIONS ON GEOSCIENCE AND REMOTE SENSING. He is a member of the Editorial Staff for the IEEE Antennas and Propagation Magazine. He was the recipient of a 1999 Young Scientist Award presented by the International Union of Radio Science (URSI), a 1995 Research Fellowship presented by the Alexander von Humboldt Foundation, Bonn, Germany, a 2002 National Science Foundation of China for Distinguished Young Scholars, and a 2003 Teaching Award presented by Southeast University.

754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications Shunzhou Li, Jiandong Huang, Qingduan Meng, Liang Sun, Qiang Zhang, Fei Li, Aisheng He, Xueqiang Zhang, Chunguang Li, Hong Li, and Yusheng He

Abstract—An ultra-selective high-temperature superconducting bandpass filter was designed and fabricated to satisfy the demands of the third-generation wireless communications. This filter was designed to have 12-pole quasi-elliptic response with 4.68-MHz bandwidth in the third-generation communications band (a fractional bandwidth of 0.0023). Full-wave simulations were conducted by using Sonnet software. A novel, compact, and low radiation resonator with a high-quality ( ) factor value was developed to reduce the parasitical coupling. In order to satisfy the demand of high band-edge steepness, three pairs of transmission zeros at finite frequencies were introduced in the cascaded quadruplet coupling structure. The filter was fabricated on a 2-in-diameter 0.43-mm-thick sapphire wafer with double-sided YBCO films. The measurements showed that the passband center frequency ( 0 ) of the filter is 2.038 GHz at 67 K with a midband insertion loss of 0.67 dB and the return loss better than 15 dB. The measured response of the filter also exhibited ultra-high band-edge steepness of 140–220 dB/MHz. Better than 60 dB of out-of-band rejections 2 7 MHz), for frequencies very close to the band edge ( 0 better than 90 dB at frequencies 7.5 MHz away from the center frequency and up to 100 dB of wideband rejections were achieved. Index Terms—High-temperature superconducting (HTS), microwave filter, third-generation wireless communications.

I. INTRODUCTION ODAY, wireless communication industry has been growing very rapidly. There is an increased requirement for raising wireless communication system performance to increase capacity and improve data quality at the crowd frequency band conditions. This means that the wireless communication base-station receivers should have much higher selectivity on desired signals to reduce the interference of the intermodulation products from co-channels or adjacent channels and have much lower noise figure to increase their ability of detecting weak signals. A receiver front-end subsystem, which integrates with narrowband highly selective high-temperature superconducting

T

Manuscript received September 19, 2006; revised December 15, 2006. S. Li is with the National Laboratory for Superconductivity, Institute of Physics and Institute of Acoustics, Chinese Academy of Sciences, Beijing 100080, China (e-mail: [email protected]). J. Huang, Q. Meng, L. Sun, Q. Zhang, F. Li, A. He, X. Zhang, C. Li, H. Li, and Y. He are with the National Laboratory for Superconductivity, Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences, Beijing 100080, China (e-mail: yshe@ aphy.iphy.ac.cn) Digital Object Identifier 10.1109/TMTT.2007.892813

Fig. 1. Configuration of 12-pole cascaded quadruplet filter, dashed line denotes cross coupling, solid line denotes main coupling.

(HTS) filters and low-noise amplifiers in a cryocooler, seems a solution. High-temperature superconductor film has very low RF surface resistance, which has drawn special attention to RF passive devices applications. Perhaps one of the most attractive application of HTS materials in RF passive devices is the narrowband highly selective filter, which is greatly superior to the conventional filter with large insertion loss because of its narrowband and higher orders. The HTS receiver front-end subsystems of the base station for wireless communications have been widely investigated over the past several years [1]–[6]. HTS filters in a third-generation wireless base station were tested, and significant improvements in system performance were reported [5], [6]. There are several papers reported on extremely narrowband highly selective HTS filter [7]–[12] for third-generation wireless communication applications and other applications. In this paper, a 12-pole narrowband highly selective HTS filter for third-generation wireless communication applications is presented. The filter has a center frequency of 2.038 GHz and a bandwidth of 4.68 MHz, which is designed originally for containing three channels of 1.28-MHz bandwidth per channel, resulting in a fraction bandwidth of approximately 0.23%. The filter is designed to produce three pairs of transmission zeros located symmetrically at both sides of the passband, which greatly increased the band-edge steepness of the filter, resulting in effective improvement of the filter’s selectivity. II. FILTER CONFIGURATION The configuration of the filter is a cascaded quadruplet construction, as shown in Fig. 1. In this 12-pole filter construction, three cross couplings, i.e., M14, M58, and M912, are introduced in three cascaded quadruplet blocks, respectively, which, as a result, produce three pairs of transmission zeros at each side of the

0018-9480/$25.00 © 2007 IEEE

LI et al.: 12-POLE NARROWBAND HIGHLY SELECTIVE HTS FILTER FOR APPLICATION IN THIRD-GENERATION WIRELESS COMMUNICATIONS

755

Fig. 3. (a) Resonator. (b) Cascaded quadruplet block. Fig. 2. Calculated responses of the filter with normalized frequency.

passband symmetrically. These transmission zeros will greatly increase the steepness of the band edge and improve the selectivity of this filter. Comparing other kinds of construction that introduced transmission zeros at finite frequencies in a filter, cascaded quadruplet construction has an extra advantage that the cascaded quadruplet filter will be easier to tune after fabrication. This is because each pair of transmission zeros is produced by a certain cascaded quadruplet block and the location of the transmission zeros could be adjusted by changing the cross coupling in the corresponding cascaded quadruplet block independently. This gives a great superiority in tuning highly selective multipole filters that usually have several pairs of transmission zeros at finite frequencies. In this filter, the three pairs of transmission zeros were designed at and on the complex plane, respectively. According to [13], a computer program was developed to calculate the coupling matrix of this filter and plot the resulting theoretical response curves of this filter with normalized frequency (Fig. 2). For the filter in real frequency band (e.g., with a bandwidth of 4.68 MHz), these transmission zeros should be located at a distance of 187, 281, and 702 kHz from the band edges, respectively. III. RESONATOR DESIGN Resonator design is very important in constructing a narrowband planar filter. We will deal with the following particular difficulties to design a resonator that satisfies the requirements of an extremely narrowband planar filter. First of all, the unload value of the resonator should be as high as possible for reducing insertion loss of the filter to an acceptable level, which is the main problem in the design of narrowband highly selective filters. Secondly, the radiation excited by the resonator should be weak enough in its vicinity so that a smaller separation gap between two adjacent resonators becomes possible under the weak coupling condition required by a narrowband filter. This will reduce the physical layout of a narrowband multipole HTS filter to an acceptable size. Thirdly, under the condition that enough coupling between the adjacent resonators can be achieved, the radiation from all resonators in all directions should be attenuated as fast as possible to restrain

or even eliminate the parasitic coupling between any nonadjacent resonators. This is actually a very important and very difficult work in narrowband filter design. For a narrowband filter, where the direct coupling between the adjacent resonators is weak enough, only a tiny amount of parasitic coupling could result in unacceptable worsening of filter performance. Lastly, the resonator, as used in cascaded quadruplet configuration, should be carefully designed so as to be able to realize the required cross coupling conveniently and reduce the parasitic coupling between the nonadjacent resonators such as resonators 1 and 3 shown in Fig. 3(b). Bearing the above requirements in mind, a new microstrip resonator, which should be more suitable for use in HTS narrowband highly selective filters and more compact, has been developed from the pioneer design of meander open-loop resonator reported by Hong et al. [14], which has been successfully used in an HTS filter for a DCS 1800 wireless system. Our newly designed half-wavelength microstrip resonator is actually a revision of the above pioneer design by folding it into nested multiloops; hence, the new design is called a multiloop resonator, as shown in Fig. 3(a). The resonating currents flowing in the multiloop resonator will be in opposite directions at adjacent loops, causing counteractions of electromagnetic (EM) fields in space and, hence, reducing the radiation of the resonator. A test resonator was made by a piece of double-sided YBCO film on sapphire substrate for quality evaluation. The measured unloaded value of this resonator is in the order of 6.4 10 at 67 K. The comparison of the coupling coefficients ( ) between the pioneer design of meander open-loop resonators and our revised design of multiloop resonators (Fig. 4) was conducted by full-wave EM simulations. Efforts had been made in the simulations to keep the linewidth of the two kind resonators, as well as their resonant frequencies, as close as possible. The coupling coefficients can be computed by , where and are split resonant frequencies, is the resonant frequency of two resonators [15]. It can be clearly seen from Fig. 4 that the coupling coefficient of the pioneer design is much higher than that of our revised design at the space up to 4–5 mm. Bearing in mind that one of the most important couplings (parasitic coupling) is arising from that between resonators 1 and 3 or 2 and 4, which are in diagonal configuration, similar space-dependent coupling coefficient studies were also conducted for different configurations of the resonators. It has been found that different configurations of

756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 4. Comparison of simulated coupling coefficients between two different kind pairs of coupled resonators. (a) Pioneer design of meander open-loop resonators, from [14]. (b) Revised design of multiloop resonators.

Fig. 5. Simulated (lossless) and measured (at 67 K) S 21 responses of the filter. (The bottom x-axis is the frequency axis of the simulated response and the top x-axis is the frequency axis of the measured response.)

the two resonators do bring slight change in the absolute values of the coupling, but the general trends of them are more or less the same. The distance between resonators 1 and 3 or resonators 2 and 4 shown in Fig. 3(b) is approximately 2–3 mm. Thus, the parasitic coupling of resonators 1 and 3 or 2 and 4, called m13 or m24, is much smaller than those of the meander open-loop resonators. It can then be concluded that our revised resonator is more suitable for constructing narrowband filters with cascaded quadruplet structures because of its comparatively smaller size and lower parasitic couplings (e.g., m13 and m24). IV. FILTER SIMULATION, FABRICATION, AND MEASUREMENTS The filter was designed and fabricated by using a 2-in doublesided YBCO film on an -plane sapphire substrate of which the thickness is 0.43 mm. The sapphire substrate was chosen for our filter design and fabrication because of extremely low dielectric loss, not suffering from twin boundaries (as LaAlO substrate does), and being cheaper, easier to handle, much stronger than MgO substrate and a good thermal conductor. However, since the dielectric permittivity of sapphire is anisotropic, the relative dielectric constant is not a single value, but a tensor. In order to simplify the filter design, an effective isotropic dielectric constant, i.e., 10.0556, was deduced and used for the filter design [9]. The arrangement of the resonators in each cascaded quadruplet block is shown in Fig. 3(b). In this arrangement, the two resonators 1 and 4 introduce a cross coupling, which has an opposite phase with respect to the direct coupling introduced by the two resonators 2 and 3. Thus, a pair of transmission zeros is produced. Through the two short lines under resonators 1 and 4, the three quadruplet sections are coupled so as to build a 12-pole filter. Full-wave EM simulation was performed by using Sonnet software. To reduce the internal memory requirement, as well as the simulation period, the filter was set for “lossless” in our simulation. The solid lines of Figs. 5 and 6 show the simulated frequency responses. All six transmission zeros are located symmetrically at both sides of the passband, indicating that parasitic couplings

Fig. 6. Simulated (lossless) and measured (at 67 K) S 11 responses of the filter. (The bottom x-axis is the frequency axis of the simulated response and the top x-axis is the frequency axis of the measured response.)

between any two nonadjacent resonators have been effectively restrained. The maximum return loss in the simulated response curve is approximately 19.6 dB. The filter was fabricated by a standard photolithograph and ion milling process. It was then assembled into a test house and was measured at low temperatures. The dashed lines of Figs. 5 and 6 show the measured results. It can be seen that the passband center frequency of the filter is 2.038 GHz at 67 K with a midband insertion loss of 0.67 dB. After tuning at low temperature, return loss better than 15 dB was achieved. The resulted band-edge steepness reached 140 dB/MHz at the lowfrequency edge and 220 dB/MHz at the high-frequency edge of the passband, respectively. The effective resonator was estimated from the insertion loss of the filter and a value of 60 000 was obtained, which is close to the measured unloaded of the test resonator. Considering the extra advantage of the cascaded quadruplet filter on tuning, which we mentioned earlier, we can adjust the location of the three pairs of transmission zeros by tuning the three cascaded quadruplet blocks independently. Fig. 7 gives the

LI et al.: 12-POLE NARROWBAND HIGHLY SELECTIVE HTS FILTER FOR APPLICATION IN THIRD-GENERATION WIRELESS COMMUNICATIONS

757

Fig. 7. Positions of the tuning screws.

Fig. 9. Measured transmission zeros at higher band edge at 67 K.

Fig. 8. Measured wideband responses of the filter.

positions of the tuning screws in one cascaded quadruplet. The function of screws 1–3 is to tune the adjacent couplings of the cascaded quadruplet, the function of screw 4 is to tune the cross coupling of the cascaded quadruplet, the function of screws 5 and 6 is for the couplings between the cascaded quadruplets, and that of screws 7–10 is for tuning of frequencies of each resonator. After tuning the three pairs of transmission zeros accurately, tuning the whole 12-pole filter seems easy. It is worth pointing out that tuning of the couplings will bring considerable changes of the frequencies of the related resonators. Therefore, it is strongly suggested that tuning of the couplings and tuning of the frequencies should be carried out by turns. Nevertheless, it is recognized that the better the simulated responses are, the easier the tuning process will be. Figs. 5 and 6 show that the center frequency of the measured response is nearly 7 MHz higher than that of the simulated ones. It can be attributed to the minor difference between parameters of the real materials used in fabrications and those used in computing simulations, e.g., the thickness and/or the dielectric constant of the sapphire substrate. Since losses are neglected in the simulation, the simulated insertion loss of the filter is 0 dB and the band-edge steepness seems better than the measured response. Excellent out-of-band rejections were achieved: better than 60 dB for frequencies very close to the band edge ( 2.7 MHz from the center) and better than 90 dB at frequencies only 7.5 MHz or more away from the central frequency. Fig. 8

shows the response of this filter in a wideband range and a rejection close to 100 dB was achieved. In order to see the locations of the transmission zeros clearly, the transmission response curve of the filter was measured with a higher source power, larger average factor (256 times), and smaller frequency span. Fig. 9 shows the locations of the transmission zeros at a high band edge in a very narrow frequency range. It is worth pointing out that there are some deviations between the measured locations and the simulated locations, implying further tuning might still be necessary (though very tricky). Nevertheless, it is vital to see that the three pairs of transmission zeros do exist and do play important roles in forming the very steep band-edge skirts and in making deep out-of-band rejections of the filter. V. CONCLUSION A 12-pole cascaded quadruplet narrowband highly selective HTS planar filter has been designed and realized. The center frequency of this filter is 2.038 GHz, and the bandwidth is 4.68 MHz, corresponding to a fractional bandwidth of 0.23%. Three pairs of transmission zeros have been introduced by three cascaded quadruplet blocks and located at 187, 281, and 702 kHz, respectively, from both band edges. A novel multiloop resonator has been developed, which has lower radiation and more compact structure, being more suitable for use in a narrowband highly selective HTS filter. The filter was fabricated on a 2-in 0.43-mm-thick sapphire substrate with YBCO film deposited on both sides. After careful tuning, three pairs of transmission zeros clearly appear in the response curve, as expected, from the computer simulation, giving rise to the satisfactory results: return loss of 15 dB, band-edge steepness of 140–220 dB/MHz, and out-of-band rejection of 60 dB for MHz, of 90 dB for MHz, and up to 100 dB for those further away from center frequency , which are in excellent agreement with those predicted by the calculated and simulated response curves. REFERENCES [1] STI Inc., “A receiver front end for wireless base stations,” Microw. J., vol. 39, no. 4, pp. 116–120, 1996.

758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[2] H. Li, Y.-S. He, A.-S. He, S.-Z. Li, C.-G. Li, L.-W. Yan, J.-T. Liang, W.-X. Zhu, Y. Zhou, J.-S. Hong, and M. J. Lancaster, “A demonstration HTS bas station sub-system for mobile communications,” Superconduct. Sci. Technol., vol. 15, pp. 276–279, Feb. 2002. [3] R. B. Greed, D. C. Voyce, J.-S. Hong, M. J. Lancaster, M. Reppel, H. J. Chaloupka, J. C. Mage, R. Mistry, H. U. Häfner, G. Auger, and W. Rebernak, “An HTS transceiver for third generation mobile communications,” IEEE Trans. Appl. Superconduct., vol. 9, no. 2, pp. 4002–4005, Jun. 1999. [4] Y. Ueno, N. Sakakibara, T. Yamada, M. Okazaki, and M. Aoki, “Hightemperature superconducting receiving filter subsystem for telecommunication base station,” IEICE Trans, Electron., vol. E82-C, no. 7, pp. 1172–1176, Jul. 1999. [5] B. Willemsen, “HTS filter subsystems for wireless telecommunications,” IEEE Trans. Appl. Superconduct., vol. 11, no. 1, pp. 60–67, 2001. [6] D. Jedamzik, R. Menolascino, M. Pizarroso, and B. Salas, “Evaluation of HTS sub-systems for cellular basestations,” IEEE Trans. Appl. Superconduct., vol. 9, no. 2, pp. 4022–4025, Jun. 1999. [7] G. Tsuzuki, S. Ye, and S. Berkowitz, “Ultra selective HTS bandpass filter for 3 G wireless application,” IEEE Trans. Appl. Superconduct., vol. 13, no. 2, pp. 261–264, Jun. 2003. [8] J.-S. Hong, E. P. McErlean, and B. K. Karyamapudi, “A high temperature superconducting filter for future mobile telecommunication systems,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1976–1981, Jun. 2005. [9] J.-S. Hong and E. P. McErlean, “Narrow-band HTS filter on sapphire substrate,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1105–1108, Jun. 2004. [10] K. Dustakar and S. Berkowitz, “An ultra-narrowband HTS bandpass filter,” IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, pp. 1881–1884, Jun. 2003. [11] E. Picard, V. Madrangeas, S. Bila, J. C. Mage, and B. Marcilhac, “Very narrow band HTS filters without tuning for UMTS communications,” in 34th Eur. Microw. Conf., Oct. 2004, vol. 2, pp. 1113–1116. [12] J.-S. Hong, E. P. McErlean, B. Karyamapudi, M. Cox, and M. Shiel, “Superconducting filters for wireless communication applications,” in Proc. 4th Int. Microw. Millimeter Wave Technol. Conf., Aug. 2004, pp. 264–267. [13] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [14] J.-S. Hong, M. J. Lancaster, and Y. He, “Superconducting quasi-elliptic function filter on r -plane sapphire substrate,” in Proc. Int. Microw. Millimeter Wave Technol. Conf., Beijing, Sep. 2000, pp. 167–171. [15] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Application. New York: Wiley, 2001, pp. 244–258.

Shunzhou Li received the B.S. degree in physics from the Central South Institute of Mining and Metallurgy, Changsha, China, in 1982, and the M.S. degree in microelectronic devices and solid-state physics from Beijing Normal University, Beijing, China, in 1988. In 1988, he joined Peking University, Beijing, China, as a Research Engineer with the Institute of Microelectronics. Since 1990, he has been with the Institute of Acoustics, Chinese Academy of Sciences, Beijing, China, where he is currently a Senior Engineer. In 2001, he was on leave as a Guest Researcher with the National Laboratory for Superconductivity, Institute of Physics, and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences, Beijing, China.

Jiandong Huang was born in Hubei, China, in 1977. He received the Ph.D. degree from the Institute of Physics, Chinese Academy of Sciences, Beijing, China. In September 2003, he joined the Institute of Physics, Chinese Academy of Sciences. His research interest is microwave application of HTSs, especially the design and fabrication of HTS filters and subsystems.

Qingduan Meng was born in Henan, China, in 1978. He received the Ph.D. degree from the Institute of Physics, Chinese Academy of Sciences, Beijing, China. In September 2003, he joined the Institute of Physics, Chinese Academy of Sciences. His research interest is the design and fabrication of HTS filters and tunable ferroelectric devices.

Liang Sun was born in Kaifeng, Henan, China, on June 27, 1981. He received the B.S. degree in physics from Peking University, Beijing, China, in 2003, and is currently working toward the Ph.D. degree at the Institute of Physics, Chinese Academy of Sciences, Beijing, China. In September 2003, he joined the Institute of Physics, Chinese Academy of Sciences. His research interest is microwave application of HTSs, especially the design and fabrication of HTS filters and subsystems.

Qiang Zhang was born in Liling, Hunan, China, on September 7, 1980. He received the B.S. degree in microelectronics and solid-state electronics from the University of Electronic Science and Technology of China, Chengdu, Sichuan, China, in 2003, and is currently working toward the Ph.D. degree at the Institute of Physics, Chinese Academy of Science, Beijing, China. In September 2003, he joined the Institute of Physics, Chinese Academy of Sciences. His research interest is microwave application of HTSs, especially the design and fabrication of HTS filters and subsystems.

Fei Li was born in Shanxi, China, in 1980. He received the B.S. degree in electronics from Tianjin University, Tianjin, China, in 2003, and is currently working toward the Ph.D. degree at the Institute of Physics, Chinese Academy of Sciences, Beijing, China. He is currently with the Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Science. His research interest is computer-aided design and tunable ferroelectric devices.

Aisheng He received the B.S. degree in physics from Beijing Normal University, Beijing, China, in 1963. Since 1979, he has been with the Physics Department, North China University of Technology, Beijing, China, where he is currently a Professor. In 1999, he joined the Institute of Physics, Chinese Academy of Science, Beijing, China, as a Visiting Professor. His research interest is the design and fabrication of HTS microwave devices. Prof. He is a member of the Chinese Physics Society.

Xueqiang Zhang was born in 1963. He received the B.S. degree in physics from Hebei University, Baoding, China, in 1984, the M.S. degree in physics from Nankai University, Tianjin, China, in 1995, and the Ph.D. degree in physics from the Institute of Physics, Chinese Academy of Sciences, Beijing, China, in 2000. Since 2000, he has been with the National Laboratory for Superconductivity, Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences, where he is currently an Associate Professor. His research interest is the microwave application of HTSs.

LI et al.: 12-POLE NARROWBAND HIGHLY SELECTIVE HTS FILTER FOR APPLICATION IN THIRD-GENERATION WIRELESS COMMUNICATIONS

Chunguang Li was born in Shandong, China, in 1973. He received the B.S. degree in condensed matter physics from Shandong University, Shandong, China, in 1994, and the Ph.D. degree in condensed matter physics from the Institute of Physics, Chinese Academy of Sciences, Beijing, China, in 2000. His research interests were concerned with the flux dynamics and microwave properties of HTSs. His current research interest is focused on superconductor microwave devices.

Hong Li received the B.S. degree in electrical and electronics engineering from Beijing Television University, Beijing, China, in 1982. From 1984 to 1999, she was with the Cryogenic Laboratory, Chinese Academy of Science. In 1999, she joined the Institute of Physics, Chinese Academy of Sciences, Beijing, China, where she is currently a Senior Engineer. Her research interest is on the fabrication technology and characterization on HTS thin films and passive microwave devices.

759

Yusheng He received the B.S. degree in physics from Peking University, Beijing, China, in 1969, and the Ph.D. degree in condensed matter physics from Sussex University, Sussex, U.K., in 1984. From 1984 to 1995, he was with the Physics Department, Tsinghua University. In 1995, he joined the Cryogenic Laboratory, Chinese Academy of Sciences (CAS), Beijing, China. He is currently a Professor with the National Laboratory for Superconductivity, Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences. His research interests are fundamental physics and technology related to HTSs, especially microwave properties and passive devices.

760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

A New Coupling Matrix Extracting Method From the Frequency Response Fei Li, Xueqiang Zhang, Chunguang Li, Qiang Zhang, Liang Sun, Hong Li, Aisheng He, and Yusheng He

Abstract—Coupled resonators are widely used in many applications, especially for filters. It is necessary to know the coupling matrix of those resonators in order to further modify and/or optimize the existing design. In recent years, many efforts have been made to generate a coupling matrix. In this paper, a new method is proposed, which can be applied for both the synchronous and asynchronous coupled resonators. With this method, the eigenvalues and eigenvectors of the coupling matrix can be generated directly from the simulated frequency responses, and the coupling matrix can then be determined. Typical examples are illustrated for the cases such as a quadruplet with an image, real, or complex transmission zeros. The impact of loss is also discussed. As a matter of fact, this method has been successfully used in the design of high-temperature superconducting filters. Index Terms—Complex transmission zeros, computer-aided analysis, coupling matrix, poles and zeros, resonator filters. Fig. 1. Simulated frequency response of a two-resonator filter.

I. INTRODUCTION

C

OUPLED resonators are widely used in many applications [1] such as a coupled-resonators group-delay equalizer, coupled-resonators bandpass filter, and bandstop filter [2]–[4]. In the design, the coupling matrix is one of the most important parameters. Generally speaking, the procedure of design usually involves three basic steps. Firstly, a theoretical coupling matrix that meets the frequency response requirements should be synthesized, e.g., through the method introduced in [4]–[7]. Secondly, in order to realize the above theoretical concept, an attempt layout of coupled resonators should be proposed. The frequency response for the layout could be generated by computer simulations, which, as expected, is usually not the same. To understand what is wrong with the attempt structure and to find out the right direction to amend the structure, it is necessary to extract the coupling matrix of this layout. Thirdly, the layout should be modified time and again until the extracted coupling matrix of the modified structure is close enough to the theoretical one so as to ensure the required specifications can then be achieved. It can be seen that, among all the steps, the key procedure is to extract the coupling matrix , which, however, cannot be obtained easily and is indeed one of the most difficult problems in the design. Manuscript received September 14, 2006; revised December 4, 2006. This work was supported by the Chinese National Nature Science Foundation under Project 10474127. The authors are with the National Laboratory for Superconductivity, Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences, Beijing 100080, China (e-mail: li_fei@mails. gucas.ac.cn; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892815

Many efforts have been made to solve this problem and some methods have been developed that can generate the coupling matrix from the computer simulated response. For two resonators, the following equation is usually used [1], [7]: (1) where are the two transmission peaks in the frequency response, as shown in Fig. 1, and denotes the coupling coefficient between the first and second resonators. As for the complicated cases, such as more than two resonators or the coupled resonators with cross coupling, it is difficult to generate their coupling matrix directly from the response curves. Many efforts have made to develop methods for solving the problem. In [8], a method was reported to construct a coupling matrix based on the phase response of the reflection coefficients. The method, however, cannot be applied to the filters with complex transmission zeros. It can be seen later that this problem can be solved by an optimized procedure included in this paper. In [9], a method using rational approximation to extract coupling information from scattering measurements of the filter was discussed. The method is very powerful in the analysis or synthesis of the filter from the measured response. It is very useful in the later stage of design when the whole structures of the filter are almost determined. The procedure of the method, however, seems a bit too complicated for the early stages of design, especially when the rough position of each resonator is not known. A comparatively simple method should speed up the design. In this paper, we will present a new method, which will use a comparatively simple way to extract the coupling matrix from the simulated frequency response curves of filter. Both theory of the method and application examples are introduced and discussed.

0018-9480/$25.00 © 2007 IEEE

LI et al.: NEW COUPLING MATRIX EXTRACTING METHOD FROM FREQUENCY RESPONSE

761

where the parameter is a frequency variable and is the coupling matrix. The matrix has all zero entries, except for elements, which are and , respectively. When the in/output line is far away from the resonators, it should be a reasonable approximation that

..

(4)

.

This approximation will be discussed in Section II-B. Considering the conditions of coupled resonators, a transform on is carried out as follows:

.. Fig. 2. (a) Layout of an eight-resonator filter. (b) Frequency response of this filter.

.

.. .

.. .

(5)

II. METHOD Here, the proposed method is introduced and discussed in detail, which can be applied for both synchronous and asynchronous coupled resonators. All the equations given here are applied for the low-pass prototype filters. Therefore, all the filters should firstly be transformed into their low-pass prototypes. The specification of this transform can be found in [1].

is eigenvalues of the coupling matrix where , and the -dimension row vector is the eigenvectors. is a matrix whose rows are filled with . Applying in (5) and in (4) to (3), matrix can then be obtained as follows:

A. Transmission Peak Frequencies For the coupled resonators, when the input/output line is far away from the resonators, there are always transmission peaks in their frequency response, and the number of the peaks is the same as the number of the resonators. As an example, the layout and corresponding simulated frequency response of an eight-resonate filter are shown in Fig. 2, where the structure of resonators is taken from [10]. It can be found that there are eight transmission peaks in its frequency response. For coupled resonators, the frequency of each peak has a certain relation with the corresponding elements of the coupling matrix. According to the theory of the coupling matrix, the scattering parameters and can be written as follows [1], [4], [6]:

.. .

.. .

..

.

(6)

and

(7)

will be illusThe determination of parameters trated later. should be normalized as follows: (2) where are the external quality factors of the input and output resonators, respectively. is the th row and first column element in the inverse matrix of matrix , and is expressed as follows [1]: ..

.

(3)

(8)

762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Equation (2) can be written in the following form by substituting (7) for :

(9) From (9), we can find that the eigenvalues of the coupling matrix (i.e., ) of the resonators are the normalized peak frequencies in the transmission response curve. B. Generation of Coupling Matrix For any two-port lossless coupled resonator network, the scattering parameters and may be expressed as follows [1]:

(10) where both the denominator and numerator polynomials are normalized and the parameters and are constant coefficients. The poles of this equation are the peak frequencies, in the transmission response curve. The parameters are the zeros of , and is the number of transmission zeros. When is not more than are always orthogonal vectors [6]. When are pure imaginary numbers, they can be seen in the frequency response, but sometimes the transmission zeros are real or complex numbers, in such cases, the optimization method outlined in Appendix A should be used to determine them. The parameters , are the zeros of . When , , or , the phase of , denoted as , is 0, i.e., (11) and After have been determined, the denominator and numerator of transmission functions and can be constructed, respectively. The transmission functions are then transformed to partial fraction expansions as (9) so the parameters in (9) can be obtained. According to (8), the matrix in (5) can then be constructed through the Gramsmith method [6]. In addition, though determine the residues , they do not affect the result of . When are normalized, they are just determined by the parameters and . are needed for generating the coupling matrix , but not . Thus, the coupling matrix is keeping truth whatever will be.

Fig. 3. (a) Layout of a quadruplet filter with image zeroes (where the circuit within the dashed line is Quadruplet A). (b) Simulated results for different L. It can be seen from the inset that all the peaks for different L are at the same frequency (the vertical line).

Finally, according to (5), the coupling matrix can be generated, but it may, in general, have all coupling entries with nonzero values. In fact, the zero and nonzero entries of coupling matrix should correspond correctly with the coupling topology of the resonators. Thus, a transform on the coupling matrix should be carried out. Normally, for coupled resonators with symmetric transfer characteristics, the transformers in [6], [11], [12] perform well. As for those with asymmetric transfer characteristics, the one in [13] should be applicable. III. EXAMPLES Here, some examples will be presented. The electromagnetic (EM) simulation software is Sonnet EM Suites. The engine of Sonnet EM Suites does have a large dynamic range with accuracy up to 120-dB range. In the design of filters, quadruplets are usually regarded as fundamental building blocks for realization of higher order coupled filters, and each quadruplet is responsible for producing one pair of transmission zeros. Therefore, these kind filters are widely applied [4], [14]. For this consideration, we will take quadruplets as examples. A. Quadruplet With Image Transmission Zeros The layout of a typical quadruplet with transmission zeros (referred to as Quadruplet A) is shown in Fig. 3(a), which has been mentioned in [15]. To make sure that the in/output coupling is small enough, microstrip stub coupling with considerable large , which is the distance between the resonator and

LI et al.: NEW COUPLING MATRIX EXTRACTING METHOD FROM FREQUENCY RESPONSE

763

TABLE I EXTRACTED PARAMETERS OF QUADRUPLET A

M

TABLE II EXTRACTED COUPLING MATRIX

S

OF

QUADRUPLET A

Fig. 4. Simulated results of the phase of , where the solid line is that of Filter A and the dashed line is that of the input/output line only.

the in/output line, is used. All the frequency response curves for different ( mm) are simulated. As shown in Fig. 3(b), the frequency of each peak or 0 does not vary with the distance [see the inset of Fig. 3(b)], clearly manifesting that the in/output coupling is small enough and the approximation in (4) is reasonable. According to the theory proposed in Section II, the coupling matrix of the quadruplet shownin Fig. 3(a) can beeasily extracted. First of all, the dominator and numerators of can be determined directly from the peak frequencies and zero frequencies of the simulated response curve [see Fig. 3(b)], respectively. Transmission function can thus be constructed. In order to construct reflection function of the quadruplet, parameter should be determined. It can be clearly seen from (11) that the parameters are exactly the frequencies when the phase of equals 0. It is worth pointing out that the simulated frequency response of , which is the phase of of the structure shown in Fig. 3(a) (referred to as Filter A), includes two parts of contributions. The first part of contributions is from the coupled resonators (i.e., Quadruplet A) and the second part is from the input/output line. A simulated frequency response of is shown in Fig. 4, denoted as the solid line. The simulation for is performed with all resonators being removed and only the input/output line remained, and the result is also shown in Fig. 4, denoted as the dotted line. When the distance is large enough, it is obvious that should be the difference between the solid and dotted lines. At the crossover points between the two lines, is 0, and the parameters could be determined directly. The scattering parameters are then transformed to partial fraction expansions. According to (9) and (10), the coefficients and are obtained. Based on the above described method, can also be constructed, as shown in Table I. Finally, the coupling matrix is generated through (5) of which the values of elements are listed in Table II. For the sake of comparison, the theoretical coupling matrix , synthesized at the beginning of the procedure, is also listed in Table III. The transmission response calculated from the coupling matrix is shown in Fig. 5(a), together with the simulated re-

M

TABLE III SYNTHESIZED COUPLING MATRIX

OF

QUADRUPLET A

sponse from Filter A. It can be seen that at the vicinity of the center frequency, i.e., the whole passband, the two curves meet well, which can be considered as an evidence for the correctness and applicability of this method. At frequencies far away from the passband, however, there are differences between the two curves, which can be attributed (or partly attributed) to the contribution of the input/output line. This will be discussed in Appendix B. Comparing the response curves between the simulated one from the existing design and the target one calculated from the synthesized matrix , it can be found that the most important differences between them are at places around the transmission zeros. In order to understand what is the reason for such differences and to find out what is the right direction for amending the existing design, careful comparison of coupling matrixes and must be carried out. There are three main differences. The first difference is in the diagonal elements . The no-zero diagonal elements in the extracted coupling matrix suggests that the asynchronous of the resonators in the existing layout of the quadruplet should be amended. For example, the most marked error is at and, therefore, tuning of the resonant frequency of the second resonator should be conducted. The second difference is in the values of and , implying that unexpected couplings occur in the existing layout. Both those two differences will result in the asymmetric transmission character of the filter. The third difference is the minor discrepancies in the adjacent couplings between the two matrixes, which could lead to some nuances in the response curves such as bandwidth, reflection, etc.

764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

M

Fig. 5. (a) Comparison between the calculated response of the extracted matrix and the simulated response. (b) Comparison between the calculated and the simulated response. response of the synthesized matrix

M

S

S

Fig. 7. (a) Transmission curves of of Filter B. (b) Simulated curves of the of Filter B and the input/output line. phase of

TABLE IV EXTRACTED PARAMETERS OF QUADRUPLET B

M

TABLE V EXTRACTED COUPLING MATRIX

OF

QUADRUPLET B

Fig. 6. Layout of a quadruplet filter with complex zeros (Filter B) where the circuit within the dashed line is Quadruplet B.

B. Quadruplet With Complex or Real Zeroes A typical layout of a filter (referred to as Filter B) containing a quadruplet with complex zeros (referred to as Quadruplet B) is shown in Fig. 6. The simulated response of Filter B is shown in Fig. 7. The generating procedure of the coupling matrix for this type quadruplet is almost the same as that mentioned in the previous example. The extracted parameters are listed in Table IV.

As shown in Fig. 7(a), no visible transmission zeros can be found in the frequency response (the solid line). To extract its coupling matrix, it is natural to suppose that the frequency response of has no zeros in the first place. A set of elements of coupling matrix can be obtained as shown in Table V. The frequency response calculated from is denoted as a dotted line in Fig. 8(a). However, the frequency response of is very different from that of the EM simulation. Thus, an optimization method

LI et al.: NEW COUPLING MATRIX EXTRACTING METHOD FROM FREQUENCY RESPONSE

765

M

TABLE VII COUPLING MATRIX (LOSSLESS)

M Q = 1800)

TABLE VIII COUPLING MATRIX (

M Q = 3200)

TABLE IX ( COUPLING MATRIX

Fig. 8. (a) Simulation result of

S

. (b) Simulation result of

M

TABLE VI EXTRACTED COUPLING MATRIX

OF

S

.

QUADRUPLET B

had to be used. The zeros of can be then derived as and . The coupling matrix can, therefore, be generated as listed in Table VI. It can be found that the main difference between the matrix and is in the cross coupling and , which are responsible for the main characteristics of the frequency response. Indeed, excellent agreement can clearly be seen between the simulated response of Filter B and the calculated one from in the vicinity of the peaks [see Fig. 7(a)]. The gradually increasing discrepancy between the two curves as the frequency being away from the center frequency can again be attributed (or partly attributed) to the contribution of the input/output line. C. Impact of Loss The method proposed in this paper is based on lossless conditions. As a matter of fact, loss of the resonator does have an

effect on the extracted coupling matrix. Systematical investigations have been carried out by computer simulations with resonators being assigned different values of unload . The results are shown in Fig. 8 and the coupling matrixes are shown in Tables VII–IX. It can be found that as the values of the resonators decrease, the response curve (or the peaks) starts to shift toward one direction, and for a considerable large change of value that occurs (e.g., from 3200 to 1800), the shift of the response become remarkable, which should bring considerable errors to the extracted coupling matrix. For example, when the value is 1800, the error is 14%, whereas when the value is larger than 3200, the error in the adjacent coupling is less than 1.5%, one order decrease in magnitude, which seems acceptable. It is reasonable to conclude that if the loss is not large enough, the method proposed in this paper should be applicable and the extracted coupling matrix could be close enough to the true matrix, especially for the case of high temperature superconducting (HTS) microwave resonators, which have very high values. A successful example of this method can be seen from [15], where a high-performance ultra-narrow ten-pole bandpass HTS filter is designed and constructed. Quadruplets similar to that shown in the first example of this section (Quadruplet A) are employed in the designed for this filter. The calculated (from synthesized theoretical matrix ) and simulated (from the final layout of the ten-pole filter) response curves are shown together in Fig. 9(a), and the measured results of the real HTS filter constructed according to the final layout is shown in Fig. 9(b). Excellent agreements between the calculated, simulated, and measured response curves have been achieved, which provided a convincing example of the practicability of the proposed method.

766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 10. Effect of input/output line to the transmission response curve of a simulated filter.

from EM software is defined as an error function. The error function can be expressed as follows:

where is the transmission function frequency response extracted from (10) as follows:

Fig. 9. (a) Calculated and simulated responses of the ten-pole HTS filter. (b) Measured responses of the HTS filter.

IV. CONCLUSION In this paper, a new method has been introduced for extracting a coupling matrix between the resonators from the simulated response curves in the design of resonator-based devices. With this method, most of the important parameters of the existing structure can be obtained directly from its responses and the coupling matrix can then be generated. The extracted coupling matrix can be used as powerful guide for further modification and/or optimization of the existing structure until a satisfactory design has been reached. Examples using this method are presented together with discussions. The impact of loss was also discussed. Though based on lossless conditions, this method is applicable for the cases of resonators values and, indeed, this method having considerable large has been successfully used in the design and construction of practical HTS filters. APPENDIX A In this optimization method, the complex transmission zeros and coefficient are the optimization variables. The difference between frequency response calculated from the transmission equation (10) and the simulation results

where parameters are supposed transmission zeros. is the simulated results from EM software. A standard gradient unconstrained search minimization algorithm is used to minimize the error function, and the parameters can then be obtained from this minimization procedure. APPENDIX B The effect of the input/output line to the simulated response of the quadruplet filter should be considered. For the case of Filter A, simulation for the input/output line is performed with all resonators (i.e., Quadruplet A) being removed and only the input/output line remained. The simulated response, for being set as 0.7, is shown in Fig. 10, together with the simulated response of Filter A and calculated response from the extracted coupling matrix. It can be clearly seen that at the passband (close to the center frequency), the calculated response meets the simulated ones very well, whereas at frequencies far away from the center frequency, the dominate contribution to the simulated response is from that of the input/output line. The above discussion is only a cursory picture. As a matter of fact, the contribution from the input/output line is only part of the factors responsible for the difference between the simulated and calculated responses. The deep-seated origin is for depicting the response curve at frequencies far away from the passband, the commonly used polynomial is no longer a sufficient approximation. Therefore, it is hardly surprising that the response calculated from an coupling matrix is different from the simulated response at far frequencies.

LI et al.: NEW COUPLING MATRIX EXTRACTING METHOD FROM FREQUENCY RESPONSE

REFERENCES [1] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Application. New York: Wiley, 2001, pp. 1–76. [2] H.-T. Hsu, H.-W. Yao, K. A. Zaki, and A. E. Atia, “Synthesis of coupled-resonators group-delay equalizers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1960–1968, Aug. 2002. [3] S. Amari and U. Rosenberg, “Direct synthesis of a new class of bandstop filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 607–616, Feb. 2004. [4] R. Levy, “Direct synthesis of cascade quadruplet (CQ) filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2940–2945, Dec. 1995. [5] A. E. Atia, A. E. Wiliams, and R. W. Newcomb, “Narrow-band multiple coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CAS-21, no. 5, pp. 649–655, Sep. 1974. [6] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [7] J.-S. Hong, “Coupling of asynchronously tuned coupled microwave resonators,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 147, pp. 354–358, Oct. 2000. [8] A. E. Atia and A. E. Williams, “Measurements of intercavity couplings,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 6, pp. 519–522, Jun. 1975. [9] G. Macchiarella and D. Traina, “A formulation of the Cauchy method suitable for the synthesis of lossless circuit models of microwave filters from lossy measurements,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 243–245, May 2006. [10] X. Zhang, “A 24-pole high T c superconducting filter for mobile communication applications,” Superconduct. Sci. Technol., vol. 19, pp. S394–S397, Mar. 2006. [11] R. N. Gajaweera and L. F. Lind, “Rapid coupling matrix reduction for longitudinal and cascaded-quadruplet microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1578–1583, May 2003. [12] R. J. Cameron and J. D. Rhodes, “Asymmetric realizations for dual-mode bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 1, pp. 51–57, Jan. 1981. [13] H. C. Bell, “Canonical asymmetric coupled-resonator filters,,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1335–1340, Sep. 1982. [14] N. Yildirim, M. Karaaslan, Y. Sen, and O. A. Sen, “Cascded triplet and quadruplet filter design using cascade syntesys approach,” NiS, Yugoslavia, 13-1 5, Oct. 1999. [15] C. Li, Q. Zhang, and Q. Meng, “A high-performance ultra-narrow bandpass HTS filter and its application in a wind-profiler radar system,” Superconduct. Sci. Technol., vol. 19, pp. S398–S402, Mar. 2006.

767

Fei Li was born in Shanxi, China, in 1980. He received the B.S. degree in electronics from Tianjing University, Tianjing, China, in 2003, and is currently working toward the Ph.D. degree at the Institute of Physics, Chinese Academy of Sciences, Beijing, China. He is currently with the Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences. His research interest is computer-aided design and tunable ferroelectric devices.

Xueqiang Zhang, photograph and biography not available at time of publication.

Chunguang Li, photograph and biography not available at time of publication.

Qiang Zhang, photograph and biography not available at time of publication.

Liang Sun, photograph and biography not available at time of publication.

Hong Li, photograph and biography not available at time of publication.

Aisheng He, photograph and biography not available at time of publication.

Yusheng He received the B.S. degree in physics from Peking University, Beijing, China, in 1969, and the Ph.D. degree in condensed matter physics from Sussex University, Sussex, U.K., in 1984. From 1984 to 1995, he was with the Physics Department, Tsinghua University. In 1995, he joined the Cryogenic Laboratory, Chinese Academy of Sciences (CAS), Beijing, China. He is currently a Professor with the National Laboratory for Superconductivity, Institute of Physics and Beijing National Laboratory for Condensed Matter Physics, Chinese Academy of Sciences. His research interests are fundamental physics and technology related to HTS, especially microwave properties and passive devices.

768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression Chi-Hsueh Wang, Member, IEEE, Pu-Hua Deng, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Coplanar-waveguide (CPW)-fed microstrip bandpass filters are proposed with capacitive couplings suitably introduced at the input/output (I/O) ports, as well as between the resonators for spurious suppression. By adopting these capacitive couplings, several open stubs are established so that adjustable multiple transmission zeros may independently be created to suppress several unwanted spurious passbands, thereby extending the stopband and improving the rejection level. In this study, the capacitive couplings required at the I/O ports, as well as across the resonators, are realized by the broadside-coupled transition structures between the top microstrip layer and the bottom CPW layer so that the I/O ports may properly be matched and the spurious responses may effectively be suppressed. Specifically, a fifth-order bandpass filter, centered at 0 = 1 33 GHz with a stopband extended up to 8.67 GHz (6.52 0 ) and a rejection level better than 30 dB, is implemented and carefully examined. Index Terms—Bandpass filter, coplanar waveguide (CPW), dual metal-layer structure, microstrip, spurious suppression.

I. INTRODUCTION N MICROWAVE communication systems, the filters with deep stopband and good selectivity are required to enhance the system performance. In order to reduce the interference by keeping the out-band signals from reaching a sensitive receiver, a high-performance filter with wider upper stopband is also required. However, the planar bandpass filters made of half-wavelength uniform-impedance resonators have unwanted spurious passbands at the multiples of center frequency , where is the passband center frequency. Several filters using the stepped-impedance resonators were proposed to shift the spurious passbands to the higher frequencies so as to extend the upper rejection band [1]–[3]. However, the stepped-impedance method can only push the spurious passbands to the higher frequencies instead of suppressing them. If one would like to move the first spurious passband to , e.g., by using the stepped-impedance resonators, a large impedance ratio for the resonators is required such that the layout of the filter becomes difficult due to the fabrication limit.

I

Manuscript received August 18, 2006; revised October 26, 2006. This work was supported by the National Science Council of Taiwan under Grant NSC 94-2752-E-002-001-PAE, Grant NSC 94-2219-E-002-008, and Grant NSC 94-2213-E-002-055. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.892816

To solve these drawbacks, several methods to suppress spurious passbands have been reported [4]–[12]. In [4], the “wiggly-line” filters using a sinusoidally varying linewidth were proposed to give an effective suppression on the first spurious response around . Corrugated coupled microstrip lines [5]–[7] that are designed to equalize the phase velocities of the two eigenmodes were adopted to design the planar filters with suppression of the first spurious passband. In [8], an over-coupled resonator was employed to increase the electrical length of the odd mode so as to compensate for the difference in the phase velocities. Alternatively, the ideas of proposing substrate suspension [9] and ground-plane aperture [10] were reported to equalize the even- and odd-mode phase velocities so that the first spurious response can be suppressed. By etching split-ring resonators [11] to achieve strong magnetic coupling, the first spurious passband may also be suppressed. In [12], a meandered parallel coupled-line structure was utilized to suppress the first spurious passband of a microstrip bandpass filter. However, most of these filter configurations still suffer from the higher order spurious responses around [4]–[12]. The concept of using dissimilar resonators to produce different harmonic frequencies for each resonator was proposed [13]–[15]. Based on this concept, one may implement a widestopband microstrip bandpass filter for which the spurious responses may be suppressed due to the mutual cancellation effect. However, the technique of adopting dissimilar resonators is not feasible in controlling the bandwidth of stopband and it would also suffer from the difficulty of choosing the proper dissimilar resonators to avoid the mutual coupling effects in the higher order filter design. Recently, a coplanar waveguide (CPW) bandpass filter with rejection band extended up to was reported [16]. This filter is mainly composed of two parts, i.e., the loaded air-bridge enhanced capacitors to suppress the lower order spurious passbands and the broadside-coupled microstrip-to-CPW-fed structures to attenuate the high-order spurious responses, therefore, its stopband may be extended even up to . Although the method adopted by [16] is useful in realizing a very-wide stopband CPW filter, it is difficult to extend to the other structures using different configurations. In [17] and [18], capacitive-tapped couplings between resonators and input/output (I/O) were established so that extra transmission zeros in the stopband can easily be created without requiring complex couplings between resonators. Here, tapped chip capacitors were inserted between the resonators, thus the

0018-9480/$25.00 © 2007 IEEE

WANG et al.: CPW-FED MICROSTRIP BANDPASS FILTERS WITH CAPACITIVELY BROADSIDE-COUPLED STRUCTURES

implementation process becomes complicated and the discontinuity effect may be produced. In [19], by controlling the locations of I/O tapped points of the parallel-coupled filter, the spurious passband was cancelled by inserting a notch at the spurious frequency. However, two additional quarter-wavelength transformers are required at the I/O ports of the filter in [19], thereby increasing the circuit area. In this study, the microstrip bandpass filters composed of resonators (such as the one shown in Fig. 1) with capacitive couplings for multiple spurious suppression are proposed and carefully examined. First, the I/O capacitive couplings are achieved by using the broadside-coupled CPW-to-microstrip transition structures [20] to replace the two I/O matched transformers adopted in [19] so that the I/O ports may properly be matched and the filter size may be reduced. These two I/O capacitive-coupled structures may also provide two open stubs to create two transmission zeros for spurious suppression. Second, the capacitive couplings between the adjacent resonators are realized by the broadside-coupled microstrip-to-CPW-to-microstrip transition structures instead of the parallel-coupled-line structures used in [19] so that extra open stubs may be created to produce additional transmission zeros for spurious suppression. At first glance, the proposed filter (Fig. 1) might look similar to the dual-behavior resonator (DBR) filter discussed in [21]; however, they are quite different in topology and function. Briefly, the DBR filters are based on the parallel association of two stopband structures which are carefully designed to create two transmission zeros on either side of the passband. By using the stepped-impedance and different-length stubs, the lengths of associated open or shorted stubs may be adjusted independently, thus the created transmission zeros may be controlled freely. The main drawback of DBR filters concerns the attenuated band which is difficult to control over a wide range of frequencies, and this drawback may be solved by some special techniques [21]. The proposed filter topology is originated from the hairpin coupled-resonator filter. By using the capacitively broadside-coupled structures for feeding and coupling, several open stubs can freely be established so as to create adjustable multiple transmission zeros for suppressing several unwanted spurious responses, therefore, the rejection level can be improved and the stopband can be extended even higher than , as will be demonstrated later. Moreover, the lengths and other parameters of open stubs may be adjusted, thus one may easily control the locations and widths of the attenuation dips in the filter response. In comparison with the techniques used in [13]–[15] and [16], the method proposed in this study is easy in extension and simple in controlling the bandwidth of stopband. The proposed method would have a limitation in implementing a wide stopband filter, as did the one in [16], due to the difficulty in realizing the open stubs of very short lengths. In this study, the basic principle of spurious suppression is demonstrated by implementing a second-order microstrip bandpass filter, which has four open stubs to create four transmission zeros for suppression of spurious passbands. Specifically, a fifth-order microstrip bandpass filter is implemented, having ten open stubs to create five pairs of transmission zeros. Each

769

Fig. 1. Proposed second-order bandpass filter with capacitively broadside-coupled structures for feeding and coupling. (a) 3-D circuit layout. (b) Top-/bottomlayer circuit layouts to show the relative location between top microstrip layer and bottom CPW layer.

pair of transmission zeros is designed to suppress one spurious passband; thus, the implemented fifth-order filter may suppress five spurious passbands. II. SECOND-ORDER FILTERS A. Filter Structure and Design The proposed second-order coupled-resonator microstrip bandpass filter structure composed of resonators is shown in Fig. 1 in which capacitively broadside-coupled structures are utilized to establish the proper feed circuits for I/O ports and the required couplings between the adjacent resonators. The relative location between the top microstrip layer and bottom CPW layer is shown in Fig. 1(b). Here, the broadside-coupled CPW-to-microstrip transition structures are employed to realize the I/O capacitive couplings instead of using two transformers in [19] so that the I/O ports may properly be matched and the filter size may be reduced. The I/O coupled structures

770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 2. Capacitively broadside-coupled microstrip-to-CPW-to-microstrip transition structure and the associated open stubs of lengths S and S . (W = W = 1:2 mm, d = 0:4 mm, and d = 0:9 mm).

provide two open stubs for producing two transmission zeros. The coupling between resonators and is realized by the capacitively broadside-coupled microstrip-to-CPW-to-microstrip transition structure, which also supplies two open stubs for spurious suppression. Being composed of resonators, the proposed filter in Fig. 1 would exhibit the spurious responses around . The open stubs, such as , and in Fig. 1, are mainly introduced for spurious suppression. To this end, each open stub should properly be designed such that its length is equal to at the spurious frequency ; therefore, it would create a transmission zero designed to suppress the spurious passband around . The width of the open stub, which is related to the impedance of the transmission line in the stub, can determine the width and sharpness of the attenuation dip associated with the filter response in the stopband. For design purposes, the response to the broadside-coupled microstrip-toCPW-to-microstrip transition structure shown in Fig. 2 needs to be investigated. Typical design curves presenting the relations between the transmission-zero frequencies and the lengths and of open stubs are shown in Fig. 3(a) and (b). Based on these design curves, the proper stub lengths and to locate the transmission zeros around may then be determined. The capacitive coupling between adjacent resonators, such as resonators and , is established by the broadside-coupled microstrip-to-CPW-to-microstrip transition structure, as depicted in Fig. 1. The corresponding coupling coefficient would specify the required coupling capacitance between the two adjacent resonators, thereby determining the associated broadside-coupled structure between resonators. For example, the coupling coefficient of the filter in Fig. 1 may be adjusted by varying the coupling capacitor between resonators and with its capacitance value mainly decided by the width in Fig. 1(b). The design curve for versus is shown in Fig. 4 for further reference. To design the proposed bandpass filter (Fig. 1) with its passband center frequency at , each resonator is selected so that its resonant frequency is equal to the specified center frequency . Note that the lengths of open stubs and have already been decided by the spurious frequencies ) under rejection. To make sure that resonator in Fig. 1 is resonated at the specified center frequency , the remaining length extracting and should be chosen such that the total length of resonator is equal to at . Obviously, through using

Fig. 3. (a) Full-wave simulated responses of the capacitively broadside-coupled transition structure in Fig. 2 (W = W = 1:2 mm). (b) Design curves to relate the transmission-zero frequencies f z ; f z to the length S of open stub in Fig. 2. (S = 20 mm.)

Fig. 4. Coupling coefficient M versus the width d [see Fig. 1(b)] of the = 0:4 mm.) coupled structure between resonators a and b. (d

the broadside-coupled elements, the choice of the stubs’ length is free and not dependent on the design of resonator. Although

WANG et al.: CPW-FED MICROSTRIP BANDPASS FILTERS WITH CAPACITIVELY BROADSIDE-COUPLED STRUCTURES

771

Fig. 5. Feed structure associated with the resonator near I/O port.

the transmission-zero frequencies due to the open stubs are far from the passband, the open stubs still have small influences on the resonance frequency of the resonator. This is why the lengths of open stubs should be decided at the first design step, and, in the meantime, one should make sure that the total length of each resonator is equal to at . These small influences will be considered using the transmission line model. The feed structure associated with the resonator near the I/O port should properly be designed so as to give the specified external quality factor . The value of the external quality factor would be determined from the filter specification. Fig. 5 shows the proposed feed structure in which a capacitor and suitable transmission line sections are adopted for I/O coupling and impedance matching. This feed structure is connected to the resonator for which its value is given by [19]. The load impedance , defined at point of Fig. 5, may be written as

Fig. 6. Coupling capacitance versus the width coupled structure. (d = 4:5 mm.)

d

[see Fig. 1(b)] of the I/O

The I/O capacitive coupling is realized by the parallel-plate capacitor structure with a size of , as shown in Fig. 1(b). The coupling capacitance versus the width is shown in Fig. 6. Finally, the broadside-coupled CPW-to-microstrip transition structures (J-inverters) are introduced at the input and output so that the I/O ports may properly be matched. The design guidelines for the proposed coupled-resonator bandpass filters, such as the one in Fig. 1, are summering in the following. • The first step is to design each open stub associated with the resonators so that it would create a transmission zero to suppress the spurious passband around ). • The second step is to design the filter resonators so as to meet the given specifications. • The third step is to implement the required coupling coefficients between the adjacent resonators so as to meet the bandwidth specification for the filter. • The last step is to deal with the feed structure using (1)–(3). B. Filter Implementation and Results

(1) If this load impedance is not equal to 50 , a transformer or J-inverter should be added for impedance matching [19]. Note that the series coupling capacitor together with two transmission line sections of characteristic impedance and length at its two ends may be equivalent to a J-inverter, as shown in Fig. 5, for impedance matching. Consequently, the required I/O coupling (or matching) capacitance and length may be given by (2)

(3) where

is the susceptance of the capacitance

.

The proposed CPW-fed second-order microstrip bandpass filter with capacitively broadside-coupled transition structure between adjacent resonators is fabricated on the Rogers RO4003C substrate ( , and thickness mm). Fig. 1 shows the 3-D and top-/bottom-layer layouts of the proposed second-order microstrip bandpass filter. The implemented filter has a dimension of (40.4 mm 53 mm), where is the guided wavelength of the microstrip structure at the center frequency . This filter is designed according to the second-order maximally flat response with a center frequency of 1.45 GHz and a 3-dB bandwidth of 12%, for which the coupling coefficient is 0.083. The specific dimension [see Fig. 1(b)] to give the required may be determined from Fig. 4. The external quality factors at input and output are 12.8. The required coupling capacitances at input and output are 1.34 and 1.49 pF, respectively, and their values may be calculated by (2). The required dimension [see Fig. 1(b)], which establishes the desired value is given in Fig. 6. The corresponding

772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 8. Top-/bottom-layer layouts of the second-order filter with four transmission zeros to distribute around the first spurious passband.

Fig. 7. Measured and simulated results, insertion loss (j S j), and return loss (j S j) of the proposed second-order filter in Fig. 1. (a) Narrowband and (b) wideband frequency responses.

geometrical parameters are then fine tuned in the full-wave simulator Ansoft Ensemble 8.0. The measured and simulated results of the implemented filter (Fig. 1) are shown in Fig. 7. The measured center frequency is at 1.43 GHz and the minimum insertion loss is 1 dB. Four transmission zeros are produced by the four open stubs , , , and (Fig. 1) and are found at 2.33, 2.66, 4.51, and 4.85 GHz, respectively. The shift in these transmission-zeros frequencies is less than 5%. The sensitivity of this proposed filter is mainly dependent on the fabrication process. Normally the fabrication error associated with the size is approximately 0.0254 mm and the corresponding electrical length error is 1 at 20 GHz. Thus, the proposed filter would have a limitation in extending the bandwidth of stopband due to the difficulty in realizing the open stubs of very short lengths. The second filter structure, shown in Fig. 8, has four transmission zeros designed to suppress the first spurious passband. This implemented filter has a dimension of (28.1 mm 41.9 mm). Specifically, the two transmission zeros associated with the two open stubs and are distributed around 3.4 GHz and the other two zeros produced by the two

stubs and are distributed around 3.6 GHz. This filter is also designed according to the second-order maximally flat response with a center frequency of 1.44 GHz, a 3-dB bandwidth of 13.5%, and the required coupling coefficient is 0.0954. The external quality factors at input and output are 10.47. The coupling capacitances at input and output are 1.44 pF. The measured and simulated results of the second filter (Fig. 8) are shown in Fig. 9. The measured center frequency is at 1.43 GHz, the minimum insertion loss is 1.05 dB. The frequency response for the filter in Fig. 1 is also compared with that for Fig. 8. With four transmission zeros designed for the first spurious harmonic, the filter in Fig. 8 has much better rejection around this harmonic, as shown in Fig. 10. III. FIFTH-ORDER FILTER Fig. 11 shows the top-/bottom-layer layouts of the proposed fifth-order filter. Here, the capacitive couplings at I/O ports are again implemented by the broadside-coupled CPW-to-microstrip transition structures and those between adjacent resonators are by the broadside-coupled-microstripto-CPW-to-microstrip transition structures. This filter has ten open stubs to create ten transmission zeros, which are assigned to some specified frequencies. Specifically, the transmission zeros due to the stubs and are designed to distribute around the first, second, third, fourth, and fifth spurious harmonics, respectively, so that five spurious passbands may effectively be suppressed. The design guidelines for this fifth-order filter are similar to the ones for the second-order filter, as mentioned in Section II. The proposed filter is designed according to the fifth-order Chebyshev filter with a 0.1-dB ripple level, having a center frequency of 1.35 GHz and a 3-dB bandwidth of 10%. The required I/O coupling capacitances and coupling coefficients between adjacent resonators are obtained as in Section II, and the corresponding coupling coefficients and are 0.077, 0.0583, 0.0583, and 0.077, respectively. The external quality factors at input and output are 11.8. The coupling capacitances at input and output are 1.51 pF.

WANG et al.: CPW-FED MICROSTRIP BANDPASS FILTERS WITH CAPACITIVELY BROADSIDE-COUPLED STRUCTURES

773

Fig. 11. Top-/bottom-layer layouts of the proposed fifth-order filter for multiple spurious suppression.

Fig. 9. Measured and simulated results of the second-order filter in Fig. 8. (a) Narrowband and (b) wideband frequency responses.

Fig. 10. Comparison of the measured responses for the filters in Figs. 1 and 8.

The implemented filter has a dimension of (64.6 mm 56 mm). The measured and simulated results for the implemented fifth-order filter (Fig. 11) are shown in Fig. 12. The measured center frequency is at 1.33 GHz and the minimum insertion loss is 2.92 dB. Good agreement between measured and simulated

Fig. 12. Measured and simulated results of the proposed fifth-order filter in Fig. 11. (a) Narrowband and (b) wideband frequency responses.

results is observed, except for a slight frequency shift of less than 2% around passband. As shown in Fig. 12(b), the stopband

774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

destroyed due to the cavity resonances, and extra spurious passbands are observed around the resonant frequencies of the cavity. By attaching the absorbing material on the top and bottom walls of the housing, those unwanted resonances may be suppressed, as shown in the simulated Curve 2 in Fig. 14. Although the use of absorbing material may provide a solution for suppressing the unwanted cavity resonances, the lossy absorbing material would also increase the insertion loss of the filter. As shown in Fig. 14, the insertion loss of Curve 1 is 2.2 dB, but that of Curve 2 is 2.93 dB at the passband center frequency. IV. CONCLUSION

Fig. 13. Rectangular housing structure for the proposed fifth-order filter in Fig. 11. (W = 86 mm, L = 84:8 mm, H = 30 mm.)

In this paper, the CPW-fed microstrip bandpass filters have been implemented and carefully examined with capacitively broadside-coupled structures established at I/O ports and between adjacent resonators so as to provide extra open stubs for spurious suppression. By adjusting the lengths of open stubs, multiple transmission zeros may be created and suitably distributed without increasing the circuit area so that multiple spurious passbands may effectively be suppressed and the rejection bandwidth may be extended. Specifically, a fifth-order bandpass filter, centered at GHz, has been implemented and its stopband has been extended up to 8.67 GHz (6.52 ) with a rejection level better than 30 dB. REFERENCES

Fig. 14. Simulated results of the fifth-order filter in Fig. 11 with and without housing. (Curve 1: with housing, but without absorber, Curve 2: with housing and absorber, Curve 3: without housing.)

is extended up to 8.67 GHz with a rejection level better than 30 dB. Note that the proposed fifth-order filter has suppressed the five spurious passbands. To provide necessary support and to prevent unnecessary interferences, the filter is usually surrounded by a metallic housing in the system application. Physically, the housing may cause unwanted cavity resonances to degrade the spurious-suppression characteristic. Thus, the housing effect should be dealt with in the filter design phase. Note that the cavity resonances would eventually appear no matter how the housing is arranged and reshaped. Shown in Fig. 13 is a typical rectangular housing structure for the proposed fifth-order filter in Fig. 11. An approach to suppress the unwanted cavity resonances is to attach the absorbing material inside the housing walls [16]. Curve 1 of Fig. 14 exhibits the simulated result of the filter with the metallic housing (but without the absorbing material). Obviously, the spurious-suppression characteristic has been

[1] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [2] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. MTT-12, pp. 2482–2490, Dec. 2000. [3] C. M. Tsai, S. Y. Lee, and C. C. Tsai, “Performance of a planar filter using a 0 feed structure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2362–2367, Oct. 2002. [4] T. Lopetegi, M. A. G. Laso, J. Hernandez, M. Bacaicoa, D. Benito, M. J. Grade, M. Sorolla, and M. Guglielmi, “New microstrip ‘wigglyline’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [5] J. T. Kuo, W. H. Hsu, and W. H. Hsu, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [6] B. S. Kim, J. W. Lee, and M. S. Song, “An implementation of harmonic-suppression microstrip filters with periodic grooves,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 413–415, Sep. 2004. [7] S. Sun and L. Zhu, “Periodically nonuniform coupled microstrip-line filters with harmonic suppression using transmission zero reallocation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1817–1822, May 2005. [8] J. T. Kuo, S. P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [9] J. T. Kuo, M. Jiang, and H. J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [10] M. d. C. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [11] J. Garacia-Garacia, F. Martin, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marques, “Spurious passband suppression in microstrip coupled line bandpass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004.

WANG et al.: CPW-FED MICROSTRIP BANDPASS FILTERS WITH CAPACITIVELY BROADSIDE-COUPLED STRUCTURES

[12] S. M. Wang, C. H. Chi, M. Y. Hsieh, and C. Y. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [13] P. H. Deng, S. C. Lin, Y. S. Lin, C. H. Wang, and C. H. Chen, “Microstrip bandpass filters with dissimilar resonators for suppression of spurious responses,” in Proc. 35th Microw. Eur. Conf., Paris, France, Oct. 2005, pp. 1263–1266. [14] C. F. Chen, T. Y. Huang, and R. B. Wu, “Design of microstrip bandpass filters with multiorder spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [15] S. C. Lin, P. H. Deng, Y. S. Lin, C. H. Wang, and C. H. Chen, “Widestopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1011–1018, Mar. 2006. [16] S. C. Lin, T. N. Kuo, Y. S. Lin, and C. H. Chen, “Novel coplanarwaveguide bandpass filters using loaded air-bridge enhanced capacitors and broadside-coupled transition structures for wideband spurious suppression,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3359–3369, Aug. 2006. [17] K. Wada and I. Awai, “Heuristic models of half-wavelength resonator bandpass filter with attenuation poles,” Electron. Lett., vol. 35, no. 3, pp. 401–402, Mar. 1999. [18] T. Ohno, K. Wada, and O. Hashimoto, “A class of a planar triplexer by manipulating multiple attenuation poles,” in Proc. 34th Microw. Eur. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 625–628. [19] J. T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [20] K. M. Shum, T. T. Mo, Q. Xue, and C. H. Chan, “A compact bandpass filter with two tuning transmission zeros using a CMRC resonator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 895–900, Mar. 2005. [21] A. Manchec, C. Quendo, I.-F. Favennec, E. Rius, and C. Person, “Synthesis of capacitive-coupled dual-behavior resonator (CCDBR) filters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2346–2355, Jun. 2006.

Chi-Hsueh Wang (S’02–M’05) was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degrees in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

775

Pu-Hua Deng was born in Kaohsiung, Taiwan, R.O.C., in 1978. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, and the M.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004 and 2006, respectively. His research interests include the design and analysis of microwave filter circuits.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuits and computational electromagnetics.

776

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities Hong Jun Tang, Wei Hong, Member, IEEE, Ji-Xin Chen, Guo Qing Luo, and Ke Wu, Fellow, IEEE

Abstract—A novel high-performance millimeter-wave planar diplexer is developed based on the complementary characters of substrate integrated waveguide (SIW) dual-mode filters with circular and elliptic cavities by making the tradeoff between the isolation, insertion loss, and selectivity. The responses of the dual-mode SIW circular and elliptic cavities are first investigated. It can be found that the upper side response of the circular cavity and the lower side response of the elliptic cavity are very steep. The diplexers with high isolation performance are then designed based on the complementary response characters of circular and elliptic cavities. A diplexer with two dual-mode SIW circular and elliptic cavities is designed and fabricated with a normal printed circuit board process. The measured insertion losses are 1.95 and 2.09 dB in the upper and lower passbands centered at 26 and 25 GHz with the fractional bandwidths of 5.2% and 5.4%. The isolation is lower than 50 dB. Index Terms—Circular cavity, diplexer, dual-mode filter, elliptic cavity, substrate integrated waveguide (SIW).

I. INTRODUCTION ECENTLY, more and more attentions have been drawn to the wireless communication systems for local multipoint distribution services in -, -, or higher millimeter-wave bands. In those systems, low cost, high performance, and high integration are important design considerations. The diplexer is one of the key components in a transceiver and greatly affects the system performance. It is usually designed based on a waveguide or metal cavity with excellent performance [1]–[3]. However, the design suffers disadvantages such as being bulky, costly, and difficult to fabricate, etc. In particular, the passive components are required to be easily integrated or assembled with active circuits in a highly integrated system. It is difficult to integrate waveguide elements into a planar circuit, and the transition from a waveguide to planar integrated circuits would substantially degrade the performance.

R

Manuscript received October 23, 2006; revised January 15, 2007. This work was supported by the National Natural Science Foundation of China under Grant 60621002. H. J. Tang, W. Hong, J.-X. Chen, and G. Q. Luo are with the State Key Laboratory of Millimeter Waves, Department of Radio Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). K. Wu is with the Department of Electrical Engineering, Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893655

Planar diplexers have been investigated for a long time [4]–[9]. Usually, most of them need special substrate and/or process, such as microelectromechanical systems (MEMS) or low temperature co-fired ceramic (LTCC), etc. Some diplexers were designed based on traditional microstrip or coplanar waveguides. A substrate integrated waveguide (SIW) or post-wall waveguide is a new kind of planar technology [10]–[19]. It is based on a low-cost normal printed circuit board (PCB) process similar to microstrip or coplanar waveguides. The performance of the SIW component, such as factor, insertion loss, and power-handing capability, etc., is superior to those based on microstrip or coplanar waveguides. This paper presents a new type of planar diplexer based on the complementary characters of SIW dual-mode filters with circular and elliptic cavities [19]. The loss in SIW cavity and characteristics of the circular and elliptic cavities are first investigated. The diplexers are then designed with the complementary characters, which results in in-band low insertion loss and out-band rapid falloff characteristics, where the tradeoff between the insertion loss, selectivity, and isolation are made for high-performance requirement. II. LOSS OF SIW CAVITIES Planar passive components etched on a dielectric substrate often suffer from a relatively high loss. In order to reduce the insertion loss of a SIW diplexer, the mechanism of the loss will be investigated. Previous research has revealed some important factors related to the loss such as dielectric loss, conductor loss, and leakage loss [13]. The leakage loss of a SIW is caused due to the energy leakage through small apertures between metallic vias, which constitute the walls of a SIW. The quality factor with respect to leakage loss can reach thousands if the vias are close enough to each other [13]. Thus, the leakage loss is only negligible for a SIW component. For a low-loss dielectric substrate, the loss tangent is usually around 0.001 or the quality factor is around 1000 within a frequency range of 10–40 GHz [20]. The conductor loss of a SIW cavity is quite different from the conclusion in [13] for a smooth conductor. Due to the skin effect, the conductor loss will increase as frequency increases. At a higher frequency band, the conductor loss becomes the dominant factor for a SIW cavity. The rough surface of the copper foil further increases the loss. Thus far, the investigations on the roughness effect have come

0018-9480/$25.00 © 2007 IEEE

TANG et al.: DEVELOPMENT OF MILLIMETER-WAVE PLANAR DIPLEXERS BASED ON COMPLEMENTARY CHARACTERS OF DUAL-MODE SIW FILTERS

777

TABLE I UNLOADED QUALITY FACTOR

to different conclusions because it is difficult to precisely depict the random surface roughness [21]–[23]. As a result, it is important to get an equivalent conductivity of substrate foil based on the measurement data, which takes the roughness into account. For instance, the equivalent conductivity of the Rogers RT/Duroid 5880 substrate with 1-oz electrodeposited copper foil is adjusted to be (S/m). Under this assumption, the simulated results with Ansoft’s High Frequency Structure Simulator (HFSS) agree with the measured data within a frequency range of 20–40 GHz, where the roughness is much bigger than the skin depth of smooth copper. The agreement has been observed for each component fabricated on the same substrate. This is also coincident to the theoretical expectation [23]. Therefore, the equivalent conductivity is used to estimate the unloaded quality factor of SIW cavities by simulation, as listed in Table I. Except for the electrical parameters, the geometric parameters of the cavity, such as the thickness, transverse size, and the shape can also affect the unloaded quality factor. , , , or quasiare the working modes of SIW dual-mode filters with square, circular, and elliptic cavities. From Table I, it can be seen that the of the and (or ) modes is higher than that of the fundamental mode. The of the circular and elliptic cavity is slightly higher than that of the square cavity. By using the analytical method in [24], the coupled resonant filter consisting of four resonators has an insertion loss of approximately 1.5 dB and a fractional bandwidth of 5%. Each resonator has an unloaded quality factor of 300. A diplexer based on such filters may present a little more loss. The channel selectivity may approximate to that of fourth-order coupled resonant circuits. If taking some asymmetric characteristic into account [16]–[19], the attenuation slope from passband to stopband may be much better than the common symmetric design. Therefore, although the components fabricated on the substrate usually exhibit relative higher loss, there is still a chance to realize a diplexer with low insertion loss. III. DIPLEXER DESIGN Using filters with high selectivity and low insertion loss, the high-performance diplexer can be designed. Considering the requirements of a diplexer, the passband to stopband rolloff slope of the filters must be very sharp. A SIW dual-mode filter can usually satisfy such requirements with a lesser number of cavities, also with low insertion loss. The circular and elliptic cavities with high or low loss are adopted to design diplexers with high performance. An inconspicuous advantage of a circular or

Fig. 1. Configuration of dual-mode SIW filter with single circular cavity and its response, where R is the radius of the cavity, is the angle between input and output ports, W is the width of coupling aperture, and W is the width of the input and output SIWs.

elliptic cavity is that the coupling aperture can smoothly move along the walls of the cavity. This is conducive to filter design because the optimization of port positions needs a steady variation in aperture position. A. SIW Dual-Mode Filter With Circular Cavity First, the response of a dual-mode filter with a SIW circular cavity, as shown in Fig. 1, is investigated by simulation. Two poles and two transmission zeros can be found in the response. The first zero near the passband is denoted as , which is approximately equal to the eigenfrequency of the cavity mode. Being so close to the passband, zero is very helpful to realize a steeper upper side response. Two poles below the zero are denoted as and , respectively, which can be used to control the bandwidth of the passband. The zero does not come from cross coupling as in a traditional metallic waveguide filter [25], [26]. It is very similar to the zero of the filter in [27] and [28] with rectangular cavities, but it exhibits different characteristic. The plot of against poles and and zero is shown in Fig. 2, where the substrate thickness is 0.5 mm, the dielectric constant is 2.2, the radius mm, and the SIW width mm According to Fig. 2, for a given angle , proper parameter is limited in a relatively narrow interval. Beyond the limit, either the dual-mode character disappears or the reflection loss worsens. The angle may also affect the bandwidth. For a broadband filter, a large value of must be chosen, but for a narrowband filter, a small may be proper. The space between the zero and pole decides the rolloff slope in the transition band. Generally, smaller and/or smaller corresponds to narrower bandwidth and narrower space. It is found that the other zero is independent of the eigenmodes. Fig. 3 shows the relation between zero and . It can be seen that the zero is determined just by selecting angle . A proper zero can be used to improve the filter performance with 90 180 to achieve the dual-mode characteristics [14]. It can also be used to suppress some undesirable response

778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 2. Varying of poles P and P and zero Z with respect to W f is the eigenfrequency of mode TM .

=R. Here, Fig. 4. Configuration of dual-mode SIW filter with single elliptic cavity and its response, where R and R are the semiminor and semimajor of the elliptic cavity, is the angle between the input and output ports, W is the width of coupling aperture, and W is the width of input and output SIWs.

Fig. 3. Relation between zero Z and angle .

spur in the following design by setting the zero over the position of the spur. Generally, between 110 –130 is proper for most applications. B. SIW Dual-Mode Filter With Elliptic Cavity Elliptical metallic waveguide cavity resonators in narrow filters have been researched [29], but a SIW elliptic cavity exhibits different characteristic. Here, a dual-mode filter with a single SIW elliptic cavity is investigated. and its response is shown in Fig. 4. It can be seen from Figs. 1 and 4 that the responses of the circular and elliptic cavities are complementary, i.e., the upper side response of the circular cavity is very steep, whereas the lower side response of the elliptic cavity is very steep. Thus, the diplexers with high isolation performance can be designed based on the complementary response characters of circular and elliptic cavities. It should be pointed out that to achieve the mentioned response of the elliptic cavity, must be less than 180 . Similarly, the filter with a single SIW elliptic cavity also has two poles, denoted as and , respectively, and also has two transmission zeros. The one near, but below, the passband is denoted as , another is far way from the passband and denoted as .

Fig. 5. Relations of poles P , P , and zero Z to k . Here, k is the ratio R =R of semimajor to semiminor axes, the substrate thickness is 0.5 mm, W c=Ra = 0:7, and Ra = 4:5 mm.

with respect to the paThe relations of the poles and zero rameters and are shown in Figs. 5 and 6, respectively. It can be seen from Fig. 5 that pole is almost keep constant, but and linearly decrease as increases. The space between and remains unchanged. In Fig. 6, the case is opposite. and are almost unchanged, but linearly decreases while the ratio increases. Angle is also an important parameter affecting the bandwidth and the space between and . For all the parameters , , and , their optimal values are limited in a narrow range. If beyond the limit, either the dual-mode character will disappear or the reflection loss will worsen. Another zero is similar to the corresponding zero of the filter with the circular cavity. The relation between and angle is shown in Fig. 7. C. T-Junction To construct a diplexer with two filters through a T-junction is a traditional means. A filter in a diplexer with a long T-junc-

TANG et al.: DEVELOPMENT OF MILLIMETER-WAVE PLANAR DIPLEXERS BASED ON COMPLEMENTARY CHARACTERS OF DUAL-MODE SIW FILTERS

Fig. 6. Relations of poles P , P , and zero Z to the ratio of W =R , where the substrate thickness is 0.5 mm, the dielectric constant is 2.2, and k = 1:13 and Ra = 4:5 mm.

779

Fig. 8. Measured responses of a diplexer with long T-junction arm.

Fig. 9. Diplexer configuration.

D. Diplexer Design Fig. 7. Relation between zero Z and angle . Here, the substrate thickness is 0.5 mm, the dielectric constant is 2.2, and k = 1:13 and R = 4:5 mm.

tion arm can basically keep its performance unchanged, and the diplexer design and optimization are then simplified, but some undesirable resonance often arises in such a diplexer. The serial arm of the T-junction loaded with two filters may form a resonator due to the approximate full reflection characteristic of the filters in the stopband, which will cause one or more unbalanced points and degrade the selectivity. The measured data of an example diplexer shows the phenomena as shown in Fig. 8. A shortened T-junction arm could not completely eliminate the resonance, but it can shift the resonance point to a high frequency out of the interested band and suppress the magnitude of the spurs. Besides, shortened arm length means loss decrease and size reduction. For a short T-junction arm, the performances of the filters integrated in the diplexer are badly deviated from that of the individual filters. Thus, the diplexer must be carefully readjusted by using full-wave simulation. This is a hard process due to the number of parameters needed to be optimized and the structure complexity.

The configuration of the proposed diplexer based on the above-mentioned SIW dual-mode filters with circular and elliptic cavities is shown in Fig. 9, which has a T-junction with an extremely short arm. Port 1 is connected to an antenna, Port 2 is connected to an upper channel, and Port 3 is connected to a lower channel. The channel filter is constructed by cascading two circular or elliptic SIW cavities through a segment of the SIW to realize its four poles, thus get steeper rolloff slope. In Fig. 9, the point denotes the origin of coordinates. The metallic via diameter is 0.5 mm. The space between two adjacent vias is uniformly arranged around 0.85 mm, but in a few cases that could reach 0.62 and 1.09 mm, and the width of all the input and output SIWs is 5.5 mm, which can suppress the fundamental mode of the cavities. All the transition tapers from the SIWs to microstrips are the same with a length of 4.5 mm, and a width of 1.92 and 1.5 mm at two ends, respectively. The width of the 50- microstrip line is 1.5 mm. Most via positions are automatically calculated according to the cavity shape. The effect of via position variation is compensated in the optimization process. In the upper channel filter with elliptic SIW cavities, the geometric parameters are optimized as , mm, , mm, mm, mm, and mm.

780

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 10. SIW diplexer.

In the lower channel filter with circular cavities, the geometric parameters are optimized as , mm, mm, mm, mm, and mm. The optimized parameters of the T-junction are mm, mm, mm, mm, mm, and mm. The substrate thickness is 0.5 mm and permittivity is 2.2. The specification of the diplexer is assumed according to the local multipoint distribution system requirement as follows. • For the upper channel 3-dB bandwidth: 24.31–25.66 GHz. Insertion loss: 1.91 dB. • For the lower channel 3-dB bandwidth: 25.96–27.34 GHz. Insertion loss: 1.85 dB. The isolation is higher than 40 dB if the frequency is out of the 25.55–26.09-GHz range or greater than 50 dB if the frequency is out of the 25.50–26.20-GHz range.

Fig. 11. Simulated and measured S

and S

(upper channel response).

Fig. 12. Simulated and measured S

and S

(lower channel response).

Fig. 13. Simulated and measured S

(isolation).

IV. FABRICATION AND MEASUREMENT The proposed diplexer was fabricated on a substrate of Rogers RT/Duroid 5880 under a standard PCB process, and a photograph of the diplexer prototype is shown in Fig. 10. When measuring the -parameters of the diplexer, one port is matched with a standard 50- load, and the other two ports are connected to a vector network analyzer (VNA) (Agilent E8363B). The simulated and measured results are shown in Figs. 11–14. All the curves of measured results include the insertion loss of around 0.7 dB within the 24–28-GHz band of the test fixture because the calibration has been done at the terminal of the cable of the VNA. From these figures, it is seen that if subtract 0.7-dB insertion loss due to the test fixture from the measured results, the real insertion losses are 1.95 and 2.09 dB in the passbands, which is around 26 and 25 GHz, respectively. The insertion loss and other performances are in good agreement with the simulated results. This validates the correctness of the equivalent conductivity discussed in Section II. In fact, the real measured losses are just slightly greater than the simulated results with 0.1 and 0.18 dB, respectively.

In the upper channel around 26 GHz, 3–dB bandwidth is from 25.90 to 27.27 GHz or 5.2% fractional bandwidth. In the lower channel around 25 GHz , that is from 24.25 to 25.60 GHz

TANG et al.: DEVELOPMENT OF MILLIMETER-WAVE PLANAR DIPLEXERS BASED ON COMPLEMENTARY CHARACTERS OF DUAL-MODE SIW FILTERS

Fig. 14. Total measured responses.

or 5.4% fractional bandwidth. If defining isolation higher than 40 or 50 dB, then the transition band is from 25.49 to 26.03 and 25.44 to 26.13 GHz, respectively. In both of the passbands, the return losses are less than 16.7 dB. It can be seen from Fig. 12 that a spur exists (but is less than 40 dB) around 30.5 GHz because angle is not so precise that the zero is not just over the spur. Although the diplexer achieves a low insertion loss and high selectivity, but if roughness of the metallic surface can be less than skin depth (some commercial polished foil can reach the roughness of 0.3 m), then the unloaded quality factor, insertion loss performance, and selectivity may be further improved. V. CONCLUSION In this paper, novel SIW dual-mode filters with circular and elliptic cavities have been investigated experimentally and numerically. Based on the complementary characteristics of the SIW circular and elliptic cavity filters, the diplexer with high selectivity and low insertion loss has been designed, fabricated, and measured. The tradeoff between the insertion loss and selectivity is made in designing the diplexer. Other considerations have also been investigated to further reduce the insertion loss. A diplexer based on the dual-mode SIW filters with low insertion loss and high selectivity has been implemented for the local multipoint distribution services band around 26 GHz. REFERENCES [1] A. Morini and T. Rozzi, “Analysis of compact E -plane diplexers in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 8, pp. 1834–1839, Aug. 1995. [2] J. Dittloff and F. Arndt, “Computer-aided design of slit-coupled H -plane T-junction diplexers with E -plane metal-insert filters,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1833–1840, Dec. 1988. [3] E. Ofli, R. Vahldieck, and S. Amari, “Novel E -plane filters and diplexers with elliptic response for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 843–851, Mar. 2005. [4] A. R. Brown and G. M. Rebeiz, “A high-performance integrated K -band diplexer,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1477–1481, Aug. 1999.

781

[5] Z. C. Hao, W. Hong, J. X. Chen, X. P. Chen, and K. Wu, “Planar diplexer for microwave integrated circuits,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 152, pp. 455–459, Dec. 2005. [6] B. Strassner and K. Chang, “Wide-band low-loss high-isolation microstrip periodic-stub diplexer for multiple-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1818–1820, Oct. 2001. [7] C.-W. Tang and S.-F. You, “Design methodologies of LTCC bandpass filters, diplexer, and triplexer with transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 717–723, Feb. 2006. [8] S. Srisathit, S. Patisang, R. Phromloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 101–103, Feb. 2005. [9] C.-F. Chen, T.-Y. Huang, C.-P. Chou, and R.-B. Wu, “Microstrip diplexers design with common resonator sections for compact size, but high isolation,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1945–1952, May 2006. [10] Sakakibara, Y. Kimura, A. Akiyama, J. Hirokawa, M. Ando, and N. Goto, “Alternating phase-fed waveguide slot arrays with a single-layer multiple-way power divider,” Proc. Inst. Elect. Eng.—Microw., Antennas Propag., vol. 144, pp. 425–430, 1997. [11] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [12] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [13] Y. L. Zhang, W. Hong, K. Wu, J. X. Chen, and H. J. Tang, “Novel substrate integrated waveguide cavity filters with defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1280–1287, Apr. 2005. [14] H. J. Tang, W. Hong, Z. C. Hao, J. X. Chen, and K. Wu, “Optimal design of compact millimetre-wave SIW circular cavity filters,” Electron. Lett., vol. 41, no. 19, pp. 1068–1069, Sep. 2005. [15] D. Deslandes and K. Wu, “Single-substrate integration technique of planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Feb. 2003. [16] ——, “Substrate integrated waveguide dual-mode filters for broadband wireless systems,” in Proc. IEEE Radio Wireless Conf., Boston, MA, 2003, pp. 325–328. [17] ——, “Millimeter-wave substrate integrated waveguide filters,” in Can. Elect. Comput. Eng. Conf., Montreal, QC, Canada, May 4–7, 2003, vol. 3, pp. 1917–1920. [18] X. Chen, Z. Hao, W. Hong, T. Cui, and K. Wu, “Planar asymmetric dual-mode filters based on substrate integrated waveguide (SIW),” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 949–952. [19] H. J. Tang and W. Hong, “Substrate integrated waveguide dual mode filter with circular cavity,” in Joint 31st Int. Infrared Millimeter Waves Conf./14th Int. Terahertz Electron. Conf. Dig., Shanghai, China, Sep. 2006, vol. 18–22, pp. 399–399. [20] V. N. Egorov and V. L. Masalov, “Measuring microwave properties of laminated dielectric substrates,” Rev. Sci. Instrum., vol. 75, no. 11, pp. 1–11, Nov. 2004. [21] C. L. Holloway and E. F. Kuester, “Power loss associated with conducting and superconducting rough interfaces,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1601–1610, Oct. 2000. [22] S. P. Morgan, “Effect of surface roughness on eddy current losses at microwave frequencies,” J. Appl. Phys., vol. 20, pp. 352–362, 1949. [23] D. Hollmann, S. Haffa, F. Rostan, and W. Wiesbeck, “The introduction of surface resistance in the three-dimensional finite-difference method in frequency domain,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 5, pp. 893–895, May 1993. [24] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, pp. 235–271. [25] L. Accatino, G. Bertin, and M. Mongiardo, “A four-pole dual mode elliptic filter realized in circular cavity without screws,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2680–2687, Dec. 1996. [26] P. Savi, D. Trinchero, R. Tascone, and R. Orta, “A new approach to the design of dual-mode rectangular waveguide filters with distributed coupling,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 261–228, Feb. 1997. [27] U. Rosenberg and S. Amari, “Novel design possibilities for dual-mode filters without intracavity couplings,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 8, pp. 296–298, Aug. 2002.

782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[28] M. Guglielmi, P. Jarry, E. Kerherve, O. Roquebrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1764–1769, Oct. 2001. [29] L. Accatino, G. Bertin, and M. Mongiardo, “Elliptical cavity resonators for dual-mode narrowband filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2393–2401, Dec. 1997.

Hong Jun Tang was born in Sichuan Province, China, on February 15, 1971. He received the B.S. degree in radio engineering from the Sichuan Institute of Light Industry and Chemical Technology, Zigong, China, in 1992, the M.S. degree in circuits and system from the University of Electronic Science and Technology of China, Chendu, China, in 2000, and is currently working toward the Ph.D. degree at Southeast University, Nanjing, China. From 1992 to 2002, he was with the Sichuan Institute of Light Industry and Chemical Technology. His research interests include microwave and millimeter-wave components, circuits, and system.

Wei Hong (M’92) was born in Hebei Province, China, on October 24, 1962. He received the B.S. degree from the Zhenzhou Institute of Technology, Zhenzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, where he is currently a Professor with the Department of Radio Engineering. In 1993, 1995, 1996, 1997 and 1998, he was a short-term Visiting Scholar with the University of California at Berkeley and University of California at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering, inverse scattering and propagation, RF front-ends for mobile communications, and the parameters extraction of interconnects in very large scale integration (VLSI) circuits, etc. He has authored or coauthored over 200 technical publications. He authored Principle and Application of the Method of Lines (Southeast Univ. Press, 1993, in Chinese). He has served as a reviewer for many technique journals such as Proceedings of the IEE, Part H and Electronics Letters. Dr. Hong is a Senior Member of the China Institute of Electronics (CIE). He has served as the reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the two-time recipient of the First-Class Science and Technology Progress Prize presented by the State Education Commission in 1992 and 1994, respectively. He was the recipient of the Fourth-Class National Natural Science Prize in 1991, and the Third-Class Science and Technology Progress Prize of Jiangsu Province. He was also the recipient of the Foundation for China Distinguished Young Investigators presented by the National Science Foundation (NSF) of China.

Ji-Xin Chen was born in Jiangsu Province, China, in 1976. He received the B.S., M.S., and Ph.D. degrees in radio engineering from Southeast University, Nanjing, China, in 1998, 2002, and 2006 respectively. His current research interests include microwave and millimeter-wave circuit design and monolithic microwave integrated circuit (MMIC) design.

Guo Qing Luo was born in Jiangxi Province, China, on April 3, 1979. He received the B.S. degree in material science from the China University of Geoscience, Wuhan, China, in 2000, the M.S. degree in material science from Northwest Polytechnical University, Xi’an, China, in 2003, and is currently working toward the Ph.D. degree in radio engineering at Southeast University, Nanjing, China. His current research interests include numerical algorithms in electromagnetics and frequency-selective surface (FSS) design and optimization.

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He has been a Visiting or Guest Professor with many universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME). He has authored or coauthored over 450 refereed papers and several books/book chapters. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer- aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters, and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He has held many positions in and has served on various international committees, including having been the vice chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general co-chair of the 1999 and 2000 SPIE International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology Conference (ISMOT’2001), the TPC chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 Joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected to the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE Chapters of MTT-S/AP-S/Lasers and Electro-Optics Society (LEOS) in Montréal, QC, Canada, the chapter coordinator for the IEEE MTT-S Region 7. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia–Pacific Microwave Prize, the University Research Award “Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique on the occasion of its 125th anniversary, the Urgel–Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French–Canadian Association for the Advancement of Science, and the 2004 Fessenden Medal of IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

783

A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs Meng Miao and Cam Nguyen, Fellow, IEEE

Abstract—A novel millimeter-wave cavity resonator, completely compatible with commercial CMOS fabrication techniques, has been designed and fabricated in a 0.25- m CMOS process and tested. The resonator employs a capacitively loaded cavity topology effectively implemented using the CMOS multimetal-layer and via-hole structure. The CMOS capacitively loaded cavity resonator, including two coupling apertures and microstrip feed lines, occupies an area of 2 mm 1.4 mm 6.28 m, which is much smaller than the cavity resonator designed without a capacitive load at the same resonant frequency. It resonates at 30 GHz with a corresponding insertion loss of 2 dB and unloaded quality factor of 22.2. The resonant-frequency reduction is approximately 50%, as compared to that without the capacitive load. The design and performance of a new CMOS coupling aperture, which is useful as a coupling mechanism and a vertical interconnect for highly dense millimeter-wave CMOS RF integrated circuits (RFICs) are also presented. The developed low-loss and compact CMOS cavity resonator can be used to realize various millimeter-wave on-chip passive components such as wideband bandpass filters and diplexers and is suitable for direct integration with other CMOS circuits on a single chip. It is attractive for CMOS RFIC design at millimeter-wave frequencies. Index Terms—Aperture-coupled cavity resonators, CMOS RF integrated circuit (RFIC), coupling apertures, microwave and millimeter-wave integrated circuit (IC), microwave and millimeterwave resonators, passive components, RF resonators.

I. INTRODUCTION

W

ITH THE rapid development of semiconductor technology, CMOS has emerged as a viable technology for system-on-chip solutions that allows full integration of RF integrated circuits (RFICs), low-frequency analog circuits, and digital baseband circuits for wireless communications. Resonators are common and important components in CMOS RFICs. For CMOS RFICs operating in the microwave regime, resonators can be implemented using lumped elements. At millimeter-wave frequencies, however, lumped-element resonators may not be realizable with current CMOS processes, particularly in the high millimeter-wave end. CMOS resonators have been developed. An active resonator was reported on a 0.25- m CMOS with a loaded of 53, 78, and 122 at 1.779, 1.822, and 1.870 GHz, respectively [1]. A multiring resonator has also been developed on a 0.18- m CMOS with an unloaded of 83 at 75 GHz [2]. Manuscript received June 21, 2006; revised October 31, 2006. This work was supported in part by the National Science Foundation and in part by the Texas Advanced Research Technology Program. The authors are with the Electrical and Computer Engineering Department, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.892817

Traditional microwave and millimeter-wave resonators are made with rectangular or cylindrical waveguides that offer low loss and high quality factor. These waveguide resonators are not suitable for microwave CMOS RFICs due to their relatively large size at microwave frequencies. Nevertheless, at millimeter-wave frequencies, where the wavelengths are relatively short, it is possible and indeed appealing to produce these waveguide resonators compactly within CMOS structures that can be easily integrated with other components on a single chip. Recently, several techniques have been proposed to realize low-cost high- compact planar resonators in different geometries. Standard printed circuit board fabrication techniques were used to form lattices of periodic metallized via-holes to realize EM bandgap cavity resonators [3], [4]. Precision manufacturing methods such as the classical wet etching and lithography techniques [5], low-temperature cofired ceramics (LTCCs) [6], advanced silicon etch process [7], silicon micromachining [8], and layer-by-layer polymer stereo lithography processing [8] have also been used to fabricate cavity resonators. In some of these precision manufacturing methods, capacitively loaded cavity resonators with walls made by metal plates or lattices of periodic metallized via-holes were fabricated. A resonator loaded with a capacitor lowers the resonant frequency, thus equivalently reducing the resonator’s size, while maintaining the same . These nonconventional fabrication technologies, however, introduce additional process issues and packaging challenges in integration with other passive and active circuits. In this paper, we present the development of a novel compact quasi-planar millimeter-wave cavity resonator realized using a commercial standard 0.25- m CMOS fabrication technology. Unlike the CMOS resonator presented in [9], the new resonator utilizes multiple metal layers and lattices of periodic via-holes available with the CMOS process to form a miniature cavity within the CMOS structure. It is thus completely compatible and, hence, can be integrated directly with other CMOS RFICs on the same chip. The new resonator includes a metal post located centrally in the cavity to inject additional capacitance, which results in lower resonant frequency and, hence, size reduction for the cavity. The coupling and interface mechanisms between the resonator and other on-chip elements are done through coupling apertures and microstrip lines. The design and performance of the coupling aperture in a 0.25- m CMOS process are also described. This coupling mechanism is useful for interconnections between circuit elements realized on different metal layers for highly dense millimeter-wave CMOS RFICs, facilitating vertical integration while minimizing interactions between circuits.

0018-9480/$25.00 © 2007 IEEE

784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 1. Cross section of a typical CMOS structure showing metal layers from the bottom-most M1 to the top-most M5 and via-hole interconnects.

The developed CMOS cavity resonator occupies an area of 2 mm 1.4 mm 6.28 m including the coupling apertures and feed lines, and resonates at 30 GHz with an insertion loss of of 22.2. It is found that approximately 2 dB and unloaded the resonant frequency of the capacitively loaded resonator is approximately 50% less than that of an unloaded counterpart having the same size. Results of the developed millimeter-wave CMOS cavity resonator confirm the feasibility of cavity-based resonators in CMOS processes, which should be very attractive for RFIC design at millimeter-wave frequencies, where lumpedelement resonators are either not feasible or of poor quality. Additionally, it also demonstrates the possibility of realizing millimeter-wave waveguides within CMOS structures, which are useful not only for low-loss signal transmission and waveguide-based CMOS circuits, but also for interconnects in millimeter-wave CMOS RFICs. To the best of our knowledge, this is the first millimeter-wave capacitively loaded cavity resonator realized in a standard CMOS process. II. DESIGN AND PERFORMANCE OF CMOS COUPLING APERTURE Fig. 1 shows a typical cross section of the metal layers and via-hole interconnects in CMOS processes, which facilitates realization of the CMOS cavity resonator and associated coupling structures. Coupling apertures, implemented with slots on metal layers, are used to couple energy into and out of the CMOS cavity resonator. In order to improve the coupling efficiency, slots with enlarged ends such as the H-shaped aperture are used instead of the more typical uniform rectangular slots [10]. The H-shaped configuration not only reduces the size of the coupling aperture, but also allows the feed lines to be embedded within the boundary of the aperture, effectively keeping the entire aperture miniature. It is thus very suitable for CMOS RFICs whose size is an important design consideration.

Fig. 2. H-shaped coupling aperture. The aperture size without RF pads is 390 m 370 m.

2

Fig. 2 is a photograph of the designed H-shaped coupling slot together with two on-wafer RF pads fabricated using the TSMC 0.25- m CMOS process.1 The photograph also shows one of the two microstrip feed lines for the coupling aperture. The coupling aperture is realized on metal layer M4, which can be used as the common ground plane for circuits above and below M4. The feed lines are 50- microstrip lines realized on metal layers M5 and M3 located above and below M4, respectively. Each feed line has an open end extending a quarter-wavelength beyond the center of the slot to provide a short circuit to the coupling aperture at the designed resonant frequency of 30 GHz. Meandering is used to shorten the feed lines, hence, minimizing the overall coupling area. The open-circuited quarter-wavelength section may be replaced with a via-hole directly connecting the microstrip feed line to the ground plane at the edge of the slot. The RF pads are on M5 and separately connect to the individual microstrip feed lines. The entire coupling aperture including feed lines only occupies an area of 390 m 370 m, which is much smaller than that using a common uniform rectangular coupling slot. The electromagnetic (EM) software IE3D [11] was used to optimize the parameters of the coupling aperture and the meandered sections of both microstrip feed lines to achieve the best coupling across the desired frequency range, which includes the resonant frequency of the designed CMOS cavity resonator. -parameters of the fabricated coupling aperture were measured using a vector network analyzer and a probe station with on-wafer RF probes. Short-open-load-thru calibration was performed using on-chip calibration standards. The measured and simulated results are shown in Fig. 3 and agree reasonably well. The coupling aperture exhibits a minimum return loss of 10 dB from 25 to more than 40 GHz with approximately 22 dB at 30 GHz, the designed resonant frequency of the CMOS cavity resonator. The average measured coupling loss is approximately 2.5 dB from 27 to more than 40 GHz including the losses of the two microstrip feed lines. 1TSMC

0.25-m CMOS process, MOSIS Foundry, Marina del Rey, CA.

MIAO AND NGUYEN: NOVEL MULTILAYER APERTURE-COUPLED CAVITY RESONATOR FOR MILLIMETER-WAVE CMOS RFICs

785

Fig. 3. Measured and simulated: (a) return loss and (b) insertion loss of the designed H-shaped coupling aperture.

III. DESIGN AND PERFORMANCE OF CMOS CAPACITIVELY LOADED CAVITY RESONATOR Fig. 4 illustrates the new CMOS cavity resonator, which is based on the conventional rectangular waveguide cavity with the addition of a capacitive load. The top and bottom metal walls of the cavity are on M4 and M1, respectively. The sidewalls of the cavity extending from the top to the bottom walls are formed using lattices of periodic metallic via-holes having minimum distance between them. The connections between M1 and M2, M2 and M3, and M3 and M4 needed for the sidewalls are made using three different groups of via-holes, considering the available structure of the CMOS process. Two narrow metal frames on M2 and M3 are also used to connect all the via-holes together to further confine the fields within the cavity and enhance the electrical isolation between the interior and exterior of the cavity. It is noted that the size of the via-holes and distance between them in typical CMOS processes are extremely small with respect to the millimeter-wave wavelength. The via-hole lattices together with the metal frames thus can create sidewalls resembling solid metallic walls electrically well and, hence, can be used to replace them. Our EM simulations show no obvious

Fig. 4. Sketch of the: (a) top view and (b) 3-D view of the CMOS capacitively loaded cavity resonator (not to scale). The front wall in the 3-D view is removed to show the inside.

performance degradation between the walls using via-holes and solid conductors. Two 50- microstrip lines with meandered open stubs on M5 couple the energy to and from the cavity through two H-shaped coupling slots formed on the top metal wall of the cavity. The inserted capacitive post used to enhance the overall capacitance is located in the middle of the cavity and formed by a metallic patch on M3 and lattices of periodic metallic via-holes connecting the periphery of the metallic patch with the bottom wall of the cavity. Two different lattices of via-holes are used to connect the bottom cavity wall with the metal layer M2 and then to the edges of the metallic patch. The miniature size of the via-holes and the very tiny gap between them ensure that these lattices of metallic via-holes provide a good electrical wall around the space between the metallic patch and the bottom cavity wall. A narrow metal frame on M2 around this enclosed space is also used to connect all the via-holes together to further improve the electrical wall and, hence, the capacitive property

786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

of the post. As the distance between metal layers M3 and M4, where the metallic patch of the capacitive post and the coupling aperture are located, respectively, is very short, very strong coupling would occur between them if they are located near each other longitudinally, which effectively degrades the capacitance of the post. To alleviate this problem, a rectangular shape is chosen for the metallic patch. The resonant frequency of the dominant mode of the cavity without a capacitive load can be approximated using the conventional formula for the waveguide cavity resonator (1) are the effective length and width of the cavity where and and is the relative dielectric constant of the enclosed dielectric. The IE3D program was used to analyze the noncapacitive-load rectangular cavity resonator having dimensions of 2 mm 1.4 mm in the TSMC 0.25- m CMOS process. The simulation result shows a resonant frequency of 60 GHz. This cavity resonator can be represented approximately by a series RLC lumped-element equivalent circuit at its resonant frequency as done for the LTCC cavity resonator [6]. The resonant frequency of the resonator can thus be estimated using the well-known formula

Fig. 5. Fabricated CMOS capacitively loaded cavity resonator showing the H-shaped coupling apertures, feed lines, and RF pads. The cavity is underneath and, thus, not visible.

(2) where and are the inductance and capacitance of the equivalent circuit, respectively. Equation (2) is not very useful in providing accurate resonance calculation due to the fact that it is very difficult and time consuming to accurately calculate the equivalent intrinsic inductance and capacitance of the cavity. A numerical fitting or optimization approach can provide these values that, however, may not represent the true inductance and capacitance of the cavity. Nevertheless, (2) qualitatively shows that the resonant frequency of a cavity resonator can be reduced by increasing its equivalent capacitance and/or inductance, which is effectively equivalent to reducing the size of the cavity. The overall capacitance can be increased by adding a capacitive effect to the resonator, which is achieved in the developed CMOS cavity resonator using a capacitive metallic post. With this capacitive post, additional electrical field is concentrated within the space between the top of the post and the top wall of the cavity, while the magnetic field distribution within the cavity remains relatively unchanged. A strong capacitive effect thus appears between the top cavity wall and the metallic patch, resulting in enhanced overall distributed capacitance. The resultant additional capacitance can be approximately calculated from the formula of parallel-plate capacitors [7] (3) where is the relative dielectric constant of the dielectric between the metal patch and the top cavity wall, is the surface area of the patch, and denotes the distance between the patch and top cavity wall. This capacitance can be substantial due to

Fig. 6. Measured and simulated return loss (RL) and insertion loss (IL) of the CMOS capacitively loaded cavity resonator.

a very small gap between the metal patch and the top cavity wall, thus significantly increasing the distributed capacitance and, hence, reducing the resonant frequency of the CMOS capacitively loaded cavity resonator. The new CMOS capacitively loaded cavity resonator was designed and constructed using the TSMC 0.25- m CMOS process. Ten and five rows of periodic via-holes are used for each of the cavity sidewalls and the metallic capacitive post, respectively. Fig. 5 is a photograph of the fabricated CMOS cavity resonator. The complete cavity resonator including two coupling apertures and two microstrip feed lines has lateral dimensions of 2 mm 1.4 mm and a height of 6.28 m. The size of the inserted rectangular metallic patch is 720 m 400 m. RF pads connecting to the 50- microstrip feed lines, used for on-wafer measurements, are placed just above the cavity to save space. 50- microstrip lines of various lengths were also fabricated on the same chip, and their insertion losses were measured and used to evaluate the loss of each feed line. Fig. 6 shows the measured and simulated results of the developed CMOS cavity resonator. The measured resonance occurs

MIAO AND NGUYEN: NOVEL MULTILAYER APERTURE-COUPLED CAVITY RESONATOR FOR MILLIMETER-WAVE CMOS RFICs

787

within CMOS structures, which can be fully integrated with other millimeter-wave CMOS RFICs on a single chip without any extra process. These results are expected to be very useful for CMOS RFIC design in the millimeter-wave regime where lumped-element resonators and/or distributed transmission lines are either not available or have degrading performance. REFERENCES

Fig. 7. Calculated return losses of the CMOS cavity resonators with and without the capacitive post.

at around 30 GHz with an insertion loss of 2 dB. The loss caused by the feed lines has been removed from the measured insertion loss. The measured and calculated results agree well. The measured and calculated unloaded are derived as 22.2 and 24.8 using the measured and calculated -parameters, respectively, and formulas presented in [4]. This unloaded is much lower than that of 350 for the LTCC cavity resonator in the -band reported in [6] due to the fact that the height of the CMOS cavity resonator is only 6.28 m as compared to 594 m used for the LTCC structure. This significantly smaller height results in reduced according to the well-known formula for the cavity’s [12]. Larger heights are not possible in current CMOS processes due to very small distance between the top- and bottom-most metal layers. Nevertheless, the CMOS cavity resonator should be useful for various millimeter-wave CMOS RFICs at frequencies so high that good lumped elements cannot be realized, or when waveguide circuit topologies, such as direct-coupled waveguide bandpass filters [13], need to be fabricated in CMOS processes where other high- techniques such as LTCC cannot be used. Furthermore, it is expected that advances in modern CMOS technology will allow more metal layers and increase distance between the top- and bottom-most metal layers, which can be exploited to consequently improve the of the CMOS capacitively loaded cavity and facilitate its applications for CMOS RFICs. Fig. 7 compares the calculated return losses of the CMOS cavity resonators with and without the capacitive post, demonstrating a reduction of around 50% for the resonant frequency when the capacitive post is included. IV. CONCLUSION A novel capacitively loaded quasi-planar cavity resonator and coupling aperture have been developed at millimeter-wave frequencies using a standard 0.25- m CMOS process. The new resonator demonstrates not only the first CMOS millimeter-wave distributed cavity resonator, but also the possibility of realizing millimeter-wave distributed waveguides

[1] P. Madsen, J. H. Mikkelsen, J. C. Lindof, and T. Larsen, “An RF CMOS Q-enhanced LC resonator,” in Proc. IEEE Norchip Conf., Nov. 2004, pp. 285–288. [2] S. S. H. Hsu and H. Z. Zhu, “W -band multiple-ring resonator by standard 0.18-m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 832–834, Dec. 2005. [3] M. J. Hill, R. W. Ziolkowski, and J. Papapolymerou, “Simulated and measured results from a Duroid-based planar MBG cavity resonator filter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 528–530, Dec. 2000. [4] M. J. Hill, R. W. Ziolkowski, and J. Papapolymerou, “A high-Q reconfigurable planar EBG cavity resonator,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 255–257, Jun. 2001. [5] P. Ferrand, M. Chatras, D. Baillargeat, P. Blondy, S. Verdeyme, J. Puech, L. Lapierre, J. Galiere, and E. Estebe, “Compact quasi-planar silicon bandpass filters based on metallic periodic structure for Q and V band applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1459–1462. [6] P. Ferrand, D. Baillargeat, S. Verdeyme, J. Puech, M. Lahti, and T. Jaakola, “LTCC reduced-size bandpass filters based on capacitively loaded cavities for Q band application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2083–2086. [7] N. Faure-Muret, D. Baillargeat, S. Verdeyme, J. Galilre, E. Estebe, J. P. Ghesquiers, and F. Bernard, “A high-Q quasi-planar filtering solution for 60-GHz applications,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 354–356, May 2005. [8] X. Gong, A. Margomenos, B. Liu, S. Hajela, L. P. B. Katehi, and W. J. Chappell, “Precision fabrication techniques and analysis on high-Q evanescent-mode resonators and filters of different geometries,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2557–2564, Nov. 2004. [9] M. K. Chirala and C. Nguyen, “A novel CMOS hairpin resonator using slow-wave structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 527–529, Aug. 2005. [10] D. M. Pozar and S. D. Targonski, “Improved coupling for aperture coupled microstrip antennas,” Electron. Lett., vol. 27, no. 13, pp. 1129–1131, Jun. 1991. [11] IE3D. Zeland Softw. Inc., Fremont, CA, 2005. [12] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [13] G. Matthaei, L. Young, and E. M. T. Jones, Impedance Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980.

Meng Miao is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station. From 1994 to 1998, he was with the Nanjing Research Institute of Electronics Technology, Nanjing, China. From 1998 to 2001, he was with the National University of Singapore. From August to December 2006, he interned as an RF Integrated Circuit (RFIC) Designer with WiQuest Communications Inc., Allen, TX. His research interests include CMOS RFICs, MMICs, and broadband antennas.

Cam Nguyen (F’05), photograph and biography not available at time of publication.

788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method Kiran George, Student Member, IEEE, Chien-In Henry Chen, Member, IEEE, and James B. Y. Tsui, Fellow, IEEE

Abstract—One of the most major trends in modern microwave signals is the shift toward wider bandwidths. Analog wideband receiver designs can provide accommodation of the technology-stressing bandwidths, but come up to a cost of reduced flexibility. Digital approaches, alternatively, provide flexibility in receiver signal processing, but they are limited by analog-to-digital converter resolution and power consumption. In this paper, design and implementation of a 1-GHz signal bandwidth digital receiver, which uses the Kaiser window function and compensation technique, is presented. The Kaiser window reduces the spectral leakage by eliminating the discontinuities at the time window edges and the compensation uncovers the weak signal for extension of the two-signal spurious-free dynamic range (SFDR) of the receiver. The combination of both techniques extends the two-signal SFDR of the receiver to 24 dB. A novel hardware implementation of the Kaiser window and the compensation method is presented. Index Terms—Compensation, digital receiver, false alarm, instantaneous frequency measurement (IFM) receiver, Kaiser window, microwave receiver, monobit receiver, normalization, receiver-on-a-chip (ROC), super-resolution, spurious-free dynamic range (SFDR).

I. INTRODUCTION

T

HE LACK of a priori knowledge about the waveform of interest, the multitudes of signals the receiver might receive, and the noise energy that occupies the same portion of the frequency spectrum as the signal makes the design of a modern wideband digital receiver very challenging [1], [2]. The dynamic range of microwave receivers is ultimately limited by the signal sidelobes and spurs that could ultimately become false alarms. A practical approach to build a wideband digital receiver is through channelization. The monobit digital wideband receiver was one such approach first presented in [3], which used a fast Fourier transform (FFT) to perform channelization. The complexity of a 256-point FFT was reduced by eliminating multipliers and thereby improving the performance of the receiver in terms of processing speed. The monobit receiver including

Manuscript April 9, 2006; revised December 16, 2006. K. George and C.-I. H. Chen are with the Department of Electrical Engineering, Wright State University, Dayton, OH 45435 USA (e-mail: [email protected]; [email protected]). J. B. Y. Tsui was with Reference and Receiver Applications Branch, Air Force Research Laboratory, Wright Patterson AFB, OH 45433 USA. He now resides in Dayton, OH 45440 USA. Digital Object Identifier 10.1109/TMTT.2007.892818

a 2-bit analog–digital converter (ADC) and a 256-point FFT implemented using a four-point kernel function can simultaneously detect and process two signals in 1-GHz bandwidth (125 MHz–1.125 GHz) and achieve a two-signal spurious-free dynamic range (SFDR) of 5 dB. This receiver is unlike the conventional instantaneous frequency measurement (IFM) receiver only detecting one signal. Analysis and evaluation of the receiver performance and limitation of the monobit receiver was presented in [4] and [5]. A frequency oversampled channelized receiver using hybrid filter banks for ultra-wideband signals were proposed in [6]. Due to its ability to isolate the effects of the narrowband interference, the adaptive performance of the receiver is significantly better than an ideal full-band receiver when narrowband interference is present. Channelization in the wideband receiver of a software-defined radio involves the extraction of multiple narrowband channels from a wideband signal using several bandpass filters, which is computationally intensive. An arithmetic scheme called a pseudo floating-point (PFP) representation to encode the filter coefficients was proposed in [7]. It was shown that coefficients can be coded using considerably fewer bits than the conventional implementation. A low-power high-speed implementation of PFP coded filters with minimum hardware was also proposed. An improvement to the monobit receiver was proposed in [8] in which the two-signal SFDR was extended to 18 dB with the second signal false alarm less than 1%. The design mainly included a 4-bit ADC, a 256-point FFT implemented using a 12-point kernel function, and a super-resolution block. The super-resolution block implementing a compensation technique improves the instantaneous dynamic range of the receiver by subtracting the estimated peak amplitude frequency response along with its sidelobes and spurs from the actual frequency response, and thereby exposing the weak second signal. By using this technique, the pre-calculated FFT outputs of peak amplitude frequencies were stored in a lookup table (LUT). After spectral analysis of the incoming signals, the super-resolution algorithm is applied to estimate the peak signal frequency. The frequency response of the peak signal is then retrieved from the compensation LUT for subtraction from the actual response. Real world data is rarely constant over time and often data needs to be analyzed in a succession of short time slices. The basic Fourier integrals only work properly for periodic signals. A signal frequency, which is not periodic in the Fourier analysis, is still perceived by the analysis as cyclical. Consequently, the analysis typically sees step disjoints in the waveform with

0018-9480/$25.00 © 2007 IEEE

GEORGE et al.: EXTENSION OF TWO-SIGNAL SFDR OF WIDEBAND DIGITAL RECEIVERS USING KAISER WINDOW AND COMPENSATION METHOD

789

TABLE I COMPARISON OF PROPERTIES OF DIFFERENT WINDOW FUNCTIONS

Fig. 1. Two areas of ROC II.

a periodicity equal to the analysis period. This disjoints lead to glitches and spurious responses in single-frequency analyses. A solution is to window the source data so as to remove the end-effect glitches and introduce a well-behaved pseudoperiodicity. The commonly used windows, such as Hamming [9] and Blackman–Tukey [10], are variations on the basic idea of smoothing with a raised cosine sequence. They are limited to a fixed stopband ripple that depends on the window shape. Alternatively, adjustable windows, such as the Kaiser window [11], [12], can be used to satisfy alternative design requirements. The Kaiser window has an advantage of minimizing the sidelobe energy of the window, and a simple implementation. It uses a zeroth-order modified Bessel function [13] of the first kind. It has two parameters: the window length and the shape parameter . By varying the window length and , the shape of the window can be modified according to the application. Increasing reduces the amplitude of the sidelobes, but widens the mainlobe, whereas increasing the window length reduces the width of the mainlobe and increases the amplitude of the sidelobes. This paper presents the effectiveness of using the Kaiser window and compensation method for extension of the two-signal SFDR of wideband digital receivers. A novel hardware implementation of the Kaiser window and the compensation method using an arithmetic scheme comprised of fixed- and floating-point representations is presented. II. RECEIVER-ON-A-CHIP II (ROC II) A 1-GHz signal bandwidth digital receiver, called the ROC II, is divided into two areas, which are: 1) signal sampler and formatting and 2) windowing/super-resolution and frequency measurement, as shown in Fig. 1. The signal sampler is comprised of a 2.5-GHz sampling rate ADC, which samples the signal every 0.4 ns to produce a 4-bit amplitude measurement. Each bit from the ADC is then passed to four 1 : 16 window samplers, which convert the serial data stream to parallel and down convert the data rate by a factor of 16 to meet the speed at which the detection circuit can receive data, i.e., -GHz sampling rate -sample window -MHz sampling rate. As depicted in Fig. 1, the windowing/super-resolution and frequency measurement system has four main blocks, which

are: 1) window function; 2) FFT; 3) super-resolution; and 4) frequency selection logic. As to the design of the window function block, some of the commonly used fixed and adjustable nonrectangular windows were compared mainly for two parameters, i.e., mainlobe width and sidelobe suppression. The achievable frequency resolution for a windowed signal is determined by the mainlobe width of the strong signal and the sidelobes are undesirable components of the windowing process. They could be confused with the mainlobes of a weaker signal if left unsuppressed. The sidelobes can be suppressed by using nonrectangular windows. Nonrectangular windows in general have a wider mainlobe compared to the rectangular windows. This, in turn, reduces the achievable frequency resolution of the windowed spectrum. The effective width of the mainlobe for any type of window is inversely proportional to the window length ( ), or [14] in radians per sample where is a constant ( 1), which depends on the window used. The rectangular window has the narrowest width, corresponding to . There are two main categories of nonrectangular windows, which are: 1) fixed window functions like Hanning, Hamming, and Blackman and 2) adjustable window functions like Chebyshev and Kaiser. The comparison of properties of different window functions with a window length of 256 and at a sampling rate of 2.5 GHz is given in Table I. The frequency separation of the two signals used in the receiver design is 10 MHz. The mainlobe width of the window should be close to 10 MHz. Otherwise, the mainlobe of the main signal will spread to the neighboring frequency bins where a weak signal may be present and cause a false alarm. Thus, a window function with a small mainlobe width and good sidelobe attenuation would be recommended. Out of the five window functions considered, the Kaiser window function has both good mainlobe width and sidelobe attenuation. The proposed Kaiser window function design contains 256 LUTs, as shown in Fig. 2. The LUT stores the multiplication products of the Kaiser coefficients and 16 possible 4-bit ADC outputs (from 0000 to 1111). By reading in the 4-bit ADC output, its multiplication product with the Kaiser window coefficient is read out from the LUT. The 256 LUT outputs are the inputs to a 256-point FFT. The value for to generate the Kaiser window function coefficients was empirically chosen to provide the best performance. Other than the

790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

In the application of the compensation, as shown in Fig. 3(c), sidelobes and spurs are effectively cancelled, but neither approach of Fig. 3(b) and (c) can expose the second signal . For both cases, a spurious signal (which is above the threshold), instead of the actual signal , was detected as the second signal. The receiver reports a false alarm. However, combining both the Kaiser window function and compensation, the sidelobes and spurs, which could have caused false alarms, are effectively removed. The actual second signal is then exposed and detected, as shown in Fig. 3(d). B. Hardware Design and Implementation

Fig. 2. Architecture for the Kaiser window block.

use of the Kaiser window function, the ROC II uses a 12-point kernel function to implement the 256-point FFT. In comparison with the four-point kernel function of monobit receiver [1], the ROC II shows a remarkable improvement of a two-signal SFDR. The super-resolution is a compensation method whereby a stored pre-calculated response that closely approximates the highest amplitude frequency response is subtracted from the actual response. Nearly complete sidelobe cancellation can be achieved with this method provided the approximation is good enough. Only a narrow null window needs be used in this case; in fact, if the approximation is accurate enough, no window is even necessary. In the compensation method, we assume that all significant sidelobes and spurs are generated by alone. A complete sidelobe cancellation can be achieved if we can regenerate correctly and subtract it from the actual response. This, in turn, can expose the weak second signal, which otherwise could have been undetected in the midst of signal sidelobes and noise spurs. In our method, the pre-calculated response that closely approximates the response is pre-stored in a high-resolution compensation matrix. The higher resolution is then used to determine the correct row of the compensation matrix for subtraction from the actual response. In the frequency selection logic, the signal is compared with a pre-determined threshold and, based on the amplitude of the signal, it is declared as a detected signal or a noise spur. III. KAISER WINDOW AND SUPER-RESOLUTION A. Effectiveness A study with a simulation example that underlines the effectiveness of the Kaiser window function and compensation to improve the two-signal SFDR is presented. Fig. 3(a) shows the frequency response after the FFT in which the peak signal frequency MHz and the weak signal frequency MHz and the weak signal is 24 dB down of the peak signal . The response shows the sidelobes and spurs generated from the peak signal . In the application of the Kaiser window function, as shown in Fig. 3(b), the mainlobe width is reduced to 10 MHz and the sidelobes have good attenuation.

1) Kaiser Window Function: The hardware of the Kaiser window function was realized using 256 combinational LUTs, as shown in Fig. 2. Each combinational LUT stores 16 products from multiplication of its Kaiser coefficient and 16 possible 4-bit digitized inputs (0000–1111). An example of construction of the “Kaiser coefficient LUT 102” is illustrated in [15]. 2) Super-Resolution Block: The super-resolution block basically consists of four sub-blocks. They are: 1) normalization; 2) find “ ” block (find the compensation row); 3) compensation LUT; and 4) compensation, as shown in Fig. 4. The normalization block takes in: 1) 128 FFT outputs (128 amplitudes and each is truncated to 8 bit) and 2) the highest amplitude among 128 outputs, “Max.” It normalizes the 128 amplitudes by dividing them with the “Max.” The find “ ” block calculates the compensation row, based on the peak signal amplitude “Max” and its frequency bin of FFT. The compensation LUT has a size of 1024 128 bit and the data is retrieved by using the 10-bit address, the output of the find “ ” block. The compensation block subtracts the compensation row data (1024-b), which closely approximates the highest peak signal “ ,” from the normalized FFT output (1024 bit) for removal of the sidelobes and spurs of “ .” The second weak signal is then exposed. a) Normalization: The normalization block is comprised of four main sub-blocks, as shown in Fig. 5. They are the: 1) multiplier block; 2) LUT block; 3) control logic block, and 4) tristate buffer block. (1) Multiplier block: A novel multiplier LUT is presented for the fixed-point multiplications. To retrieve the multiplication product from the LUT, the multiplier and multiplicand bits are concatenated to form an address of the LUT. No real-time multiplication is involved. As the width of the operands increase, the size of LUT increases. A novel approach of a split-multiplier [16] is proposed, in which both the multiplier and multiplicand are split and the results of the partial multiplication of the split operands are summed by appropriate interleave shifting. In Fig. 6, an example of 8 8 multiplications is described. It is mainly comprised of four 256 8 bit LUTs and three binary adders of which two are 8-bit adders and one is a 12-bit adder. The LUTs store pre-calculated multiplication products. Once the partial products are retrieved from the LUTs, they are summed together by appropriate interleave shifting. In this example, the multiplicand (MD) is “01111011” and the multiplier (MR) is “10000101.” At the first stage, the MR and the MD are split to

GEORGE et al.: EXTENSION OF TWO-SIGNAL SFDR OF WIDEBAND DIGITAL RECEIVERS USING KAISER WINDOW AND COMPENSATION METHOD

791

Fig. 3. Effectiveness of Kaiser window and compensation to expose the weak signal (712 MHz) from the frequency response. (a) Without Kaiser window function and compensation. (b) Using the Kaiser window. (c) Using compensation. (d) Using both the Kaiser window function and compensation.

Fig. 4. Super-resolution block.

and . The corresponding split operands is then concatenated into 8-bit addresses to retrieve the pre-calculated 4 4 multiplication results from the LUTs. The concatenated addresses of “01111000,” “10111000,” “01110101,” and “10110101” retrieve pre-calculated products of “00111000,” “01011000,” “00100011,” and “00110111,” respectively. The partial products are then summed by appropriate interleave shifting using two 8-bit adders, and finally, the results of the adders are summed using a 12-bit adder. (2) LUT: In the LUT, the inverse of the peak amplitude of the FFT in the required bit range is pre-calculated and then converted to a binary representation. To store

Fig. 5. Normalization sub-block.

effective binary bits to represent the fixed-point number, the binary point of the resulted binary number is shifted until a pre-determined number of effective binary digits are obtained. The

792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

TABLE II SENSITIVITY OF ROW ESTIMATION IN COMPENSATION MATRIX FOR 10 000 SIMULATION RUNS

Fig. 6. Example of 8

2 8 multiplication.

number of shifts (bias bits) is then stored as the least significant bits (LSBs) and the inverted number in binary is stored as the most significant bits (MSBs) in the LUT. The inverted numbers are stored in the LUT in such a way that the number itself can also be used as the address of the LUT for the data retrieval. (3) Control logic: This is a combinational block, which generates signal pulses based on the input clock. The generated pulses in turn serve as the enable signals of the tristate buffer block and the output latches of the normalization block. (4) Tristate buffer block: The Tristate buffer block functions as multiplexers. There are a total of 1024 bits to be multiplied in the multiplier block. The multiplier block can take in only 64 bits at a time. Thus, initially the first 64 bits are taken in for multiplication and after the operation is completed the next 64 bits are taken in. This sequential multiplication continues until all 1024 bits are multiplied. This block contains 1024 noninverting tristate buffers, connected to the 1024 input bits. The 1024 tristate buffers are divided into groups of 64 and, thus, 16 groups in total to take in 1024 bits. A common enable signal is applied to 64 buffers in the same group. Thus, in total, the block requires 16 enable signals. The 64 output bits of the tristate buffer block are inputs to eight LUT multipliers, as shown in Fig. 5. b) Find “ ” Block (Find the Compensation Row): The sensitivity of the calculated row number that closely approximates the highest amplitude signal in the compensation LUT is presented. In our method, the pre-calculated response that closely approximates the response is pre-stored in a high-resolution compensation matrix. After estimating the amplitude and frequency of the highest amplitude signal , the row “ ” in the compensation matrix that closely approximates the highest amplitude signal is then calculated using the super-resolution

algorithm. In the super-resolution algorithm, this row number “ ” is estimated based on the two neighboring bins of . The inputs to this block are the highest amplitude “ ” among the 128 outputs of the FFT block, amplitudes of its right and left immediate neighbors (“ ” and “ ”), the frequency bin of the highest amplitude (“ ”) and its neighboring frequency bins (“ ” and “ ”). Using the super-resolution algorithm [8], the row “ ” in the compensation matrix that closely approximates the highest amplitude signal is then calculated. The output of the block is a 10-bit binary number “ ,” i.e., the compensation row. Before the row estimation of “ ” is discussed, Table II reports the sensitivity of “ ” by deliberately adding an error to the calculated “ .” An error of randomly added to “ ” reduces the second signal detection to 76.58% from 81.94% and increases the second signal false alarm to 8.95% from 0.71%, whereas an error of even further reduces the second signal detection to 60.35% and increases the second signal false alarm to 28.64%. Fig. 7 demonstrates this effect of compensation method with different compensation rows, ranging from to , where is the value of the calculated compensation row from the super-resolution algorithm. It is observed that the compensation using the calculated compensation row value “ ” by the proposed super-resolution algorithm nearly completely eliminates the sidelobes and spurs from the original signal and, thus, exposes the second signal, whereas the compensation using the compensation row other than “ ” does not eliminate sidelobes and spurs effectively. c) Compensation LUT: The compensation LUT block has 1024 128 elements, each is 8-bit wide. The 8-bit width was chosen after comparable simulation results before and after bit truncation. The estimated compensation row number “ ” is used as the 10-bit address of the compensation LUT. The compensation block is basically comprised of 128 parallel subtractors, which subtract the pre-stored values of approximated highest amplitude frequency ( ) response from the actual response. The inputs to the block are two 1024-bit operands form the normalized FFT and the compensation LUT and the output is 1024-bit wide, as shown in Fig. 4 of the super-resolution block. IV. SIMULATION RESULTS Many simulations were conducted to demonstrate the effectiveness of the Kaiser window function and the compensation method. In these simulations, a white Gaussian noise of 80.03-dBm power is added to the input signals. Combinations of 2- and 4-bit ADCs, 64- and 256-point FFT implemented

GEORGE et al.: EXTENSION OF TWO-SIGNAL SFDR OF WIDEBAND DIGITAL RECEIVERS USING KAISER WINDOW AND COMPENSATION METHOD

793

TABLE III TWO-SIGNAL SFDR RESULTS

Fig. 7. Effect of compensation with different row selection.

using four- and 12-point kernel function, ideal 256-point FFT, Kaiser window, and compensation were selected. The strong signal frequency was varied from 125 to 1125 MHz. The amplitude of the signal varies from 0 to 30 dB and the frequency varies from 125 to 1125 MHz. At a constraint of the weak signal missing less than 20% and the false alarm less than 1%, 10 000 simulation runs were taken and each run generated two signals for simulation. Exhaustive simulation of different configurations of ADC, FFT, window function, and compensation for maximum achievable two-signal dynamic range of the receiver is tabulated in Table III. It is shown that by using a 4-bit ADC and 256-point FFT of 12-point kernel function, a maximum two-signal SFDR of 9 dB is obtained. The SFDR is extended to 14 dB by using the Kaiser window, to 18 dB by using the compensation, and to 24 dB by using the Kaiser window and the compensation together. Furthermore, by using a 4-bit ADC and ideal 256-point FFT, a maximum two-signal SFDR of 11 dB is obtained. The SFDR is extended to 17 dB by using the Kaiser window, to 22 dB by using the compensation, and to 29 dB by using the Kaiser window and the compensation together. V. CHIP IMPLEMENTATION The design was implemented using the IBM 130-nm 8SFG CMOS standard cell library. The design was coded in Verilog and its function verified using DAI Signalscan. Cadence BuildGates was used to perform logical synthesis and optimization. Cadence PKS was used to perform placement and routing. The chip design is broken down into six major subsystems (Fig. 1). The chip contains 2 205 538 transistors and has a die

TABLE IV TRANSISTOR COUNT FOR EACH SUBSYSTEM

TABLE V ROC II STATISTICS

size of approximately 1.98 mm 1.98 mm. The entire operations in the Kaiser window function, 256- and 12-point kernel FFT, super-resolution block, and frequency selection logic is completed within 102.4 ns (9.77 MHz). The transistor count of each subsystem is given in Table IV. Collected radar data was tested for performance measurement and verification of the receiver. The design and performance statistics are summarized in Table V.

794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

VI. CONCLUSION The dynamic range of the receiver is ultimately limited by the sidelobes and spurs of the strong signal. A new technique of using the Kaiser window function and the compensation method to extend the two-signal SFDR of wideband digital receivers has been proposed. An exhaustive study of configurations of the ADC, FFT, window function, and compensation for maximum achievable two-signal dynamic range of the receiver has been presented. For an input signal quantized to 4 bit, the proposed technique has a potential of extending the two-signal SFDR to 24 dB using the kernel function FFT and 29 dB using the ideal FFT. A novel hardware implementation of the Kaiser window and the compensation method has been presented. REFERENCES [1] J. B. Y. Tsui, Digital Techniques for Wideband Receivers, 2nd ed. Norwood, MA: Artech House, 2001. [2] D. D. Vaccardo, Electronic Warfare Receiving Systems. Norwood, MA: Artech House, 1993. [3] D. Pok, C.-I. H. Chen, J. Schamus, C. Montgomery, and J. B. Y. Tsui, “Chip design for monobit receiver,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2283–2295, Dec. 1997. [4] S. Hoyos, B. M. Sadler, and G. R. Arce, “Monobit digital receivers for ultrawideband communications,” IEEE Trans. Wireless Commun., vol. 4, no. 4, pp. 1337–1344, Jul. 2005. [5] J. Grajal, R. Blazquez, G. Lopez-Risueno, J. M. Sanz, M. Burgos, and A. Asensio, “Analysis and characterization of a monobit receiver for electronic warfare,” IEEE Trans. Aerosp. Electron. Syst., vol. 39, no. 1, pp. 244–258, Jan. 2003. [6] L. Feng and W. Namgoong, “An oversampled channelized UWB receiver with transmitted reference modulation,” IEEE Trans. Wireless Commun., vol. 5, no. 6, pp. 1497–1505, Jun. 2006. [7] A. P. Vinod and E. M.-K. Lai, “Low power and high-speed implementation of FIR filters for software defined radio receivers,” IEEE Trans. Wireless Commun., vol. 5, no. 7, pp. 1667–1675, Jul. 2006. [8] C.-I. H. Chen, K. George, W. McCormick, J. B. Y. Tsui, S. L. Hary, and K. M. Graves, “Design and performance evaluation of a 2.5-GSPS digital receiver,” IEEE Trans. Instrum. Meas., vol. 54, no. 3, pp. 1089–1099, Jun. 2005. [9] Z. Fang, C. S. Shing, C. Ching, and H. Y. Wang, “Use of Hamming window to detect harmonic current based on instantaneous reactive power theory,” in Proc. Int. Power Electron. Motion Control Conf., Aug. 2004, vol. 2, pp. 456–461. [10] R. E. Bekka and D. Chikouche, “Effect of the window length on the EMG spectral estimation through the Blackman–Tukey method,” in Proc. Int. Signal Process. and Its Applicat. Symp., Jul. 2003, vol. 2, pp. 17–20. [11] G. Thomas, B. C. Flores, and J. Sok-Son, “SAR sidelobe apodization using the Kaiser window,” in Proc. Int. Image Process. Conf., Sep. 2000, vol. 1, pp. 709–712. [12] S. R. Seydnejad and R. I. Kitney, “Real-time heart rate variability extraction using the Kaiser window,” IEEE Trans. Biomed. Eng., vol. 44, pp. 990–1005, Oct. 1997. [13] J. K. Kaiser, “Nonrecursive digital filter design using the I 0-sinh window function,” in Proc. Symp. Circuits Syst., Apr. 1974, pp. 20–23. [14] S. J. Orfanidis, Introduction to Signal Processing. Englewood Cliffs, NJ: Prentice-Hall, 1996.

[15] K. George, C.-I. H. Chen, and J. B. Y. Tsui, “Extension of two signal spur free dynamic range of wideband digital receivers using Kaiser window and compensation method,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1955–1958. [16] A. Omondi, Computer Arithmetic Systems: Algorithms, Architecture, and Implementation. Englewood Cliffs, NJ: Prentice-Hall, 1994. Kiran George (S’06) received the B.S. degree in electrical engineering from Bharathir University, Coimbatore, India, in 1999, the M.S. degree in electrical engineering from Wright State University, Dayton, OH, in 2001, and is currently working toward the Ph.D. degree in electrical engineering at Wright State University. His primary research area is in very large scale integration (VLSI) design, synthesis, and testing.

Chien-In Henry Chen (S’89–M’89) received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1981, the M.S.E.E. degree from The University of Iowa, Iowa City, in 1986, and the Ph.D. degree from the University of Minnesota, Minneaplis, in in 1989. Since joining Wright State University in 1989, he has primarily been involved with computer-aided design, verification, and testing of VLSI circuits and systems, specifically in digital, analog, mixed-signal and system-on-a-chip (SoC) designs, VLSI and field programmable gate arrays (FPGAs) for signal processing and communication algorithms such as global positioning system (GPS) and digital wideband receivers. His research has been supported by the U.S. Department of Defense (DoD), Federal agencies, industrial companies, and the State of Ohio. He has authored or coauthored approximately 90 publications in IEEE journals, international journals, and IEEE conference proceedings. He was a Guest Editor of VLSI Design Journal in 2002. He has consulted for a number of U.S. semiconductor companies. Dr. Chen has been a Technical Committee member of the IEEE International Application Specific Integrated Circuit (ASIC)/System on Chip (SOC) Conference, the IEEE Instrumentation and Measurement Technology Conference, the Annual Conference of the IEEE Industrial Electronics Society, and the IEEE International Symposium on Circuits and Systems. He was a plenary speaker at the 6th VLSI Design/CAD Symposium.

James B. Y. Tsui (M’75–SM’88–F’91) was born in Shantung, China. He received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1957, the M.S.E.E. degree from Marquette University, Milwaukee, WI, in 1961, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1965. He is a retired Electronics Engineer of the Sensors Directory, Air Force Research Laboratory (AFRL), Wright Patterson AFB, Dayton, OH. His research has been primarily devoted to microwave receivers. He authored six books on microwave receivers including the GPS receiver. He has been widely published in technical journals and conferences. He holds numerous patents. Dr. Tsui is a Fellow of the AFRL.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

795

C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions Cédric Chambon, Laurent Escotte, Sébastien Gribaldo, and Olivier Llopis

Abstract—A noise parameter measurement setup dedicated to microwave devices operating under large-signal conditions is presented in this paper. The method is based on the multiple impedance technique and the test set has been modified to include a pump generator. Appropriate low-pass filters are also present in order to avoid the saturation of the different measurement apparatus. The results obtained on two different amplifiers show a good accordance with experimental data extracted from residual phase noise measurements. This test set can thus be used to design low phase noise amplifiers. Index Terms—Noise parameter measurement, nonlinear devices, phase noise measurement, microwave amplifiers.

I. INTRODUCTION

OR SEVERAL commercial or military applications, reducing the residual phase noise of microwave amplifiers is very important. In Doppler radar systems [1]–[3], amplifiers that distribute the local oscillator must feature a residual phase noise as low as possible. The spectral purity of the source must not be degraded, thus maintaining a high sensitivity of the signal detection. In the framework of data communication links and multichannel receivers [4]–[6], it has been demonstrated that phase noise corruption seriously degrades the bit error rate performance. Receivers featuring both high sensitivity and high dynamic range [7] need amplifiers with low near-carrier noise, good linearity, low noise figure, and adequate gain over a required frequency bandwidth. Finally, in any case where the amplifier is included in a phase loop, such as in a phase-locked loop or simply in an oscillating loop, the amplifier phase noise is converted into frequency fluctuations and, thus, determines the overall carrier to noise ratio in the loop bandwidth [8]. Residual phase noise is the result of two kinds of amplifier noise mechanisms. The first one is based upon the multiplicative up conversion of baseband noise (including noise and white noise) into phase noise near the carrier. The other one is the result of the direct superposition of high-frequency noise and is called the additive phase noise. Several applications need microwave amplifiers with low residual phase noise at frequencies greater than 10 kHz of the carrier. Some devices present a residual phase noise floor in this frequency range [9], [10]. It depends on the power level injected at the input of the device (it

F

Manuscript received July 21, 2006; revised November 15, 2006. The authors are with the Laboratory for Analysis and Architecture of Systems, French National Centre for Scientific Research, 31077 Toulouse, France, and also with the Electronic Engineering Department, Paul Sabatier University, 31077 Toulouse, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893676

decreases while the power increases) and on the noise figure determined when the device operates under nonlinear conditions. The minimization of this additive phase noise may be obtained by using highly linear devices and featuring a low noise figure. However, this is difficult because devices with a low noise figure are generally small and also have a low saturation power. It is then necessary to find a compromise between the noise figure and the saturation power. To do so, we must know the noise behavior or noise parameters of the transistors under large-signal conditions. With this kind of measurement, several questions could be approached: How does the optimal noise source impedance of a transistor operating under nonlinear conditions vary? How does the equivalent noise resistance of a transistor operating under nonlinear conditions vary? Which kind of device presents the lowest minimum noise figure? For a given technology, what are the best dimensions and bias conditions in order to achieve low-noise performance when the transistor operates at 1-dB compression gain? To investigate in this field, it is necessary to set up a dedicated measurement test set that is able to answer these questions. To our knowledge, such a measurement bench has not yet been reported. We have presented in a previous publication how to measure the noise at the output of microwave devices operating under nonlinear conditions. The residual phase noise floor of SiGe bipolar transistors has been measured and compared to calculated data obtained from noise figure measurements under 50- input termination [9]. More recently, the noise behavior of several microwave amplifiers has been investigated with the help of a behavioral model and experimental data [11], [12]. We describe in this paper a new experimental setup dedicated to hot noise parameters measurement of microwave devices operating under nonlinear conditions. It is focused on the amplifier measurements in order to demonstrate the feasibility of the experiment, but it will be dedicated to transistor characterization in order to design low-noise circuits. The experimental test set is described in Section II. Experimental results are then presented in Section III for different types of amplifiers operating at -band. The proposed technique is finally compared in Section IV to experimental data obtained from a residual phase noise measurement setup. II. NOISE PARAMETER MEASUREMENT SETUP OF NONLINEAR DEVICES The noise parameter measurement is based on the multiple impedance technique developed by Lane in 1969 [13]. The noise figure depends on the source reflection coefficient

0018-9480/$25.00 © 2007 IEEE

(1)

796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 1. Noise parameter measurement setup. Notes 1 and 2 indicate both the S -parameter and noise parameter measurement ways, respectively.

where the minimum noise figure , equivalent noise resistance , and optimum noise source reflection coefficient are referred to as noise parameters. The noise figure is measured for several source reflection coefficients, leading to an overdetermined system of linear equations. Noise parameters extraction techniques have been studied in the past [14], and the technique described in [15] is used in this test set. The noise parameter measurement setup is described in Fig. 1, and it is fully automated by using general-purpose interface bus (GPIB) cables and high tech basic routines. A precise description of the different elements can be found in [14] and [16]. Two switches are used to measure either scattering parameters with a vectorial network analyzer or noise powers with a spectrum analyzer. A 3-dB attenuator is placed after the solidstate noise source in order to reduce mismatch uncertainties when the noise source is on and off [17]. Bias tees will be added if microwave transistors are to be characterized. The tuner generates nine different source reflection coefficients at the input of the device-under-test (DUT). The repartition shown in Fig. 2 covers the whole Smith chart with a minimum of points. The calibration of the test set is first realized without the DUT. A shortopen-load-thru (SOLT) calibration technique is carried out at the DUT reference planes. The receiver is represented via the dotted line in Fig. 1 and the different reflection coefficients are measured by substituting the DUT for a through line. The technique and the relevant equations are detailed in [16]. In order to set the DUT in a nonlinear regime, the RF synthesizer generates a pump signal at frequency . An isolator located between the synthesizer and the 10-dB coupler ensures the same matching condition at the DUT input for each power level of the synthesizer. This is a mandatory caution since the RF synthesizer contains attenuators for a low power level, which slightly modify its impedance. Since the coupler is placed after the tuner, the insertion losses of the path between the RF synthesizer and DUT are not altered by the position of the tuner. This slightly degrades the maximum value of . However, it is not necessary to adjust the level of the RF synthesizer for each position of the tuner. The main problem in this kind of setup is to avoid the saturation of the measurement system. In consequence, 8-GHz frequency bandwidth low-pass filters are connected to each port of

Fig. 2. Source reflection coefficients repartition at 4 GHz.

the network analyzer and at the input of the receiver. The pump frequency is fixed at 10 GHz corresponding to the maximal rejection (60 dB) of those filters. The pump signal being largely attenuated, hot -parameters [18] and hot noise powers are then measured [9]. The isolator located at the input of the receiver reduces the reflection coefficient and then diminishes mismatch uncertainties [19]. The values presented in this paper have been measured at 4 GHz, but could be obtained for higher frequencies by using appropriate filters and circuits (low-noise amplifier, isolator). The intermodulation products between the pump signal and the signal delivered by the network analyzer must also be studied. The second-order intermodulation product at is critical since it can be found in the frequency bandwidth of the experimental setup. It can be superimposed on the signal at if is equal to . In our case, is equal to 5 GHz and the

CHAMBON et al.:

-BAND NOISE-PARAMETER MEASUREMENT OF MICROWAVE AMPLIFIERS UNDER NONLINEAR CONDITIONS

797

TABLE I AMPLIFIERS CHARACTERISTICS AT 4 GHz

Fig. 5. Magnitude of optimum noise source reflection coefficient versus input power at 4 GHz. Squares and triangles depict #A and #B, respectively.

Fig. 3. Minimum noise figure versus input power at 4 GHz. Squares and triangles depict #A and #B, respectively.

Fig. 6. Phase of optimum noise source reflection coefficient versus input power at 4 GHz. Squares and triangles depict #A and #B, respectively.

Fig. 4. Equivalent noise resistance versus input power at 4 GHz. Squares and triangles depict #A and #B, respectively.

measurements are performed at 4 GHz. In this case, there is no disturbance between noise measurements and intermodulation products. The results are presented in Section III. III. EXPERIMENTAL RESULTS Noise parameters measurements have been carried out on two microwave amplifiers. The first one, referred to as #A, is a wideband low-noise amplifier. The other one, referred to as #B, is a low phase noise amplifier. Their gain and noise figure, measured under linear conditions, are given in Table I. The results are reported in Figs. 3–6. The power level at the input of each amplifier is chosen to have the same gain compression reference. The first input power levels are relative to a linear or a weak nonlinear state. The penultimate input power refers to a 1-dB gain

compression and the last input power corresponds to a 3-dB gain compression. The minimum noise figure is plotted versus input power in Fig. 3. The two amplifiers behave differently when the input power increases. The growth of for amplifier #A is more important than for amplifier #B. The variations of the equivalent noise resistance versus input power is displayed in Fig. 4. The behavior of this parameter is similar to . The strong increase of for amplifier #A underlines that it is more sensitive to impedance mismatches. Weak variations of and versus input power are observed for amplifier #B, indicating that this amplifier has been optimized for nonlinear operations. The variations of magnitude versus the input power are presented in Fig. 5. is close to 0 for amplifier #B. This is probably due to a reduced frequency bandwidth of amplifier #B compared to amplifier #A. Under large-signal condition, the variations of are not significant for both amplifiers since they do not exceed a 0.04 unit for device #A. The variations of the phase of versus input power are reported in Fig. 6. An increase of approximately 15 is observed for amplifier #A, while the variation of device #B is uneven. This can be related

798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 7. Harmonic distortion up to the fourth harmonic versus input power at 4 GHz. Squares and triangles depict #A and #B, respectively.

Fig. 8. Residual phase noise of amplifier #A at 4 GHz. Thick gray and black thin lines denote measurement and fit, respectively.

to the very low value of for amplifier #B, which leads to high uncertainties when determining the phase of . Finally, it is important to evaluate the nonlinear behavior of the DUT at a given power level when the input load varies. We measured the output power at the fundamental frequency and up to the fourth harmonic for the different impedances depicted and numbered in Fig. 2. The measurement were performed by connecting a spectrum analyzer directly after the switch located in the receiver. The harmonic distortion ( ) is then calculated by using the following equation: (2) is the voltage amplitude at the fundamental frequency and refers to the voltage amplitude at the -following harmonic frequencies. We have plotted in Fig. 7 the variations of the harmonic distortion for the different positions of the tuner versus input power for the investigated devices. Amplifier #B presents a very low harmonic distortion (almost 1.45%), which is found to be independent of the input load. Amplifier #A behaves differently since the harmonic distortion increases when the input power grows and reaches 15% when the circuit is at 3-dB compression. A dispersion of 2% is also observed for the different input termination loads. The insert in Fig. 7 represents the input power corresponding to 1-dB compression gain determined for each position of the tuner. It can be seen that is constant for amplifier #B, whereas it varies at approximately 1 dB for amplifier #A. In those conditions, the input power for 1-dB compression gain and the harmonic distortion remain almost unaltered. To conclude, determining the noise parameters of those devices by presenting impedances at their input do not significantly modify their nonlinear behavior. Additionally, the variations of and versus input power are closely correlated with the linearity of the amplifier. Indeed, a small increase of and is observed when the input power is increased for amplifier #B. IV. VALIDATION OF THE TECHNIQUE We propose to validate the noise parameter-extraction technique here by using residual phase noise measurements. As pre-

Fig. 9. Residual phase noise of amplifier #B at 4 GHz. Thick gray and black thin lines denote measurement and fit, respectively.

viously stated, some publications demonstrated the correlation between high-frequency noise and residual phase noise of nonlinear devices [9], [10]. The residual phase noise floor is expressed by the following [20]: (3) where and are the reference temperature (290 K) and the Boltzmann constant, respectively. corresponds to the input power of the carrier. Residual phase noise have been measured at 4 GHz on the two investigated amplifiers for frequency offset up to 100 kHz. The measurement setup has been previously described in [21] and the results are plotted in Figs. 8 and 9 for different input power levels. The loading conditions presented to the output of those amplifiers are the same for the two measurement benches. The residual phase noise of amplifier #B is 15 dB lower than amplifier #A at a frequency offset of 100 Hz. This is in accordance with the fact that this device was optimized for low phase noise performance. As it can be seen in these graphs, the phase noise floor is not reached at 100-kHz offset, which is the limit frequency of the fast Fourier transform (FFT) analyzer included in the phase noise measurement bench. For high input

CHAMBON et al.:

-BAND NOISE-PARAMETER MEASUREMENT OF MICROWAVE AMPLIFIERS UNDER NONLINEAR CONDITIONS

799

different microwave amplifiers have been compared and were found to be equivalent to the data issued from residual phase noise measurements. In the future, this test set will be used to characterize the hot noise parameters of microwave transistors. It will be possible to design amplifiers with low residual phase noise performance under nonlinear conditions.

REFERENCES

Fig. 10. Noise figure calculated on the 50- standard at 4 GHz. Squares and triangles stand for #A and #B, respectively. Noise figure extraction based upon noise parameter measurement is represented via solid lines, whereas noise figure extraction based upon residual phase noise measurement is represented via symbols.

power, a fitting procedure has been used to extract the values of this phase noise floor. In this conditions, the measured residual phase noise is expressed as (4) and correspond to fitting parameters. The first term is related to conversion noise close to the carrier [9], which is almost independent of the input power for these amplifiers. Concerning the residual phase noise test bench, the measurement of the impedance presented at the input of the amplifier shows that it is close to 50 due to the presence of an isolator. The residual phase noise floor being determined for each amplifier, the noise figure on the 50- standard can then be calculated using (3) for each input power levels. On the other hand, another noise figure is derived from the noise parameters determined in Section III using (1) and assuming . Fig. 10 shows the variations of the noise figure versus the input power for both amplifiers. The solid lines correspond to the extracted values from noise parameter measurements, while the symbols are related to the values obtained from residual phase noise measurements. The maximum difference between the two methods for both amplifiers is 0.15 dB. This can be related to measurement uncertainties [14] and demonstrated a very good concordance between both methods. In conclusion, the noise parameter measurement setup described in Section II is validated by the correlation between residual phase noise measurements and noise parameter measurements.

V. CONCLUSION This paper has presented a new method to determine the noise parameters of active devices operating under nonlinear conditions. To our knowledge, it is the first time that this kind of measurement has been realized. The results obtained on two

[1] T. Dao, S. Huettner, and A. Platzker, “A low phase noise MMIC/hybrid 3.0 W amplifier at X -band,” in IEEE MTT-S Int. Microw. Symp. Dig., 1986, vol. 86, no. 1, pp. 459–462. [2] H. McPherson, “An X -band frequency agile source with extremely low phase noise for Doppler radar,” in Int Radar. Conf., 1992, pp. 292–295. [3] V. Sokolov, J. Kruchowski, M. Vickberg, B. Buhrow, S. Schuster, J. Bublitz, B. Gilbert, and E. Daniel, “An X -band hybrid MIC feedforward amplifier for low residual noise operation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [4] L. Dayaratna and L. Ramos, “ACeS communications system phase noise and transient effects,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, vol. 2, pp. 1253–1256. [5] J. Y. Kim, “Performance of a CDMA-based satellite communication system with phase noise,” IEEE MILCOM’99, vol. 1, pp. 616–620, 1999. [6] H.-G. Ryu and Y.-S. Lee, “Phase noise analysis of the OFDM communication system by the standard frequency deviation,” IEEE Trans. Consumer Electron., vol. 49, no. 1, pp. 41–47, Feb. 2003. [7] D. Costa and A. Khatibzadeh, “A wideband AlGaAs/GaAs heterojunction bipolar transistor amplifier optimized for low-near-carrier-noise applications up to 18 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, May 23–27, 1994, vol. 3, pp. 1645–1648. [8] D. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [9] G. Cibiel, L. Escotte, and O. Llopis, “A study of the correlation between high-frequency noise and phase noise in low-noise silicon-based transistors,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 183–190, Jan. 2004. [10] A. Hati, D. Howe, F. Walls, and D. Walker, “Noise figure versus PM noise measurements: A study at microwave frequencies,” in IEEE IFCS’03, 2003, pp. 516–520. [11] L. Escotte, E. Gonneau, C. Chambon, and J. Graffeuil, “Noise behavior of microwave amplifiers operating under nonlinear conditions,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3704–3711, Dec. 2005. [12] C. Chambon, L. Escotte, and E. Gonneau, “Behavioral modeling of microwave amplifiers including large-signal and noise interaction,” in EuMIC’06, Manchester, U.K., Sep. 10–15, 2006, pp. 95–98. [13] R. Lane, “The determination of device noise parameters,” Proc. IEEE, vol. 57, no. 8, pp. 1461–1462, Aug. 1969. [14] L. Escotte, R. Plana, and J. Graffeuil, “Evaluation of noise parameter extraction methods,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 382–387, Mar. 1993. [15] A. Boudiaf and M. Laporte, “An accurate and repeatable technique for noise parameter measurements,” IEEE Trans. Instrum. Meas., vol. 42, no. 2, pp. 532–537, Apr. 1993. [16] L. Escotte, F. Sejalon, and J. Graffeuil, “Noise parameter measurement of microwave transistors at cryogenic temperature,” IEEE Trans. Instrum. Meas., vol. 43, no. 4, pp. 536–543, Aug. 1994. [17] “Noise figure measurement accuracy: The Y -factor method,” Agilent Technol., Palo Alto, CA, Applicat. Note 57-2, 1976. [18] T. Gasseling, D. Barataud, S. Mons, J.-M. Nebus, J. Villotte, J. Obregon, and R. Quere, “Hot small-signal S -parameter measurements of power transistors operating under large-signal conditions in a load–pull environment for the study of nonlinear parametric interactions,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 805–812, Mar. 2004. [19] “Fundamentals of RF and microwave noise figure measurements,” Agilent Technol., Palo Alto, CA, Applicat. Note 57-1, 1976. [20] W. P. Robins, “Phase noise in signal sources,” in IEE Telecommunications, ser. 9. London, U.K.: IEE Press, 1982. [21] G. Cibiel, M. Regis, E. Tournier, and O. Llopis, “AM noise impact on low level phase noise measurements,” IEEE Trans. Ultrason., Ferroelect., Freq. Contr., vol. 49, no. 6, pp. 784–788, Jun. 2002.

800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Cédric Chambon was born in Limoges, France, on August 28, 1980. He received the M.S. degree in electronics from the University of Limoges, Limoges, France, in 2003, and is currently working toward the Ph.D. degree in electronics at the Laboratory for Analysis and Architecture of Systems, French National Centre for Scientific Research (LAAS–CNRS), Toulouse, France. His main field of interest is the study of noise in microwave devices and circuits, more precisely, noise modeling and noise behavior in microwave amplifiers operating under nonlinear conditions, including the development of specific high-frequency noise measurement techniques.

Laurent Escotte was born in Nouméa, France, in 1962. He received the Ph.D. degree in optic and microwave communications from the University of Limoges, Limoges, France, in 1988. Since 1989, he has been an Assistant Professor of electronic engineering with the Paul Sabatier University, Toulouse, France. At the same time, he joined the Laboratory for Analysis and Architecture of Systems, French National Centre for Scientific Research (LAAS–CNRS), Toulouse, France. Since 1999, he has been a Professor of electronic engineering with the Paul Sabatier University. He has authored or coauthored over 50 technical papers and one book. His current research interests include noise characterization and modeling of active devices and circuits in the microwave and millimeter-wave frequency range.

Sébastien Gribaldo was born in Toulouse, France, in 1980. He received the M.S. degree in electronics from the University of Paul Sabatier, Toulouse, France, in 2003. Since 2004, he has been a doctoral student with the Microwave Integrated Devices and Systems for Telecommunications Group, Laboratory for Analysis and Architecture of Systems (LAAS–CNRS), Toulouse, France. His main field of interest is in the study of oscillator and amplifier phase noise modeling and metrology.

Olivier Llopis was born in Albi, France, on March 16, 1965. He received the Telecommunications Engineer diploma from École Nationale Supérieure des Télécommunications de Bretagne (ENSTB), Brest, France, in 1987, and the Ph.D. degree in electronics from the University Paul Sabatier, Toulouse, France, in 1991. He is currently with the French National Centre for Scientific Research (CNRS), Laboratory for Analysis and Architecture of Systems (LAAS), Toulouse. France. He has authored or coauthored approximately 100 papers in either scientific journals or for international conferences. His research interests are the study of microwave sources, and more generally, nonlinear circuits, both with theoretical and experimental approaches. He has proposed different techniques to investigate the phase noise in microwave oscillators and designed ultra-low phase noise microwave sources. He is also currently involved in the development of optical-microwave systems for time and frequency applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

801

Two-Dimensional Radio Frequency Tomography Kim Lam, Member, IEEE, Matthew J. Yedlin, Member, IEEE, and Colin G. Farquharson

Abstract—A new formalism for scalar tomographic inversion is developed for two-dimensional radio frequency tomography. A least squares minimization of the difference between predicted and measured data is performed in order to obtain the lossless permittivity distribution. The novelty of the method is twofold. First, a multifrequency near-field two-dimensional scattering forward problem is solved via Richmond’s moment method. Second, the tomographic inversion is regularized using a roughness constraint on the model permittivity. The algorithm has been tested with synthetic data. The experimental setup for acquiring the relevant data in a real-world application (viz. in situ testing of lumber quality) is under development. It is anticipated that the algorithm will work equally well on the experimental data. In the tests, the relative lossless permittivity was determined from synthetic scattered multifrequency transverse magnetic data, which had been corrupted by additive Gaussian noise. The method was able to recover the location and magnitude of permittivity anomalies without immersing the target prism in a matching fluid. Index Terms—Inverse problems, microwave imaging, regularization, tomography.

I. INTRODUCTION

T

HE examination of the interior structure of a tree, in vivo, allows for the assessment of its health and the commercial value of its timber. Existing nondestructive methods to accomplish this, such as X-rays, can provide detailed information, but are not feasible. However, a form of practical nondestructive testing can be accomplished by scattering electromagnetic waves, in the bandwidth of 1–3 GHz off a section of the living tree. The measured scattered field can be used to infer the permittivity distribution of the tree, which, in turn, is representative of its internal structure. The reconstruction technique presented here, an example of an inverse scattering method, recovers the permittivity distribution from the scattered field. It is applicable to any two-dimensional prismatic dielectric object, not just timber. Previous research in inverse scattering for dielectric objects and materials has focused on nondestructive testing of concrete structures [1]–[5], detection of tumors [6]–[8], and geophysical exploration [9]–[13]. Notable development in the application of Manuscript received May 12, 2006; revised December 22, 2006. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada. K. Lam and M. J. Yedlin are with the Electrical and Computer Engineering, The University of British Columbia , Vancouver, BC, Canada V6T 1Z4 (e-mail: [email protected]). C. G. Farquharson is with the Department of Earth Science, Memorial University of Newfoundland, St. John’s, NF, Canada A1B 3X5. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.893654

microwave imaging include diffraction tomography at 3 GHz by Bolomey et al. [14], Pichot et al. [15], and nonlinear inversion methods by Pichot et al. [16]. In all these methods, a forward modeling algorithm was needed for the computation of the modeled scattered field. In the current study, the moment method is used due to the arbitrary two-dimensional geometry. For more regular geometries, as developed by Akhtar and Omar [17], [18] for the case of radially varying profiles, a nonlinear Ricatti equation technique may be employed. The Ricatti technique is not directly applicable to the general class of inhomogeneity analyzed in this study. The difference between a computed or modeled scattered field and the observed scattered field is quantified by the term , which we call the discrepancy. When is small, it is generally assumed that the inferred or computed permittivity distribution will be close to the actual permittivity. In the examples presented in this paper, the measured scattered field is synthesized using a forward-modeling algorithm. The inferred permittivity distribution is iteratively adjusted until the resultant modeled scattered field is close to the measured scattered field. The difference of the two fields, as measured by , should diminish as the inferred permittivity distribution approaches the actual permittivity distribution. The inference of the final permittivity distribution is obtained through the iterative minimization of . An iterative scheme is necessary because no direct matrix mapping exists between the scattered field and the structure of the tree: the problem is nonlinear. The aforementioned technique, relying entirely on minimizing the discrepancy, is frequently unstable due to the ill posedness of the problem, as defined by Hadamard [19], and the nonuniqueness of the solution. For the current technique, ill posedness implies that there can be large changes in the solution arising from small changes in the scattered field; nonuniqueness implies that there is more than one permittivity distribution, which will give an adequately small value of the discrepancy. Specifically, all of the solutions have a small value, but most are not similar to the permittivity distribution to be recovered. The minimization of the functional is the method to obtain the permittivity distribution. However, simply minimizing is insufficient. Previous methods to fix the ill posedness have neglected the goal of the bigger problem of recovering a permittivity distribution that is representative of the actual permittivity distribution. For the current study, the problem of nonuniqeuness has been addressed in both the experimental design and the choice of reconstruction technique. First, multiple frequencies are employed to illuminate the target permittivity distribution. Examination of the homogeneous cylinder reveals that it is possible for two cylinders with different permittivities to have similar scattered fields [20] for a single frequency of incident radiation. Multiple frequencies have, therefore, been introduced into the

0018-9480/$25.00 © 2007 IEEE

802

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

experimental configuration. Second, a minimal structure criterion has been introduced in the foregoing, iterative minimization procedure. It should be noted that the introduction of such a constraint, while not necessarily reflective of the true permittivity distribution, is introduced for stabilization and acts as a form of regularization. This choice of regularization is borrowed from geophysics [21]–[23] and has been successfully employed in the analysis of potential fields and diffusive electromagnetic fields. The rationale is that the simplest permittivity distribution, which reproduces the scattered field, will contain only those features required by the data and will not contain misleading artifacts. Here, a “simple” permittivity distribution is one with few features or a little structure; i.e., one with only a small amount of spatial variation of the permittivity. The measurement of the amount of structure, for this paper, is defined as the surface integral of the square of the absolute value of the spatial gradient of the permittivity distribution. This is also known as the roughness of the permittivity distribution. The weight of the roughness term relative to is controlled by a tradeoff, or regularization, parameter. Here, this parameter is decreased as a function of the iteration number. A preliminary investigation of this choice of regularization was presented by Lam and Yedlin [24]. The algorithmic derivation, including the incorporation of regularization and the analysis of convergence in the example inversions, is described in full in this paper. In addition to countering the nonuniqueness of the reconstruction problem, the inclusion of a model simplicity penalty obviates the “starting model problem” by employing a homogeneous distribution as the starting model. In the iterative minimization procedure, the roughness penalty is relaxed so that model roughness may be gradually introduced. The gradual reduction of the penalty ensures that the minimization procedure does not get trapped in spurious local minima. Section II presents the theory necessary for this inversion scheme, which is developed for two-dimensional geometries. In Section III, the capabilities of the reconstruction technique are illustrated with examples. A discussion of the role of the roughness penalty is included. II. THEORY AND FORMULATION To develop a two-dimensional inversion algorithm, an efficient forward modeling algorithm is essential. In particular, the forward modeling algorithm should also allow for the rapid calculation of the derivatives of the penalized discrepancy function with respect to the model parameters. The forward modeling algorithm will be presented first, followed by the computation of the derivatives of with respect to the model parameters, the inclusion of the roughness penalty, and lastly, the iterative algorithm. Fig. 1 shows the geometry of the problem for a single incident plane wave. In Fig. 1, the – -plane is depicted with no variation in , the normal direction. The receivers are arranged in a semicircle around the cylinder, but this choice is not required in the inversion algorithm, as the receivers may be placed in any position with respect to the target. This feature of the algorithm is important since, in practical measurement scenarios, it is not feasible

Fig. 1. Schematic showing geometry of the inverse problem for a single frequency and illumination angle.

to have such a high degree of symmetry in the receiver placement. Thus, the tree or prismatic target is approximated by a two-dimensional infinite dielectric prism. This is a simple approximation, but it makes tractable the computations required in the inversion algorithm, especially for the two-dimensional case of a general spatial variation of the dielectric constant and a possible arbitrary placement of receivers. The two-dimensional infinite prism is represented as a surface because the dielectric properties vary in the - and -direction and not the -direction. The surface is approximated by square cells and in each cell the permittivity and electric field are assumed to be constant. Richmond [25] formulates the forward modeling problem in a moment-method context, for a single frequency and transverse magnetic incident waves, resulting in a set of linear equations in unknowns. The equation (1) represents the linear equations, where the subscripts and distinguish specific cells, is the unknown electric field within the th cell, is the incident electric field inside the th cell, and are the coefficients for the linear equation representing the reaction between cells and . For the self-reaction , the coefficient is given by [20] (2) where is the permittivity in the th cell, is the free-space is the radius of the cell, and is the wavenumber, Hankel function of the second kind of order 1 and argument . For cases where , is given by (3) is the Hankel function of the second kind where of order 0 and argument , is the first-order Bessel

LAM et al.: TWO-DIMENSIONAL RADIO FREQUENCY TOMOGRAPHY

803

function of argument , and is the distance between the centers of the th and th cells, and is given by

which is the sum of the squares of the weighted difference between the observed and predicted data is given by

(4) (10) The derivatives of with respect to the parameters are calculated from Richmond’s method by differentiating (1) with respect to yielding (5) where refers to the permittivity value of the th cell. Rearranging (5) yields (6) giving linear equations that can be used to find the derivative for the internal fields with respect to a single parameter . The only differences between the linear system in (5) and the linear system used for the forward modeling, i.e., (1), are the right-hand side and a change of variables from to , implying that the solutions for (1) and (5) can employ the same LU decomposition. Using the LU decomposition saves significant computation time because (6) must be solved times, once for each of the model parameters. The scattered field is given by

with (7) for any point exterior to the two-dimensional target, where (8) represents the distance between the observation point and the center of the th cell. The derivative of the scattered field, with respect to the th dielectric model parameter, is given by

in (10) is a diagin the expression for where the matrix onal matrix of dimension , the number of observations, with entries given by the reciprocals of the standard deviation for each measurement. This weighting matrix, therefore, reduces the effect of very noisy measurements on the computed model permittivity values. The diagonal structure of the matrix is predicated on the assumption that there is no correlation between the noise in individual measured values. Under the assumption that the noise is normally distributed with standard deviation , is a chi-squared statistic [26], [27] with expected value , where is the number of measurements and is doubled if the data is complex valued. It is not sufficient to directly minimize (10) in iterative fashion since regularization needs to be introduced to obviate the ill posedness and nonuniqueness previously discussed. To this end, a penalty term, which penalizes excessive model roughness, will be introduced via the quantity , the roughness norm, given by (11) repwhere is a sparse matrix described below, and resents the updated model permittivity. Therefore, when is minimized, the model obtained has as little spatial variation as possible, and its introduction into the algorithm results in what is known as the flattest model. Hence, the addition of (11) to the discrepancy defined in (10) provides the complete error, or functional, to be minimized. Practically, (11) is computed by approximating where the model of the permittivity, , is specified on a discrete grid. The vector represents the permittivities in the cells in the discretization of the model . The matrix in (11) is a sparse matrix approximation to the first-order spatial derivative operator in the - and -direction and is applied to in order that the gradient may be calculated. This matrix uses the nearest neighbors to calculate the finite-difference approximation, which is obtained for a location at the midpoint between the centers of two cells, and involves only the permittivities in those two cells. As described in the foregoing, the total functional to be minimized is a linear combination of the discrepancy and roughness

(9) (12) For the inversion, observations are used; the vector of measured values of the scattered field is denoted by , and the vector of the predicted scattered field at the observation points is represented by . To measure the discrepancy between the observed data and the predicted data , obtained from the existing iterate on the model dielectric permittivity distribution, a weighted distance norm is used. This distance norm ,

where is a tradeoff parameter, which trades off model structure (roughness) versus data fitting. Since (12) is nonlinear with respect to , an iterative minimization scheme is employed. This minimization uses a linear multidimensional Taylor series approximation of (12) about a reference model with the iteration number denoted by the

804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

superscript (a convention to be employed heretofore). Therefore, an update to the th permittivity model is denoted by (13) where is the model correction determined by the minimization. This minimization is defined by first expressing the predicted scattered field , using the th model iterate, as a linear Taylor series approximation in given by (14) is the Jacobian matrix with entries and is the predicted scattered field depending on the th model iterate. In (14), represents quadratic terms in the expansion, subsequently neglected, and the Jacobian matrix represents the first derivatives of with respect to permittivity vector at the th iteration. It is calculated using (9). A new Jacobian matrix is generated at the beginning of each iteration because the new functional has a different gradient than . Thus, the functional to be minimized at the th iteration is given by in which

tained using either direct or iterative methods, depending on the size of the matrices in (19). In (19), the choice of the value is determined empirically and decreases with each iteration, adjusting the relative weighting between the data and regularization. The value of starts high, and decreases until the value of is dominated by the noise in . As decreases, the importance of in decreases, thus allowing features to emerge from an initial homogeneous model. III. EXAMPLES The performance of the algorithm described above is illustrated with two synthetic examples. In each example, values of the scattered field are calculated at a collection of observation locations for specific permittivity distributions, and frequencies and angles of incidence of the illuminating field. Gaussian random noise is added to the calculated scattered field values and these synthetic observations, which are inverted. The discrepancy is a chi-squared random variable with expectation equal to the total number of observations . The observations are, therefore, considered to have been reproduced to an appropriate level of misfit when is equal since the data are complex valued [26], [27]. In the numerical experiments presented here, (20)

(15) with being the tradeoff parameter at that iteration. Equation (15) is directly obtained from (12) and the Taylor series approximation. It is a quadratic form in the correction, vector , which can be obtained by direct differentiation with respect to . For a generic quadratic form with vector , matrix , and vector given by

(16) direct minimization with respect to

yields the linear system (17)

whose solution is given by (18) Application of the foregoing to the quadratic form in (15), with care given to the fact that the data and Jacobian are complex, results in given by

with

(19) where denotes the conjugate transpose of the complexvalued Jacobian matrix. The inverse matrix in (19) can be ob-

where is equal to the iteration number. The regularization parameter , therefore decreases geometrically as a function of the iteration number . The geometric factor of 7/8 was chosen empirically. Observations at seven spatial frequencies, 0.3 to 1.5 with and were used. Four incident plane waves at angles 0, , , and were used at each frequency. For each plane wave, 37 measurements were taken at a distance of 1.5 with angles ranging from to in steps of , where represents the angle of the plane wave with respect to the -axis. The total number of observations, , for each example is, therefore, 1036 with each observation being a complex number. Thus, the total number of degrees of freedom in the discrepancy is or 2072, which is the expected value of the chi-squared statistic previously discussed. This value represents the optimal value of the discrepancy. In both examples to follow, relative permittivity distribution shown in Fig. 2 is recovered using the foregoing inversion algorithm. It has two small inclusions with a relative permittivity of 1 and diameter 0.2 with center to center spacing of 0.86 . The starting model for both examples is a homogeneous model with a relative permittivity of 3, a reasonable value for wood [28], and corresponding to the homogeneous surface with the smallest . In both the first and second examples presented in the following, the same Gaussian noise vector is used with mean zero and . The curves in Fig. 3 represent (10)–(12) as functions of the iteration number and regularization parameter . The corresponding minimum value of is 2172, an acceptable value given that at a 99% confidence limit, the largest value that this

LAM et al.: TWO-DIMENSIONAL RADIO FREQUENCY TOMOGRAPHY

Fig. 2. Image showing the relative permittivity distribution to be recovered.

805

Fig. 4. Roughness plotted logarithmically as a function of iteration number n for the recovery of the relative permittivity distribution.

Fig. 3. 8 , weighted roughness, and combined functional curves for the recovery of the relative permittivity distribution.

Fig. 5. Recovered distribution showing two anomalies with the correct relative permittivity values at the correct locations.

statistic can have is approximately [29]. decreases as a function of iteration number and approaches the expected minimum. The combined functional also decreases, and is largely dominated by in the early iterations because the roughness is small. dominates in the late iterations because is small. Fig. 4 shows the roughness as a function of iteration number. Since is decreasing geometrically, the roughness is expected to increase geometrically to maintain the balance between the two terms in (16). As Fig. 4 shows, the roughness norm does indeed increase with the iteration number, implying that more structure is added to the model at each iteration. In fact, increases by 11 orders of magnitude during the course of the inversion. Fig. 5 shows the recovered relative permittivity distribution obtained at iteration 169. The two inclusions are in the correct locations, the relative permittivities of the inclusions are close to 1, and the boundaries of the inclusions are fuzzy.

The regularization favors the solution with smaller roughness. Due to the choice of regularization, the steep edges of the actual distribution are heavily penalized. The speckled background improves the value of the recovered distribution while having a smaller penalty than the sharp transitions of the actual distribution. The occurrence of the speckles implies a nonuniqueness that can occur when several blocks of permittivity behave in an aggregate manner [30]–[32]. The foregoing inversion algorithm does not depend on any cylindrical symmetry in the – -plane. To demonstrate its efficacy, a set of data with the same four angles of incidence and inhomogeneities, as presented in Fig. 2, was collected at nonequispaced radii, as shown in Fig. 6, with the dashed line indicating the position of the receivers for symmetric placement. The radii for the nonequispaced case were randomly chosen from a uniform distribution with mean 2.23. In Fig. 7, the functional values are plotted as a function of iteration number .

806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

Fig. 8. Roughness plotted logarithmically as function of iteration number n for the case of asymmetric receiver distribution.

Fig. 6. Rosette distribution for unequally spaced receivers with the cylindrically symmetric spacing denoted by the dots.

Fig. 9. Recovered relative permittivity distribution for model in Fig. 2 and receiver distribution of Fig. 6.

Fig. 7. Functional values, analogous to Fig. 3 is plotted for receiver distribution of Fig. 6.

The shapes of these curves are analogous to those in Fig. 3, the main difference being the reduced magnitude of the total discrepancy functional, a result of the receivers located further from the target object. For this distribution of receivers, the value of the discrepancy was 2135, which is still within the bound previously described. The roughness as a function of iteration is shown in Fig. 8. Despite the asymmetric placement of the receivers, the roughness versus iteration number has the same character as in Fig. 4. The recovered distribution, obtained at iteration 169, is presented in Fig. 9 and illustrates that the inversion algorithm is not dependent on the symmetric placement of the receivers.

A cross section at 45 through the given relative permittivity model and the recovered relative permittivity distribution for symmetric and random placement of the receivers is shown in Fig. 10. Clearly, the main features of the distribution, the two unity relative permittivity anomalies, have been recovered. There is no significant difference between cylindrical and noncylindrical receiver placement, except at the center of the upper right anomaly Without a priori knowledge of the actual relative permittivity distribution, any distribution recovered with a close to the expected minimum is a valid candidate for the actual relative permittivity distribution. The inclusion of multiple frequencies and the roughness norm mean the minimum of the functional, , is deeper and much more distinct than if these factors were not incorporated. In the two examples, the recovered structures resemble the actual relative permittivity distribution, but there

LAM et al.: TWO-DIMENSIONAL RADIO FREQUENCY TOMOGRAPHY

Fig. 10. Central section of the relative permittivity distribution of Fig. 2 and recovered relative permittivity distributions of Figs. 5 and 9.

is a speckled background implying that this technique is suitable for recovering features with large contrast, but not small contrasts because small contrast features can be hidden in the speckle, which is principally an artifact of the regularization. IV. CONCLUSION The recovery of the internal permittivity distribution of two-dimensional dielectric objects, such as timber, has been demonstrated using a least squares minimization technique with a roughness constraint. The macroscopic features of the relative permittivity distributions used to create the synthetic data sets inverted in the presented examples were adequately recovered. The algorithm was able to recover the relative permittivity distribution from two different data sets using an initial homogeneous starting model. In contrast, other algorithms would require a more extensive search for the starting model. The same set of data collection parameters and cooling schedule for the tradeoff parameter were used in all inversions. The relative permittivity distributions were recovered with noisy data demonstrating the robustness of the algorithm. Lastly, the target prism was not immersed in a matching fluid, making it suitable for the imaging of living trees. The algorithm is unable to recover the distributions exactly, and small contrasts are lost because the speckle patterns, which appear as a result of the algorithm, hides these contrasts. Hence, this algorithm is suitable for the recovery of large features, with large contrasts. For the target application of imaging cross sections of trees, this is an acceptable constraint for large features of biological interest. REFERENCES [1] M. Pastorino, S. Caorsi, and A. Massa, “A global optimization technique for microwave nondestructive evaluation,” in 18th IEEE Instrum. Meas. Technol. Conf., May 21–23, 2001, vol. 2001, pp. 1916–1920. [2] W. H. Weedon, W. C. Chew, and P. E. Mayes, “A step-frequency radar imaging system for microwave nondestructive evaluation,” J. Electromagn. Waves Applicat., vol. 14, pp. 665–667, 2000.

807

[3] S. Caorsi, A. Massa, M. Pastorino, and M. Donelli, “Improved microwave imaging procedure for nondestructive evaluations of two-dimensional structures,” IEEE Trans. Antennas Propag., vol. 52, no. 6, pp. 1386–1397, Jun. 2004. [4] R. Marklein, K. Mayer, R. Hannemann, T. Krylow, K. Balasubramanian, K. J. Langenberg, and V. Schmitz, “Linear and nonlinear inversion algorithms applied in nondestructive evaluation,” Inverse Problems, vol. 18, no. 12, pp. 1733–1759, 2002. [5] M. Pastorino, “Recent inversion procedures for microwave imaging in biomedical, subsurface detection and nondestructive evaluation applications,” Measurement, vol. 36, no. 10, pp. 257–269, 2004. [6] M. Miyakawa, K. Orikasa, N. Ishii, M. Bertero, and P. Boccacci, “Numerical analysis of CP-MCT and image restoration by the computed projection,” in Proc. IEEE Int. Eng. Med. Biol. Conf., 1999, vol. 2, p. 1109. [7] M. Miyakawa, T. Ishida, and M. Watanabe, “Imaging capability of an early stage breast tumor by CP-MCT,” in Proc. 26th IEEE Int. Eng. Med. Biol. Soc. Conf., Sep. 1–5, 2004, pp. 1427–1430. [8] J. Holmes, S. L. Jacques, and J. M. Hunt, “Adapting atmospheric LIDAR techniques to imaging biological tissue,” Proc. SPIE—Int. Soc. Opt. Eng., vol. 3927, pp. 226–231, 2000. [9] D. J. Daniels, Ground Penetrating Radar. London, U.K.: IEE, 2004. [10] R. H. Johnson and E. P. Poeter, “Interpreting DNAPL saturations in a laboratory-scale injection with GPR data and direct core measurements,” Geolog. Survey, vol. 03–349, pp. 1–40, 2003. [11] A. Yarovoy, L. Ligthart, A. Schukin, and I. Kaploun, “Full polametric video impulse radar for landmine detection,” in Proc. 2nd Int. Adv. Ground Penetrating Radar Workshop, 2003, pp. 148–155. [12] K. W. Sneddon, “Modeling GPR data to interpret porosity and DNAPL saturations for calibration of a 3-D multiphase flow simulation,” Geolog. Survey, vol. 02–451, pp. 1–29, 2002. [13] J. Munk and R. A. Sheets, “Detection of underground voids in Ohio by use of geophysical methods,” Geolog. Survey, vol. 97–4221, pp. 1–28, 2002. [14] J. C. Bolomey, A. Izadnegahdar, L. Jofre, C. Pichot, G. Peronnet, and M. Solaimani, “Microwave diffraction tomography for biomedical applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 11, pp. 1998–2000, Nov. 1982. [15] C. Pichot, L. Jofre, G. Peronnet, and J. Bolomey, “Active microwave imaging of inhomogeneous bodies,” IEEE Trans. Antennas Propag., vol. AP-33, no. 4, pp. 416–425, Apr. 1985. [16] C. Pichot, J. Y. Dauvignac, I. Aliferis, E. Le Brusq, R. Ferraye, and V. Chatelee, “Recent nonlinear inversion methods and measurement system for microwave imaging,” in IEEE Int. Imaging Syst. Tech. Workshop, 2004, pp. 95–99. [17] M. Akhtar and A. Omar, “Reconstructing permittivity profiles using integral transforms and improved renormalization techniques,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1385–1393, Aug. 2000. [18] ——, “Reconstruction of permittivity profiles in cylindrical objects iland TM modes,” IEEE Trans. luminated by higher order TE Microw. Theory Tech., vol. 48, no. 12, pp. 2721–2729, Dec. 2000. [19] J. Hadamard, Le Problème de Cauchy et Les Équations aux Dérivées Partiélles Linéaires Hyperboliques. Paris, France: Herman, 1932. [20] K. Lam and M. Yedlin, “Monochromatic nonuniqueness in plane-wave inverse scattering,” in IEEE AP-S Int. Symp., Jul. 2005, vol. 2A, pp. 123–126. [21] C. deGroot-Hedlin and S. Constable, “Occam’s inversion to generate smooth, two-dimensional models from magnetotelluric data,” Geophysics, vol. 55, pp. 1613–1624, 1990. [22] D. W. Oldenburg and Y. Li, “Inversion of induced polarization data,” Geophysics, vol. 59, pp. 1327–1341, 1994. [23] C. G. Farquharson and D. W. Oldenburg, “A comparison of automatic techniques for estimating the regularization parameter in nonlinear inverse problems,” Geophys. J. Int., vol. 156, pp. 411–425, 2004. [24] K. Lam and M. Yedlin, “Cooled flatness regularization for two dimensional electromagnetic tomography,” in IEEE AP-S Int. Symp., Jul. 2005, vol. 2A, pp. 139–142. [25] J. H. Richmond, “Scattering by a dielectric cylinder of arbitrary cross section shape,” IEEE Trans. Antennas Propag., vol. AP-13, no. 3, pp. 334–341, May 1965. [26] C. R. Rao, Linear Statistical Inference and its Applications. New York: Wiley, 1973. [27] R. L. Parker, Geophysical Inverse Theory. Princeton, NJ: Princeton Univ. Press, 1994. [28] B. S. Perkalskis and J. R. Freeman, “Examining tensors in the lab: The dielectric permittivity and electrical resistivity of wood,” Amer. J. Phys., vol. 66, pp. 816–820, 1998.

808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

[29] M. Sacchi, T. Ulrych, and C. Walker, “Interpolation and extrapolation using a high-resolution discrete Fourier transform,” IEEE Trans. Signal Process., vol. 46, no. 1, pp. 31–38, Jan. 1998. [30] C. G. Farquharson and D. W. Oldenburg, “Nonlinear inversion using general measures of data 8 and model structure,” Geophys. J. Int., vol. 134, pp. 213–227, 1998. [31] O. Portniaguin and M. S. Zhdanov, “Focusing geophysical inversion images,” Geophysics, vol. 64, pp. 874–887, 1999. [32] M. H. Loke, I. Ackworth, and T. Dahlin, “A comparison of smooth and blocky inversion methods in 2-D electrical imaging surveys,” Exploration Geophys. , vol. 34, pp. 182–187, 2003.

Kim Lam (S’97–M’01) received the B.A.Sc. degree in engineering physics and M.A.Sc. degree in electrical engineering from The University of British Columbia, Vancouver, BC, Canada, in 2001 and 2004, respectively, and is currently working toward the Ph.D. degree at The University of British Columbia.

Matthew J. Yedlin (M’05) received the B.Sc. degree in physics (with honors) from the University of Alberta, Edmonton, AB, Canada, in 1971, the M.Sc. degree in neurophysiology from the University of Toronto, Toronto, ON, Canada, in 1973, and the Ph.D. degree in geophysics from The University of British Columbia, Vancouver, BC, Canada, in 1978. In 1983, he joined The University of British Columbia, where he is currently an Associate Professor specializing in theoretical wave propagation, least squares inversion, and application of ultra-wideband antennas for microwave imaging. Mr. Yedlin was the recipient of the 1971 Lieutenant Governor’s Medal for highest distinction in scholarship in the Faculty of Science, University of Alberta.

Colin G. Farquharson, photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

809

Letters Comments on “Differentially Driven Symmetric Microstrip Inductors” Vito Minerva In the above paper [1], the differential one-port S -parameter is defined as Sd

=

S11

0

+ S22

S21

2

0

REFERENCES

S12

(1)

(see [1, eq. (4)]) and this result is obtained by supposing that “for a pure difference mode signal” a2 = 0a1 , i.e., the incident waves at the two ports are equal in amplitude and 180 out-of-phase, but this is true only when the structure is perfectly symmetric, which, in this case, in the strict sense, it is not.1 In any case, it does not suffice that the structure be differentially driven, but it also has to be symmetric. In fact, by definition (supposing the terminal impedances are equal, i.e., Z01 = Z02 = Z0 ) ai

=

bi

=

1 2 1 2

1 pi V

1 pi 0

V

V

p p

Z0

Z0

1

Ii

Z0

1

Ii

=

I. INTRODUCTION

I

Z

Z

I

Z

Z

I

a2

=

1 11 2

1 1

2

p p0 12 + 0 p 21 0 22 p 0

Z11

I

Z

Z0

(3)

Z

1 11

Z

I

Z

Z0

Z0

:

(4)

Now, a2 = 0a1 only if Z22 = Z11 , i.e., only when the structure is perfectly symmetric (Z12 = Z21 stems from the condition of reciprocity). In most cases, inductors are sufficiently close to symmetric that the symmetry-based differential impedance Zd

=2

1

Z0

1

1 + Sd 1

0

(5)

Sd

(see [1, eq. (5)]) can be used without qualms and there are no perceptible differences from the following general symmetry-independent definition: Zd

=

M. Danesh and J. R. Long

(2)

and substituting them in (2), the following expressions are found: a1

[1] M. Danesh and J. R. Long, “Differentially driven symmetric microstrip inductors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 332–341, Jan. 2002. [2] T. Y. Lin, Y. Z. Juang, H. Y. Wang, and C. F. Chiu, “A low power 2.2–2.6 GHz CMOS VCO with a symmetrical spiral inductor,” in Proc. IEEE Int. Circuits Syst. Symp., Bangkok, Thailand, May 2003, pp. 641–644.

Authors’ Reply

0 1 , the voltages are 1 = ( 11 0 12 ) 1 1 2 = ( 21 0 22 ) 1 1

with i = 1; 2. Since I2 = V

+

Z0

(5) so that it seems more general than it is. The authors should have warned the readers about the assumption of symmetry (1) and (5) are based upon. Incidentally, an innovative way to get a real symmetric differential inductor, so that (5) and (6) are really identical, is to use the crossover structure presented in [2].

Z11

+ Z22

0

Z12

0

Z21

(6)

In a footnote to the comments of V. Minerva on the above paper [1], he observes that the interconnections used to implement a planar inductor in an integrated circuit technology (i.e., the “crossovers”) introduce asymmetry in the physical layout because they reside on different metal layers. Therefore, the inductor layouts presented in [1, Figs. 2 and 4] are not genuinely symmetric because of asymmetry introduced by the crossovers. We agree with this observation. However, our first reaction to the remark on asymmetry was to quantify the error introduced by asymmetric interconnections. Minerva asserts that “In most cases, inductors are sufficiently close to symmetric that the symmetry-based differential impedance” (see [1, eq. (5)]) “can be used without qualms.” However, he does not present a practical benchmark or provide further guidance to the reader. We will show that asymmetry in a practical layout causes only a small error between port impedances, which then leads us to conclude that the effect is negligible in almost all cases of interest to the RF integrated circuit (IC) designer. While we never intended that the equations presented in [1] would be used out of context, it is indeed wise to remind readers that their applicability is limited to differential two-ports, as pointed out by Minerva in his comments. II. DISCUSSION

but for some applications and for some inductors, this might not be the case. Anyway, no mention of symmetry is made in the derivation of

A. Asymmetry in a Planar Inductor Quantified Manuscript received October 5, 2006; revised October 17, 2006. The author is with Microwave Filters Srl, 20096 Pioltello, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893667 1In

spite of their name, symmetrical inductors are actually not symmetric because of the crossovers lying on different metal layers. Even if the metal layers had identical thicknesses and resistivities, the inductor would still be asymmetric because of the different distances of the layers from the conductive substrate.

The inductor shown in Fig. 1 is a symmetric layout as per [1], which uses top and second metals for interconnection between the upper and Manuscript received January 29, 2007. The authors are with the Electronic Circuits Laboratory, Department of Electrical Engineering, Delft University of Technology, Delft 2628 CD, The Netherlands (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893656

0018-9480/$25.00 © 2007 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

809

Letters Comments on “Differentially Driven Symmetric Microstrip Inductors” Vito Minerva In the above paper [1], the differential one-port S -parameter is defined as Sd

=

S11

0

+ S22

S21

2

0

REFERENCES

S12

(1)

(see [1, eq. (4)]) and this result is obtained by supposing that “for a pure difference mode signal” a2 = 0a1 , i.e., the incident waves at the two ports are equal in amplitude and 180 out-of-phase, but this is true only when the structure is perfectly symmetric, which, in this case, in the strict sense, it is not.1 In any case, it does not suffice that the structure be differentially driven, but it also has to be symmetric. In fact, by definition (supposing the terminal impedances are equal, i.e., Z01 = Z02 = Z0 ) ai

=

bi

=

1 2 1 2

1 pi V

1 pi 0

V

V

p p

Z0

Z0

1

Ii

Z0

1

Ii

=

I. INTRODUCTION

I

Z

Z

I

Z

Z

I

a2

=

1 11 2

1 1

2

p p0 12 + 0 p 21 0 22 p 0

Z11

I

Z

Z0

(3)

Z

1 11

Z

I

Z

Z0

Z0

:

(4)

Now, a2 = 0a1 only if Z22 = Z11 , i.e., only when the structure is perfectly symmetric (Z12 = Z21 stems from the condition of reciprocity). In most cases, inductors are sufficiently close to symmetric that the symmetry-based differential impedance Zd

=2

1

Z0

1

1 + Sd 1

0

(5)

Sd

(see [1, eq. (5)]) can be used without qualms and there are no perceptible differences from the following general symmetry-independent definition: Zd

=

M. Danesh and J. R. Long

(2)

and substituting them in (2), the following expressions are found: a1

[1] M. Danesh and J. R. Long, “Differentially driven symmetric microstrip inductors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 332–341, Jan. 2002. [2] T. Y. Lin, Y. Z. Juang, H. Y. Wang, and C. F. Chiu, “A low power 2.2–2.6 GHz CMOS VCO with a symmetrical spiral inductor,” in Proc. IEEE Int. Circuits Syst. Symp., Bangkok, Thailand, May 2003, pp. 641–644.

Authors’ Reply

0 1 , the voltages are 1 = ( 11 0 12 ) 1 1 2 = ( 21 0 22 ) 1 1

with i = 1; 2. Since I2 = V

+

Z0

(5) so that it seems more general than it is. The authors should have warned the readers about the assumption of symmetry (1) and (5) are based upon. Incidentally, an innovative way to get a real symmetric differential inductor, so that (5) and (6) are really identical, is to use the crossover structure presented in [2].

Z11

+ Z22

0

Z12

0

Z21

(6)

In a footnote to the comments of V. Minerva on the above paper [1], he observes that the interconnections used to implement a planar inductor in an integrated circuit technology (i.e., the “crossovers”) introduce asymmetry in the physical layout because they reside on different metal layers. Therefore, the inductor layouts presented in [1, Figs. 2 and 4] are not genuinely symmetric because of asymmetry introduced by the crossovers. We agree with this observation. However, our first reaction to the remark on asymmetry was to quantify the error introduced by asymmetric interconnections. Minerva asserts that “In most cases, inductors are sufficiently close to symmetric that the symmetry-based differential impedance” (see [1, eq. (5)]) “can be used without qualms.” However, he does not present a practical benchmark or provide further guidance to the reader. We will show that asymmetry in a practical layout causes only a small error between port impedances, which then leads us to conclude that the effect is negligible in almost all cases of interest to the RF integrated circuit (IC) designer. While we never intended that the equations presented in [1] would be used out of context, it is indeed wise to remind readers that their applicability is limited to differential two-ports, as pointed out by Minerva in his comments. II. DISCUSSION

but for some applications and for some inductors, this might not be the case. Anyway, no mention of symmetry is made in the derivation of

A. Asymmetry in a Planar Inductor Quantified Manuscript received October 5, 2006; revised October 17, 2006. The author is with Microwave Filters Srl, 20096 Pioltello, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893667 1In

spite of their name, symmetrical inductors are actually not symmetric because of the crossovers lying on different metal layers. Even if the metal layers had identical thicknesses and resistivities, the inductor would still be asymmetric because of the different distances of the layers from the conductive substrate.

The inductor shown in Fig. 1 is a symmetric layout as per [1], which uses top and second metals for interconnection between the upper and Manuscript received January 29, 2007. The authors are with the Electronic Circuits Laboratory, Department of Electrical Engineering, Delft University of Technology, Delft 2628 CD, The Netherlands (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.893656

0018-9480/$25.00 © 2007 IEEE

810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007

As previously mentioned, the effect of the crossover asymmetry on an inductor layout that uses more turns and/or has a larger outside dimension (i.e., a larger inductance value) would be even lower. From this example, we conclude that the assumption of symmetric electrical behavior between the two ports of a typical on-chip inductor is justified despite the slight asymmetry of the crossover interconnections. B. Corrections to Minerva’s Comments We also note some errors in Minerva’s comments. Minerva states that the equation

Zd = 2 1 Z0 1

1 + Sd 1 0 Sd

(2)

is a “symmetry-based differential impedance” that is dependent upon perfect symmetry of the two-port in order to correctly compute the differential impedance (Zd ) from the differential S -parameter (Sd ). On the other hand, (6) from Minerva’s comments,

Zd = Z11 + Z22 0 Z12 0 Z21

Fig. 1. 1.8-nH inductor physical layout.

(3)

is described as a “general, symmetry-independent definition” for the differential impedance of the two-port (i.e., Zd ). No formal derivation or reference is given for (6) in Minerva’s comments. However, equations for the port voltages (i.e., V1 and V2 for Ports 1 and 2, respectively) are given in terms of the Z -parameters and port current I1 . These equations (which do not have reference numbers) from Minerva’s comments are repeated as follows:

V1 = (Z11 0 Z12 ) 1 I1 V2 = (Z21 0 Z22 ) 1 I1 :

(4) (5)

It is further stated in Minerva’s comments that the port input currents (defined as I1 and I2 for Ports 1 and 2, respectively) are equal, but antiphase, i.e., I1 = 0I2 (the assumption immediately following (6) in Minerva’s comments), which implies a symmetric two-port. Subtracting (5) from (4) gives Fig. 2. Measured and simulated percentage error in single-ended as defined by (1), and -factor for the inductor of Fig. 1.

Q

S

and

S

,

V1 0 V2 = (Z11 + Z22 0 Z12 0 Z21 ) 1 I1

(6)

(1)

which is identical to Minerva’s “general, symmetry-independent definition” for the differential two-port impedance Zd , as in (3). From this derivation, it is apparent that (6) is not independent of symmetry, as asserted in Minerva’s comments, because its derivation assumes a symmetric two-port component (i.e., I1 = 0I2 ). The assumption of symmetry precludes its use as a general formula, as implied in Minerva’s comments. Danesh derived (2) and (3) in her M.A.Sc. thesis [2], although only (2) was actually used in [1]. In her thesis [2], Danesh showed that these two equations are equivalent, as they produce identical results for Zd , as we have just illustrated. It should also be noted that incident waves (ai ) are independent of terminating impedances at the ports (typically 50 ) if the terminating impedance is equal to the characteristic impedance of the interconnections [3]. This assumption is valid for most experimental measurements in the low gigahertz range with a modern network analyzer and coaxial cabling. Minerva contradicts this in the opening paragraph of his comments and in the statement immediately below (see (6) in Minerva’s comments).

As seen from the plot of Fig. 2, the difference between the measured impedances for Ports 1 and 2, as defined by (1), is small (i.e., < 0.5% error at the peak-Q frequency of 14 GHz). The Q factor of the inductor as a function of frequency is also plotted in Fig. 2. In an RF IC application (e.g., a voltage-controlled oscillator), one would normally design the circuit to operate where the Q factor is at, or near, its peak value. For this 1.8-nH inductor, its Q factor is greater than 10 from approximately 3 GHz to well above 20 GHz.

[1] M. Danesh and J. R. Long, “Differentially driven symmetric microstrip inductors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 332–341, Jan. 2002. [2] M. Danesh, “Monolithic inductors for silicon radio frequency integrated circuits,” M.A.Sc. thesis, Dept. Elect. Comput. Eng., Univ. Toronto, Toronto, ON, Canada, 1999. [3] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Standards Technol., vol. 97, no. 5, pp. 533–562, Sep.–Oct. 1992.

lower groups of coupled conductors. The design consists of three turns of top metal with an outside dimension of 175 m on each side. Asymmetry introduced by the interconnections for this 1.8-nH inductor is larger than one would normally encounter because the interconnections are a significant portion of the total (i.e., unwound) length of the inductor. In other words, the asymmetry due to crossover interconnections is exacerbated for smaller inductance values because small-valued inductors are relatively short in total length compared to larger inductor values. In addition, the second metal crossovers are biased to one-half of the winding in the example of Fig. 1, thereby increasing the asymmetry. The plot of Fig. 2 illustrates the percentage error between the measured single-ended S -parameters (S11 and S22 ) for the inductor of Fig. 1, as defined by percentage error = 100 2

jS11j 0 jS22j : jS11 j2 + jS22j2

REFERENCES

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2007.892981

Digital Object Identifier 10.1109/TMTT.2007.896007

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, K. ITOH, J. LIN, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R. WU, A. YAKOVLEV P. Aaen M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agawa S. Aggarwal A. Agrawal D. Ahn H. R. Ahn M. Aikawa J. S. Aine C. Aitchison M. Akaike J. Akhtar E. Akmansoy S. Aksoy C. Albert M. Ali R. Allam D. Allstot J. I. Alonso B. Alpert A. Alphones A. Alu S. Amari H. An J. P. Anderson Y. Ando A. Andrenko W. Andress C. L. Andrew M. Andrés K. S. Ang I. Angelov G. Antonini C. Antonopoulos H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano P. Asbeck H. Ashoka A. Atalar A. Atia S. Auster P. Awai A. Aydiner M. S. Ayza R. Azadegan M. T. Azar V. I. B A. Babakhani P. Baccarelli M. Baginski I. Bahl D. Bajon W. Bakalski S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball K. G. Balmain S. Banba J. Bandler R. Bansal D. Barataud A. Barbosa M. F. Barciela Z. Bardai F. Bardati I. Bardi S. Barker F. Barnes R. Bashirullah D. Becker J. P. Becker H. C. Bell P. Bell J. P. Berenger P. V. Berg M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua A. Beyer A. V. Bezooijen F. Bi M. Bialkowski E. Biebl P. Bienstman R. Biernacki S. Bila A. L. Billabert H. Bilzer A. Biswas D. Blackham M. Blank Y. Bliokh P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar C. R. Bolognesi G. Bonaguide G. Bonmassar F. Boone V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio M. V. Bossche S. Boumaiza K. Boutros M. Bozzi T. Brabetz J. E. Bracken P. Bradley R. Bradley J. Brannan J. R. Bray T. Brazil J. Breitbarth M. Bressan P. Bretchko K. Breuer B. Bridges J. Brinkhoff A. Brown F. Broyde S. Brozovich S. Bruce E. Bryerton

M. Bucher D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge W. Burger J. Burghartz P. Burghignoli B. Cabon P. Cabral J. M. Cabrera A. Cabuk E. Callaway E. Camargo R. Cameron C. Campbell M. Campovecchio F. Canavero B. Cannas W. Cantrell H. Cao J. Cao F. Capolino F. Cappelluti R. Carter N. B. Carvalho F. Caspers R. Caverly M. Celuch N. Ceylan D. Chadha R. Chair S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. Y. Chang F. Chang H. C. Chang K. Chang S. F. Chang E. Channabasappa H. Chapell B. Chappell M. Chatras S. Chaudhuri C. C. Chen C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen W. Z. Chen X. Chen Y. Chen Y. J. Chen Y. K. Chen Z. Chen Z. D. Chen K. K. Cheng M. K. Cheng Y. Cheng C. Cheon W. C. Chew C. Y. Chi I. T. Chiang Y. C. Chiang A. Chin B. S. Chiou C. C. Chiu A. Chizh T. Cho Y. Cho C. T. Choi J. Choi W. Y. Choi M. Chongcheawchamnan C. K. Chou Y. H. Chou Y. L. Chow A. Christ C. Christodoulou C. Christopoulos K. R. Chu T. H. Chu L. H. Chua Y. H. Chun S. J. Chung Y. Chung B. C. Chye R. Cicchetti T. Cisco C. Cismaru D. Citrin P. Civalleri R. Clemmens G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse F. Cooray M. Copeland I. Corbella E. Costamagna Y. L. Coz J. Craninckx J. Crescenzi S. Cripps T. Crowe M. Cryan T. J. Cui J. Culver T. Cunha C. Curry W. Curtice G. D’Inzeo A. Dadej N. Dagli W. L. Dai G. Dambrine K. Dan B. Danly F. Danneville I. Darwazeh A. M. Darwish A. Daryoush N. Das M. Davidovich I. Davis L. Davis E. A. Daviu H. Dayal J. A. Dayton D. DeGroot M. DeLisio R. DeRoo D. De Zutter B. Deal W. Deal A. Dearn A. Deleniv S. Demir V. Demir T. Denidni D. R. Denison W. Dennis

A. Deutsch V. Devabhaktuni Y. Deval T. Dhaene N. Dib C. Dietlein L. Ding A. Djordjevi J. Dobrowolski W. B. Dou P. Draxler R. Drayton A. Dreher J. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. Dvorak J. East K. W. Ecclestone M. L. Edwards R. Egri R. Ehlers N. Ehsan G. Eleftheriades F. Ellinger T. Ellis B. Elsharawy A. Elsherbeni N. Engheta T. Enoki M. Enqvist K. Entesari H. Eom K. Erickson N. Erickson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban M. C. Fabres C. Fager D. G. Fang S. J. Fang A. Faraone M. Farina W. Fathelbab A. E. Fathy A. P. Feresidis A. Fernandez A. Ferrero T. Fickenscher S. J. Fiedziuszko G. Fikioris I. Filanovsky F. Filicori D. Filipovic F. D. Flaviis B. Floyd P. Focardi N. H. Fong S. S. Fort K. Foster P. Foster C. C. Franco M. C. Francos J. C. Freire F. Frezza I. Frigyes J. Fu R. Fujimoto O. Fujiwara C. Fumeaux V. Fusco D. Gabbay T. Gaier J. D. Gallego B. Galwas A. Gameiro O. Gandhi S. Gao J. R. Garai H. Garbe J. A. Garcia K. Gard P. Gardner R. Garg J. L. Gautier B. Geelen F. Gekat B. Geller J. Gering F. German M. Geshiro S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh G. Ghione K. Ghorbani O. Giacomo E. D. Giampaolo F. Giannini P. Gilabert A. Goacher M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golosovsky R. Gonzalo S. Gopalsami D. Gope A. Gopinath R. Gordon A. Gorur M. Gottfried G. Goussetis W. Grabherr J. Graffeuil R. Graglia L. Gragnani J. Grahn G. Grau A. Grebennikov I. Gresham J. Grimm A. Griol E. Grossman Y. Guan J. L. Guiraud S. E. Gunnarsson L. Guo Y. X. Guo C. Gupta K. C. Gupta M. Gupta W. Gwarek J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness A. Hajimiri D. Halchin P. Hale P. Hall D. Ham K. Hanamoto T. Hancock

A. Hanke E. Hankui G. Hanson Z. Hao H. Happy A. R. Harish L. Harle L. D. Haro F. J. Harris H. Harris M. Harris P. Harrison R. G. Harrison O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett S. Hay J. Hayashi L. Hayden T. Heath J. Heaton M. P. Heijden G. Heiter J. Helszajn R. Henderson D. Heo P. Herczfeld H. Hernandez J. J. Herren K. Herrick F. Herzel J. S. Hesthaven K. Hettak P. Heydari T. S. Hie M. Hieda A. Higgins A. Hirata J. Hirokawa T. Hirvonen J. P. Hof K. Hoffmann R. Hoffmann M. Hoft E. Holzman J. S. Hong S. Hong W. Hong A. Hoorfar K. Horiguchi Y. Horii T. S. Horng J. Horton J. Hoversten H. Howe H. M. Hsu H. T. Hsu J. P. Hsu P. Hsu C. W. Hsue M. Z. Hualiang C. W. Huang F. Huang G. W. Huang J. Huang T. W. Huang W. Huei M. Huemer H. T. Hui J. A. Huisman A. Hung C. M. Hung J. J. Hung I. Hunter M. Hussein E. Hutchcraft B. Huyart J. C. Hwang J. N. Hwang R. B. Hwang M. Hélier Y. Iida S. Iitaka P. Ikonen K. Ikossi M. M. Ilic A. Inoue T. Ishikawa T. Ishizaki S. Islam Y. Isota M. Ito N. Itoh T. Itoh Y. Itoh T. Ivanov D. Iverson M. Iwamoto Y. Iyama D. Jablonski D. Jachowski R. Jackson R. W. Jackson A. Jacob M. Jacob S. Jacobsen D. Jaeger B. Jagannathan V. Jamnejad V. Jandhyala M. Janezic M. Jankovic R. A. Jaoude B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski B. Jemison W. Jemison S. K. Jeng A. Jenkins Y. H. Jeong A. Jerng T. Jerse P. Jia X. Jiang B. Jim J. G. Jiménez J. M. Jin J. Joe R. Johnk L. Jonathan J. Joubert E. J. Jr N. C. Jr R. Judaschke J. Juntunen D. Junxiong T. Kaho M. Kahrs T. Kaiser S. Kalenitchenko V. Kalinin T. Kalkur Y. Kamimura H. Kanai S. Kanamaluru H. Kanaya K. Kanaya

Digital Object Identifier 10.1109/TMTT.2007.896006

S. Kang P. Kangaslahtii V. S. Kaper B. Karasik N. Karmakar A. Karwowski T. Kashiwa L. Katehi H. Kato K. Katoh A. Katz R. Kaul R. Kaunisto T. Kawai K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam S. Kee L. C. Kempel P. Kenington A. Kerr A. Khalil A. Khanifar A. Khanna F. Kharabi R. Khazaka J. Kiang J. F. Kiang Y. W. Kiang B. Kim C. S. Kim D. I. Kim H. Kim H. T. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim S. Kimura N. Kinayman A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura K. I. Kitayama T. Kitazawa T. Kitoh M. Kivikoski G. Kiziltas D. M. Klymyshyn R. Knochel L. Knockaert Y. Kogami T. Kolding B. Kolundzija J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp K. Kornegay T. Kosmanis P. Kosmas Y. Kotsuka A. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger R. S. Kshetrimayum H. Ku H. Kubo A. Kucar A. Kucharski W. B. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo H. Kurebayashi K. Kuroda D. Kuylenstierna M. Kuzuhara Y. Kwon G. Kyriacou P. Lampariello M. Lancaster L. Langley U. Langmann Z. Lao G. Lapin L. Larson J. Laskar M. Latrach C. L. Lau A. Lauer J. P. Laurent D. Lautru P. Lavrador G. Lazzi B. H. Lee C. H. Lee D. Y. Lee J. Lee J. F. Lee J. H. Lee J. W. Lee R. Lee S. Lee S. G. Lee S. T. Lee S. Y. Lee T. Lee T. C. Lee D. M. Leenaerts Z. Lei G. Leizerovich Y. C. Leong R. Leoni P. Leuchtmann G. Leuzzi A. Leven B. Levitas R. Levy G. I. Lewis H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao M. Liberti E. Lier L. Ligthart S. T. Lim E. Limiti C. Lin F. Lin H. H. Lin

J. Lin K. Y. Lin T. H. Lin W. Lin Y. S. Lin E. Lind L. Lind L. F. Lind D. Linkhart P. Linnér D. Linton A. Lipparini D. Lippens V. Litvinov A. S. Liu C. Liu J. Liu J. C. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu O. Llopis D. Lo J. LoVetri N. Lopez Z. Lou M. Lourdiane G. Lovat D. Lovelace H. C. Lu K. Lu L. H. Lu S. S. Lu Y. Lu V. Lubecke S. Lucyszyn R. Luebbers N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy C. Lyons G. Lyons G. C. M H. Ma J. G. Ma Z. Ma P. Maagt S. Maas G. Macchiarella P. Macchiarella J. Machac M. Madihian A. Madjar V. Madrangeas A. Maestrini G. Magerl S. L. Mageur A. A. Mahmoud S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto S. Makino J. Malherbe G. Manara R. Manas G. Manes T. Maniwa R. Mansour D. Manstretta J. Mao S. G. Mao A. Margomenos R. Marques G. Marrocco J. Martel J. Martens J. Marti G. Martin E. Martinez K. Maruhashi J. E. Marzo H. Masallaei N. Masatoshi D. Masotti G. D. Massa B. Matinpour T. Matsui A. Matsushima S. Matsuzawa H. Matt G. Matthaei L. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy G. McDonald R. McMillan D. McNamara D. McQuiddy F. Medina C. Melanie A. Á. Melcon F. Mena C. C. Meng H. K. Meng W. Menzel F. Mesa A. C. Metaxas R. Metaxas P. Meyer E. Michielssen A. Mickelson D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo J. Mink B. Minnis F. Miranda D. Mirshekar C. Mishra S. Mitilineos R. Mittra K. Miyaguchi M. Miyakawa H. Miyamoto R. Miyamoto M. Miyashita M. Miyazaki K. Mizuno S. Mizushina J. Modelski W. V. Moer S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro C. Monzon A. D. Morcillo J. Morente T. Morf D. R. Morgan M. Morgan

K. Mori A. Morini H. Morishita A. Morris J. Morsey H. Mosallaei H. Moyer M. Mrozowski C. H. Mueller J. E. Mueller B. Nabet P. Nadia A. S. Naeini Y. Nagano I. Naidionova K. Naishadham M. Nakajima M. Nakao Y. Nakasha M. Nakatsugawa A. Nakayama J. Nakayama M. Nakayama M. Nakhla J. C. Nallatamby S. Nam T. Namiki T. Narhi S. Naruhashi A. Nashashibi A. Natarajan J. Nath J. M. Nebus I. Nefedov D. Neikirk B. Nelson A. Neri H. S. Newman G. Ng E. Ngoya C. V. Nguyen T. Nichols K. Nickolas K. Niclas E. Nicol E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. K. Nikolova K. Nishikawa T. Nishikawa T. Nishino F. Niu E. Niver D. Nobbe S. Nogi T. Nojima C. D. Nordquist Z. Nosal B. Notaros K. Noujeim D. Novak T. Nozokido E. Nyfors K. O M. O’Droma J. Obregon M. Odyniec K. Oh K. Ohata T. Ohira A. Ohta I. Ohta H. Okabe Y. Okano H. Okazaki V. Okhmatovski A. Oki G. Olbrich A. Ø. Olsen A. S. Omar M. Omiya K. Onodera B. L. Ooi A. Orlandi R. Orta B. Ortega S. Ortiz J. Osepchuk H. Ota S. Otaka J. Ou C. Oxley S. Pacheco M. Pagani G. W. Pan Y. H. Pang H. Y. Pao J. Papapolymerou A. Parfitt S. Parisi C. S. Park J. S. Park A. E. Parker D. Pasalic D. Pasquet M. Pastorino H. M. Pau M. Paul T. Pavio D. Pavlidis J. C. Pedro C. Peixeiro S. Pellerano G. Pelosi R. Pengelly C. Penney J. Pereda D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa U. R. Pfeiffer A. V. Pham M. Pieraccini L. Pierce P. Pieters B. Pillans Z. Y. Ping A. Piovaccari M. Pirola E. Pistono C. Plett C. Pobanz A. Podell R. J. Pogorzelski J. L. Polleux J. Poltz G. Ponchak J. Pond J. Portilla M. Pospieszalski V. Postoyalko B. Potter D. Pozar L. Pradell

J. Prasad S. Prasad D. Prescott H. Pretl M. Prigent A. Priou S. Prosvirnin H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton S. Raman R. S. Rana P. Ratanadecho C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. M. Rebeiz J. Rebollar S. Remillard K. Remley L. Reynolds S. K. Reynolds A. Reynoso E. Rezek J. K. Rhee A. Riddle J. S. Rieh J. Ritter E. Rius J. Rizk R. Robert I. Robertson P. Roblin C. Rodenbeck M. Rodwell O. T. Rofougaran H. Rogier U. Rohde Y. Rolain J. Rolf N. Rolland R. Romanofsky S. Rondineau Y. Rong D. Ronnow M. J. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker E. Rothwell J. Roy L. Roy T. Rozzi J. Rubio A. Ruehli D. Rutledge T. Ruttan A. Rydberg D. Rytting D. Rönnow C. Saavedra R. Saedi A Safaai-Jazi M. Sagawa K. Saito K. Sakaguchi A. Samelis C. Samori L. Samoska A. Sanada M. Sanagi A. Sangster L. Sankey K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato K. Sawaya H. Sayadian C. Schaffer H. Schantz I. Scherbatko G. Schettini M. J. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schoukens D. Schreurs W. Schroeder A. Schuchinsky P. Schuh L. Schulwitz F. Schwering K. F. Schünemann J. B. Scott F. Sechi Y. Segawa E. M. Segura T. Seki E. Semouchkina H. Serizawa J. Sevic O. Sevimli F. Seyfert O. Shanaa Z. Shao I. Shapir M. Shapiro A. Sharma S. K. Sharma J. Sharp J. R. Shealy Z. X. Shen Y. Shestopalov H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma H. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu C. N. Shuo D. Sievenpiper A. Sihvola J. M. Sill C. Silva M. G. Silveirinha K. Silvonen W. Simbuerger G. Simin R. N. Simons D. Simunic H. Singh

B. Sinha D. Sinnott Z. Sipus K. Sivalingam A. Skalare R. Sloan M. Slominski A. Smith P. Smith C. Snowden R. Snyder N. Sokal V. Sokolov K. Solbach J. Sombrin R. Sorrentino A. Soury N. Soveiko B. E. Spielman P. Staeker D. Staiculescu J. Stake A. Stancu S. P. Stapleton P. Starski J. Staudinger D. Steenson P. Steenson M. Steer J. Stenarson K. Steve M. Steyaert W. Steyn S. Stitzer B. Strassner E. Strid M. Stubbs M. Stuchly B. Stupfel A. Suarez G. Subramanyam N. Suematsu C. Sullivan S. Sun J. Svacina R. Svitek M. Swaminathan D. Swanson D. M. Syahkal M. Syahkal B. Szendrenyi A. Taflove M. Taghivand G. Tait Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar A. A. Tamijani B. T. Tan C. Y. Tan J. Tan S. Tanaka C. W. Tang D. W. Tang W. C. Tang M. Taromaru A. Tasic P. Tasker J. J. Taub J. Tauritz D. Taylor R. Tayrani D. Teeter F. Teixeira M. Tentzeris V. Teppati M. Terrovitis J. P. Teyssier K. P. Thakur H. Thal W. Thiel B. Thompson M. Thorburn C. E. Thorn Z. Tian M. Tiebout R. Tielert L. Tiemeijer G. Tkachenko M. R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu R. Tomar A. Tombak K. Tomiyasu A. Topa E. Topsakal G. Town I. Toyoda N. Tran S. Tretyakov R. Trew C. M. Tsai E. Tsai R. Tsai J. Tsalamengas T. Tsiboukis M. Tsuji T. Tsujiguchi T. Tsukahara M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro A. Turudic G. Twomey C. K. Tzuang H. Uchida S. Uebayashi M. Ugajin J. Uher F. H. Uhlmann Y. Umeda V. J. Urick T. Uwano N. Uzunoglu R. Vahldieck P. Vainikainen K. Vanhille G. Vannini J. C. Vardaxoglou K. Varian G. Vasilescu C. Vaucher J. Vaz J. Venkatesan F. Verbeyst A. Verma J. Verspecht P. Vial H. O. Vickes A. Vilcot F. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu

V. Volman A. Vorobiev A. V. Vorst B. Vowinkel L. D. Vreede M. A. Vérez B. Z. Wang K. Wagner K. Wakino P. Waldow M. Wale A. Walker D. Walker C. Walsh C. Wan S. Wane C. Wang C. F. Wang H. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang J. Ward K. Warnick P. Warr S. Watanabe Y. Watanabe R. Waugh J. Webb K. Webb R. Webster C. J. Wei D. V. Weide R. Weigel G. Weihs B. Weikle R. M. Weikle C. Weil T. Weiland A. Weily S. Weinreb T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. A. White J. Wiart W. Wiesbeck J. Wight D. Willems D. Williams J. Wiltse D. Wittwer I. Wolff K. Wong W. Woo J. Wood C. Woods G. Woods R. C. Woods D. Woolard M. Wren C. Wu H. Wu K. Wu K. L. Wu Q. Wu T. L. Wu C. Wwang T. Wysocki S. Z. Xiang G. Xiao Y. Xiaopeng C. Xie Z. Xing H. Xu J. Xu S. Xu W. Xu X. B. Xu Y. Xu Y. P. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi F. Yang G. M. Yang H. Y. Yang J. Yang K. Yang L. Yang X. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto Y. Yasuoka S. Ye K. S. Yeo S. P. Yeo L. K. Yeung H. R. Yi W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York J. L. Young H. K. Yu M. Yu P. Yu W. Yu Z. Yuanjin P. Yue S. W. Yun A. I. Zaghloul A. G. Zajic K. Zaki J. Zamanillo P. J. Zampardi J. Zapata L. Zappelli J. Zehentner H. Zhang L. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhiguo W. Zhou A. Zhu L. Zhu N. H. Zhu H. Zirath S. Zouhdi A. J. Zozaya T. Zwick